[click to login]
WSEMI


TAGS > gas box

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
grandbirdnet
[view on eBay]
NEW 1
in stock
$7,900.00
Description: AMAT 0010-70768 ASSY,GAS BOX,UNIV. SILANE W/VITON ORINGS, NEW
farmoninc
[view on eBay]
NEW 2
in stock
$350.00
Description: AMAT 0020-13055 TOP COVER GAS BOX RIGHT (CHAMBER IN POS)
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0020-13129 Cover Plate, Gas Box, Centura PVD
bms-semicon
[view on eBay]
Used 1
in stock
$399.90
Description: LAM RESEARCH 810-707022-001 GAS BOX EXPANSION PCB
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 0021-07773 Bar, Buss, Ground/Neutral, TXZ Gas Box 3
bigg.logistics101
[view on eBay]
Used 1
in stock
$299.99
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID 200MM PRODUCER 0040-50344 REV 002
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-13052 TOP COVER, GAS BOX LEFT, CHAMBER IN POS, 399720
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-13052 TOP COVER, GAS BOX LEFT
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-13052 TOP COVER, GAS BOX LEFT, CHAMBER IN POS, 399762
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT 0021-07370 Mixer Gas Box Lid BWCVD 402044
auctionrus
[view on eBay]
NEW 1
in stock
$1,312.50
Description: AMAT 0020-34381 GAS BOX, EXZ 407128
bms-semicon
[view on eBay]
Used 1
in stock
$699.90
Description: LAM GAS BOX I/O AND INTERLOCK BOARD 810-707054-001 REV E3
ecomicron
[view on eBay]
NEW 1
in stock
$600.00
Description: 0140-00413, AMAT, HARNESS ASSY, INTERLOCKS, CVD GAS BOX
ecomicron
[view on eBay]
Used 1
in stock
$600.00
Description: 0140-00413, AMAT, HARNESS ASSY, INTERLOCKS, CVD GAS BOX W/
bornalliancecom
[view on eBay]
Used 1
in stock
$6,995.00
Description: Applied Materials 0010-09806 Gas Box, WSI 150 MM AMAT
bornalliancecom
[view on eBay]
Used 1
in stock
$7,500.00
Description: Applied Materials 0010-09940 Gas Box, WSI CVD AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0020-04264 Gas Box Adapter Remote, 417709
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0020-04264 Gas Box Adapter Remote, 417889
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0020-04264 Rev.B, Gas Box Adapter Remote. 418069
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0090-02592 Elect Assy, Gas Box Flow SW (H2), AUTOFLOW FS6804-898, 418174
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0020-13055 Rev.002, Top Cover Gas Box Right (Chamber in POS). 419052
j316gallery
[view on eBay]
Used 1
in stock
$878.91
Description: 7564 APPLIED MATERIALS GAS BOX, UNIVERSAL LI, ALIGNMENT HOLE 0040-32073
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-31035, Manifold Input BWCVD, Gas Box, CVD Chamber. 419770
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-10740, Manifold Out WB, Gas Box, Chamber, 0020-10740-A-LEO. 419774
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-10740, Manifold Out WB, Gas Box, Chamber, 0020-10740-A-LEO. 419773
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-10740, Manifold Out WB, Gas Box, Chamber, 0020-10740-A-LEO. 419772
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-10740, Manifold Out WB, Gas Box, Chamber, 0020-10740-A-LEO. 419771
bornalliancecom
[view on eBay]
Used 1
in stock
$4,950.00
Description: Applied Materials 0010-10055 Gas Box, WXZ AMAT CVD
farmoninc
[view on eBay]
Used 1
in stock
$5,000.00
Description: AMAT 0010-09940, Assembly 8" WSI Gas Box, Feedthru, Top Lid, CVD, P5000. 420107
systasemi
[view on eBay]
Used 3
in stock
$350.00
Description: Novellus 02-111301-00, Gas Box Distribution, Board
bigg.logistics101
[view on eBay]
Used 2
in stock
$599.99
Description: APPLIED MATERIALS GAS BOX DXZ 0020-34446
farmoninc
[view on eBay]
Used 1
in stock
$15.00
Description: 2 AMAT 0021-22274 Stopper, Ampoule Clamp, Cuxz IMS Gas Box, 422800
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 140-0201// AMAT APPLIED 0010-09256 CVD GAS BOX USED
j316gallery
[view on eBay]
Used 4
in stock
$526.82
Description: 9052 LAM RESEARCH PCB GAS BOX EXPANSION 810-707022-001
testeqe
[view on eBay]
NEW 4
in stock
$2,249.99
Description: NEW Novellus PN: 61-389405-00 15-Channel Pneumatic Gas Box Assembly Festo
j316gallery
[view on eBay]
NEW 1
in stock
$11,866.30
Description: 10228 APPLIED MATERIALS GAS BOX, COOLING, 200MM TI-XZ (NEW) 0040-39034
usedeqsales
[view on eBay]
Used 2
in stock
$306.18
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution Board PCB Rev. 004 Used
j316gallery
[view on eBay]
Used 4
in stock
$4,953.72
Description: 11793 LAM RESEARCH PCB, A4 GAS BOX INTERFACE, VMIVME MODEL 5530S 810-077433-002
bigg.logistics101
[view on eBay]
Used 1
in stock
$5,999.99
Description: APPLIED MATERIALS CVD/BW 5000 GAS BOX 0010-09247
partskorea1
[view on eBay]
Used 1
in stock
$89,999.00
Description: Lam Research P/N 846-077848-858 JETSTREAM OPT/MSTGi GAS BOX
usedeqsales
[view on eBay]
Used 2
in stock
$1,011.18
Description: AMAT Applied Materials 0040-35875 Gas Box Universal Lid 12939900-282 Refurbished
grandbirdnet
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-09940 ASSY 8" GAS BOX WSI, USED
partskorea1
[view on eBay]
Used 1
in stock
$29,999.00
Description: LAM 571-065780-702 ALL IN 1 GAS BOX
dnd_surplus
[view on eBay]
Used 2
in stock
$800.00
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
dnd_surplus
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
dnd_surplus
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
usedeqsales
[view on eBay]
Used 3
in stock
$905.19
Description: Lam Research 810-707022-001 Gas Box Expansion Board PCB Continuum Working Spare
farmoninc
[view on eBay]
NEW 12
in stock
$150.00
Description: AMAT 0021-04304 Bushing Gas Box 200MM Producer, 451466
j316gallery
[view on eBay]
Used 1
in stock
$189.66
Description: 14750 APPLIED MATERIALS MANIFOLD, INLET, GAS BOX 0020-42187
j316gallery
[view on eBay]
Used 1
in stock
$507.48
Description: 14760 APPLIED MATERIALS 5200 CVD WC WXZ GAS BOX, 0020-30511 0240-14203
roundtable1
[view on eBay]
Used 1
in stock
$99.00
Description: Amat 0090-02289 ,10 Port Block Interlock Gas Box 300mm
dr.dantom
[view on eBay]
Used 4
in stock
$250.00
Description: Applied Materials GAS BOX DISTRIBUTION 0100-00567 SCHEM REF 0130-00567 Board
partskorea1
[view on eBay]
Used 1
in stock
$3,999.00
Description: Lam Research 810-073479-005 Jetstream Gas Box MB
j316gallery
[view on eBay]
NEW 4
in stock
$118.97
Description: 16885 NOVELLUS C2 GAS BOX VALVE SUPPORT BRACKET (NEW) 17-026431-00
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$933.00
Description: 0020-10589 / PLATE, GAS BOX WB / APPLIED MATERIAL AMAT
j316gallery
[view on eBay]
Used 4
in stock
$133.83
Description: 19775 APPLIED MATERIALS BRACKET, 3-BLOCK VALVE CAJON, GAS BOX 31 0021-39009
j316gallery
[view on eBay]
Used 4
in stock
$120.44
Description: 19776 APPLIED MATERIALS BRACKET, 6 POSITION CAJON ,GAS BOX 31 0021-39007
j316gallery
[view on eBay]
Used 2
in stock
$294.50
Description: 19777 APPLIED MATERIALS BRACKET, 7 POSITION CAJON, GAS BOX 31 0021-39839
capitolareatech
[view on eBay]
NEW 1
in stock
$150.95
Description: Applied Materials (AMAT) 0020-37587 COVER,EXHAUST GAS BOX,MXP CENTURA
capitolareatech
[view on eBay]
NEW 1
in stock
$1,574.95
Description: AMAT 0020-34446 GAS BOX, DXZ
capitolareatech
[view on eBay]
NEW 3
in stock
$225.95
Description: AMAT 0020-34381 Gas Box, EXZ
j316gallery
[view on eBay]
NEW 31
in stock
$1,645.25
Description: 21035 APPLIED MATERIALS PLATE, GAS BOX, SACVD (NEW) 0020-30673
comwaysind
[view on eBay]
Used 1
in stock
$32,890.00
Description: Lam Research Jetstream MZI Gas Box 150PSIG 846-077848-797
j316gallery
[view on eBay]
Used 1
in stock
$4,571.41
Description: 23401 APPLIED MATERIALS ASSY GAS BOX 150MM WSI W/O COVER, 5000 CVD 0010-09806
j316gallery
[view on eBay]
Used 1
in stock
$4,812.01
Description: 23402 APPLIED MATERIALS ASSY GAS BOX 150MM WSI, 5000 CVD, 0020-31035 0010-09806
novusferro
[view on eBay]
Used 1
in stock
$299.00
Description: Applied Materials AMAT 0021-18725 Blocker Plate, Low K, Gas Box Mounted, 300mm
j316gallery
[view on eBay]
Used 1
in stock
$1,924.80
Description: 24838 LAM RESEARCH PCB, 007 IGS GAS BOX MB 810-073479-003
j316gallery
[view on eBay]
Used 1
in stock
$2,577.95
Description: 25588 APPLIED MATERIALS PCB LIQUID INJECTION GAS BOX INTERLOCK CVD 0100-20411
techshop7777
[view on eBay]
NEW 1
in stock
$970.00
Description: AMAT GAS BOX ENP LID Assembly 0041-83006
j316gallery
[view on eBay]
Used 6
in stock
$438.36
Description: 26040 APPLIED MATERIALS BLOCKER, LOW K, GAS BOX MOUNTED, 300MM 0021-18725
capitolareatech
[view on eBay]
Used 1
in stock
$795.95
Description: Applied Materials (AMAT) 0020-30509 PLATE, GAS BOX
usedeqsales
[view on eBay]
Used 1
in stock
$1,209.21
Description: Novellus Systems 02-111283-00 Gas Box Distribution PCB AERA WCVD 300 New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$459.21
Description: Novellus Systems 02-111301-00 Gas Box Distribution PCB Speed 300 Rev. 1 Working
farmoninc
[view on eBay]
Used 1
in stock
$5,000.00
Description: AMAT 0010-09940, Assembly 8" WSI Gas Box, Feedthru, Top Lid, CVD, P5000. 420106
capitolareatech
[view on eBay]
NEW 1
in stock
$3,495.95
Description: Applied Materials (AMAT) 0040-37668 GAS BOX, TXZ ENH COOLING
j316gallery
[view on eBay]
Used 1
in stock
$1,034.10
Description: 26422 LAM RESEARCH PCB A6 GAS BOX I/O INTERLOCK (PARTS) 810-494010-001
j316gallery
[view on eBay]
Used 1
in stock
$804.30
Description: 26411 LAM RESEARCH PCB, 007 IGS GAS BOX MB (PARTS) 810-073479-003
j316gallery
[view on eBay]
Used 1
in stock
$919.20
Description: 26412 LAM RESEARCH PCB, JETSTREAM GAS BOX MB (PARTS) 810-073479-005
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0020-09879 CHAMBER LID AMAT 0040-09091 GAS BOX AMAT 0010-09257 P5000,103131
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT P5000 CHAMBER LID, 0040-09091 GAS BOX, 0010-09257 5000 CVD GAS BOX, 103133
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0020-09879 CHAMBER LID P5000, 0040-09091 GAS BOX, 0010-09257 5000, 103395
automotiveapple
[view on eBay]
Used 1
in stock
$3,571.43
Description: As-Is LAM Research 810-073479-002 IGS GAS BOX MB
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0021-03804 Gas Box Feedthrough, Lid, PECVD, 103494
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0021-03804 Gas Box Feedthrough, Lid, PECVD, 103493
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0021-03804 Gas Box Feedthrough, Lid, PECVD, 103492
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0021-03804 Gas Box Feedthrough, Lid, PECVD, 103491
katiil3
[view on eBay]
Used 1
in stock
$399.00
Description: Applied materials 0040-50344 Gas box chamber lid 200MM PMD Producer
automotiveapple
[view on eBay]
Used 3
in stock
$714.29
Description: As-Is NOVELLUS GAS BOX DIST, BROOKS D-SUB 02-057958-00
auctionrus
[view on eBay]
Used 1
in stock
$2,625.00
Description: AMAT 0021-09760 GAS BOX AMO,DXZ P5000, 104124
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT P5000 CHAMBER LID, AMAT 0040-09091 GAS BOX, AMAT 0010-09257, 103980
farmoninc
[view on eBay]
NEW 3
in stock
$2,500.00
Description: AMAT 0100-20411 PCB Assembly, Liquid Injection Gas Box I, 327240
automotiveapple
[view on eBay]
Used 1
in stock
$4,761.90
Description: As-Is NOVELLUS SESIOC IXT WTS HV 0 GAS BOX 02-266868-00
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0040-32023 GAS BOX LID (HEX), 108408
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0040-09095 GAS BOX,WCVD, 108410
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0040-09095 GAS BOX,WCVD, 108409
liquiditech
[view on eBay]
Used 1
in stock
$171.00
Description: Lot of 2 0022-11426 Diffuser Single Hole Center Flow Gas Box Frontier
j316gallery
[view on eBay]
Used 1
in stock
$153.66
Description: 29052 APPLIED MATERIALS GAS LINE, GAS BOX INLET, POS 2 TO 7 0050-24774
visionsemi
[view on eBay]
NEW 1
in stock
$120.00
Description: APPLIED MATERIALS AMAT HARNESS ASSY GAS BOX FILTER SIGNAL PRODUCER 0140-18285
grandbirdnet
[view on eBay]
NEW 2
in stock
$2,900.00
Description: AMAT 0040-02520 GAS BOX, SILANE, 200MM PRODUCER, NEW
new-trade-inc
[view on eBay]
Used 5
in stock
$1,913.70
Description: 1PCS AMAT 0040-09323 GAS BOX Free FedEx or EMS 90-days Warranty
songlcd
[view on eBay]
Used 5
in stock
$1,704.10
Description: 1PCS AMAT 0040-09323 GAS BOX 90days warranty via DHL or FedEx
usedeqsales
[view on eBay]
Used 1
in stock
$1,511.22
Description: AMAT Applied Materials 0040-09095 XCVD Gas Box Lid Assembly Working Surplus
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0040-32073 P5000 DXL Gas Box Lid (Hex), 112084
j316gallery
[view on eBay]
Used 3
in stock
$550.00
Description: 30496 LAM RESEARCH PCB, A6 GAS BOX I/O INTERLOCK (PARTS) 810-494010-001
excessdealer
[view on eBay]
Used 2
in stock
$400.00
Description: Novellus Systems 03-118125-00 Gas Box Breakout Board
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,119.20
Description: 0021-18725 / BLOCKER, LOW K, GAS BOX MOUNTED, 300MM P /APPLIED MATERIALS AMAT
gteprimo
[view on eBay]
Used 1
in stock
$225.21
Description: AMAT Applied 0100-00567 0130-00567 Gas Box Distribution PCB Endura 300 inkl MwSt
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,198.03
Description: 810-073479-005 /JET STREAM GAS BOX MB MAIN BOARD 710-073479-005 /LAM RESEARCH CO
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,760.00
Description: 0041-62587 / GAS BOX, CHAMBER LID, CENTER FLOW /APPLIED MATERIALS AMAT
amat-sparescom
[view on eBay]
Used 1
in stock
$5,995.00
Description: Applied Materials 0010-09790 Gas Box, Assy. Com. Silane 100-150 MM AMAT CVD
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0040-32073 P5000 CVD chamber Gas Box Lid, 112758
j316gallery
[view on eBay]
Used 8
in stock
$469.68
Description: 10346 APPLIED MATERIALS 5000 CVD 8'' WSI GAS BOX 0010-09940
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$25,000.00
Description: 571-065780-702 /ALL IN ONE GAS BOX /4490,B71230 / LAM RESEARCH
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0040-09095 GAS BOX, WCVD, 113897
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0040-09095 GAS BOX, WCVD, 113898
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0040-09095 GAS BOX, WCVD, 113896
sx-space
[view on eBay]
Used 5
in stock
$1,913.80
Description: 1PCS AMAT 0040-09323 GAS BOX 100% tested by DHL or FedEx
techequipsales
[view on eBay]
Used 1
in stock
$2,500.00
Description: LAM Research 846-077848-725 All In One Gas Box *non-working*
cosplity
[view on eBay]
Used 1
in stock
$3,000.00
Description: NOVELLUS 02-266868-00 SESIOC IXT WTS HV 0 GAS BOX VER 4.72
j316gallery
[view on eBay]
Used 1
in stock
$3,000.00
Description: 32518 NOVELLUS SESIOC ITX WTS HV 0 GAS BOX 02-266868-00
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,300.00
Description: 810-073479-003 / PCB 007 IGS GAS BOX MB / LAM RESEARCH
metrology123
[view on eBay]
Used 1
in stock
$2,038.98
Description: Lam research JETSTREAM GAS BOX MB 810-073479-005 rev B #
metrology123
[view on eBay]
Used 1
in stock
$2,038.98
Description: Lam research JETSTREAM GAS BOX MB 810-073479-005 rev B
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0040-31980 GAS BOX EC WXZ REV 003 TIL-296-1781, 104799
j316gallery
[view on eBay]
Used 1
in stock
$1,991.20
Description: 24839 LAM RESEARCH PCB, JETSTREAM GAS BOX MB 810-073479-005
j316gallery
[view on eBay]
Used 1
in stock
$2,122.30
Description: 27090 LAM RESEARCH PCB, JETSTREAM GAS BOX MB 810-073479-005
equipplus
[view on eBay]
Used 1
in stock
$349.00
Description: Novellus 02-111301-00 Rev A Gas Box Distribution,Speed 300 Board,Used,US*8465
farmoninc
[view on eBay]
Used 2
in stock
$1,500.00
Description: AMAT 0040-32073 P5000 CVD CHAMBER, GAS BOX LID (Hex), 500109888, 117347
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,225.56
Description: 0010-09247 / CHAMBER LID 0010-09950, GAS BOX ASSY 0020-10190 / APPLIED MATERIALS
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$206.66
Description: 0020-31425 / LID, MIXER GAS BOX, BWCVD / APPLIED MATERIALS AMAT
cosplity
[view on eBay]
NEW 3
in stock
$400.00
Description: AMAT 0150-29326 CABLE ASSY FOR DESICA GAS BOX LIQUID FLOW
cosplity
[view on eBay]
NEW 1
in stock
$2,900.00
Description: AMAT 0041-62587 GAS BOX, CHAMBER LID, CENTER FLOW
farmoninc
[view on eBay]
Used 2
in stock
$3,850.00
Description: AMAT 0040-32259 Gas Box, 200mm, 121198

This tag has been viewed 2 times

Most recent views:

Japan Thursday, May/09/2024 at 12:16 am CST
Korea (Republic of) Thursday, May/09/2024 at 12:13 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 12:11 am CST
Korea (Republic of) Thursday, May/09/2024 at 12:10 am CST
Korea (Republic of) Thursday, May/09/2024 at 12:10 am CST
Korea (Republic of) Thursday, May/09/2024 at 12:07 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 12:07 am CST
Korea (Republic of) Thursday, May/09/2024 at 12:06 am CST
Korea (Republic of) Thursday, May/09/2024 at 12:06 am CST
Korea (Republic of) Thursday, May/09/2024 at 12:06 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
avagohc Used - $1,500.00 0 Nov/18/10 Mar/21/13
Description: LAM Research Gas Box, Part # 853-490626-200-E8-C221
mmmarch67 NEW - $1,490.00 0 Nov/18/10 Feb/06/22
Description: 810-494010-001 Lam Research A6 Gas Box I/O Interlock PCB NEW!!
fa-parts Used - $500.00 1 Dec/13/12 Dec/13/19
Description: NOVELLUS 02-054128-00 7/8 CHANNEL GAS BOX INTLK FAB 27-054128-00,SCH 76-054128-0
systasemi Used - $300.00 0 Dec/04/12 Jan/03/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Dec/04/12 Jan/03/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
usedeqsales Used - $3,507.78 1 Dec/11/12 Dec/18/12
Description: AMAT Quantum Leap 9010-00049 Gas Box 0010-99158 good working
systasemi Used - $300.00 0 Jan/06/13 Feb/05/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Jan/06/13 Feb/05/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
electronicswest NEW - $1,000.00 0 Jan/14/13 Jan/21/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
usedeqsales Used - $5,011.12 0 Jan/15/13 Jan/17/13
Description: AMAT Quantum Leap 9010-00049 Gas Box 0010-99158 good working
electronicswest NEW - $900.00 0 Jan/21/13 Jan/28/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
capitolareatech NEW - $4.72 0 Sep/29/11 May/06/13
Description: NOVELLUS 16-00104-00 ELB, GAS BOX EXHAUST
electronicswest NEW - $750.00 0 Jan/29/13 Feb/05/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
gesemiconductor NEW - $480.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1042-219-01 Panel Door Gas Box Rear
gesemiconductor NEW - $640.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1042-220-01 Panel Door Gas Box Side
electronicswest NEW - $500.00 0 Feb/05/13 Feb/12/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
systasemi Used - $300.00 0 Feb/13/13 Mar/15/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Feb/13/13 Mar/15/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
electronicswest NEW - $400.00 0 Feb/13/13 Feb/20/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
electronicswest NEW - $300.00 0 Feb/21/13 Feb/26/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
electronicswest NEW - $300.00 0 Feb/26/13 Mar/08/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
systasemi Used - $300.00 0 Mar/15/13 Apr/14/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Mar/15/13 Apr/14/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
capitolareatech NEW - $150.00 0 Mar/19/13 May/31/13
Description: APPLIED MATERIALS (AMAT) 4020-01192 FILTER,GAS BOX CORROSIVE
electronicswest NEW - $250.00 1 Apr/08/13 Apr/08/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
athomemarket Used - $4,465.99 0 Apr/08/13 Apr/15/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket Used - $3,999.99 0 Apr/15/13 Apr/22/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
systasemi Used - $300.00 0 Apr/14/13 May/14/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Apr/14/13 May/14/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
athomemarket Used - $4,465.99 0 Apr/17/13 May/17/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket Used - $3,999.99 0 Apr/23/13 May/23/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
nationwidehytech NEW - $31,200.00 0 May/05/13 Sep/02/13
Description: LAM Research 853-024401-100 Gas Box NEW
athomemarket Used - $3,999.99 0 May/07/13 May/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,299.99 0 May/07/13 May/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,999.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,299.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
systasemi Used - $300.00 0 May/15/13 Jun/14/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 May/15/13 Jun/14/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
athomemarket Used - $4,465.99 0 May/17/13 Jun/16/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket Used - $3,999.99 0 May/23/13 Jun/22/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket Used - $3,999.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,299.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
systasemi Used - $300.00 0 Jun/16/13 Jul/16/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Jun/16/13 Jul/16/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
athomemarket Used - $4,465.99 0 Jun/17/13 Jul/17/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket Used - $3,999.99 0 Jun/22/13 Jul/22/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
dr.fantom Used - $250.00 0 Jul/08/13 Feb/12/15
Description: Applied Materials GAS BOX DISTRIBUTION 0100-00567 SCHEM REF 0130-00567 Board
athomemarket Used - $4,019.39 0 Jul/17/13 Aug/16/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
systasemi Used - $300.00 0 Jul/17/13 Aug/16/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Jul/17/13 Aug/16/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus 02-266868-00 SESIOC 0 IXT HV Gas Box Firmware Ver 4.72 with Warranty
athomemarket Used - $3,599.99 0 Jul/22/13 Aug/21/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $1,999.99 0 Jul/31/13 Aug/03/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Aug/03/13 Aug/06/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Aug/06/13 Aug/09/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Aug/09/13 Aug/12/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Aug/12/13 Aug/15/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $2,969.99 0 Aug/13/13 Sep/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,599.99 0 Aug/13/13 Sep/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $1,999.99 0 Aug/15/13 Aug/18/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,019.39 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
systasemi Used - $300.00 0 Aug/18/13 Sep/17/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Aug/18/13 Sep/17/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
svcstore Used - $1,999.99 0 Aug/18/13 Aug/21/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Aug/21/13 Aug/24/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,599.99 0 Aug/22/13 Sep/21/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $1,999.99 0 Aug/30/13 Sep/02/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Sep/05/13 Sep/08/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Sep/08/13 Sep/11/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Sep/11/13 Sep/14/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,599.99 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $2,969.99 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $1,999.99 0 Sep/14/13 Sep/17/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,019.39 0 Sep/15/13 Oct/15/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $1,999.99 0 Sep/17/13 Sep/20/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Sep/20/13 Sep/23/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 Sep/21/13 Oct/21/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
systasemi Used - $300.00 0 Sep/22/13 Oct/22/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Sep/22/13 Oct/22/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
svcstore Used - $1,999.99 0 Sep/23/13 Sep/26/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
jammin928 NEW - $350.00 0 Sep/27/13 Oct/27/13
Description: Lam Research SMC Pnuematic manifold 853-021435-001 New Gas box control
svcstore Used - $1,999.99 0 Sep/30/13 Oct/03/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Oct/03/13 Oct/06/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Oct/06/13 Oct/09/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
prism_electronics7 Used - $129.99 0 Oct/07/13 Jul/27/22
Description: Novellus 839-170150-100 Rev 1 Weldment Ext. Exit L/L Unit Gas Box
prism_electronics3 Used - $264.99 0 Oct/08/13 Aug/23/22
Description: LAM RESEARCH 810-707022-001 GAS BOX EXPANSION CIRCUIT BOARD "Brand New"
sparepartssolution Used - $1,000.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09497 ASSY LID GAS BOX WSI USED
svcstore Used - $1,999.99 0 Oct/11/13 Oct/14/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,299.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,999.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
visionsemi Used - $355.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
athomemarket Used - $4,465.99 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $1,999.99 0 Oct/15/13 Oct/18/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Oct/18/13 Oct/21/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 Oct/21/13 Nov/20/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $1,999.99 0 Oct/21/13 Oct/24/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Oct/24/13 Oct/27/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
systasemi Used - $300.00 0 Oct/22/13 Nov/21/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Oct/22/13 Nov/21/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
visionsemi Used - $355.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
svcstore Used - $1,999.99 0 Oct/30/13 Nov/02/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Nov/02/13 Nov/05/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Nov/05/13 Nov/08/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Nov/08/13 Nov/11/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,299.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,999.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,465.99 0 Nov/14/13 Dec/14/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $1,999.99 0 Nov/11/13 Nov/14/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Nov/14/13 Nov/17/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Nov/20/13 Nov/23/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Nov/23/13 Nov/26/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
systasemi Used - $300.00 0 Nov/25/13 Dec/25/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Nov/25/13 Dec/25/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
svcstore Used - $1,999.99 0 Nov/27/13 Nov/30/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Nov/30/13 Dec/03/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Dec/03/13 Dec/06/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
capitolareatech Used - $750.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0010-09257 Precision 5000 CVD 8" WSI Gas Box
svcstore Used - $1,999.99 0 Dec/06/13 Dec/09/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Dec/09/13 Dec/12/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
capitolareatech NEW - $71.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-34381 Gas Box, EXZ
athomemarket Used - $3,331.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,037.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $1,999.99 0 Dec/12/13 Dec/15/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,508.99 0 Dec/14/13 Jan/13/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $1,999.99 0 Dec/15/13 Dec/18/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,037.99 0 Dec/20/13 Jan/19/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $1,999.99 0 Dec/18/13 Dec/21/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Dec/21/13 Dec/24/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Dec/27/13 Dec/30/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
visionsemi Used - $355.00 0 Dec/30/13 May/26/17
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
svcstore Used - $1,999.99 0 Dec/30/13 Jan/02/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Jan/02/14 Jan/05/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
systasemi Used - $300.00 0 Jan/01/14 Jan/31/14
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Jan/01/14 Jan/31/14
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
svcstore Used - $1,999.99 0 Jan/05/14 Jan/08/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,508.99 0 Jan/13/14 Feb/12/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket Used - $3,331.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,037.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $1,999.99 0 Jan/12/14 Jan/15/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Jan/15/14 Jan/18/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Jan/18/14 Jan/21/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,037.99 0 Jan/19/14 Feb/18/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $1,999.99 0 Jan/21/14 Jan/24/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
usedeqsales NEW - $601.14 1 Jan/27/14 Nov/07/14
Description: AMAT Applied Materials 0240-30104 Delta TEOS Or Delta Nitride Gas Box Kit New
systasemi Used - $300.00 0 Feb/05/14 Mar/07/14
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Feb/05/14 Mar/07/14
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
stifflersequipmentllc Used - $1,815.00 0 Feb/07/14 Dec/01/15
Description: NOVELLUS 03-255517-04 REV B INTERLOCK BOARD 26-255517-00 REVA GAS BOX
athomemarket Used - $3,331.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,037.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,508.99 0 Feb/12/14 Mar/14/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $1,999.99 0 Feb/12/14 Feb/15/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,037.99 0 Feb/18/14 Mar/20/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $1,999.99 0 Feb/18/14 Feb/21/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
empire1138 Scrap, for parts - $750.00 0 Feb/15/14 Feb/22/14
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001
svcstore Used - $1,999.99 0 Feb/21/14 Feb/24/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
empire1138 Scrap, for parts - $500.00 0 Feb/22/14 Mar/01/14
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001
svcstore Used - $1,999.99 0 Feb/24/14 Feb/27/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Feb/27/14 Mar/02/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
empire1138 Scrap, for parts - $500.00 0 Mar/08/14 Mar/15/14
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001
systasemi Used - $300.00 0 Mar/09/14 Apr/08/14
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Mar/09/14 Apr/08/14
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
athomemarket Used - $4,037.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,331.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,508.99 0 Mar/15/14 Apr/14/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
craigbred NEW - $830.00 0 Mar/17/14 Apr/16/14
Description: 0190-16591 GasBox Gas Box Heater for 300mm AMAT Producer
athomemarket Used - $4,037.99 0 Mar/21/14 Apr/20/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $1,499.99 0 Mar/20/14 Mar/23/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,499.99 0 Mar/23/14 Mar/26/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,499.99 0 Mar/26/14 Mar/29/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
empire1138 Scrap, for parts - $1,000.00 0 Mar/28/14 Apr/27/14
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001
svcstore Used - $1,499.99 0 Mar/29/14 Apr/01/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $705.15 0 Apr/01/14 May/26/17
Description: AMAT 0100-00567 Gas Box Distribution Circuit Board AMAT Endura 300mm working
svcstore Used - $1,499.99 0 Apr/01/14 Apr/04/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,499.99 0 Apr/04/14 Apr/07/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,499.99 0 Apr/07/14 Apr/10/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
systasemi Used - $300.00 0 Apr/08/14 May/08/14
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Apr/08/14 May/08/14
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
athomemarket Used - $3,331.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,037.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
capitolareatech NEW - $638.88 2 Apr/11/14 Jul/03/14
Description: Applied Materials (AMAT) 0041-04323 Gas Box Silane, Bypassing 200MM
svcstore Used - $1,499.99 0 Apr/10/14 Apr/13/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,508.99 0 Apr/14/14 May/14/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $1,499.99 0 Apr/14/14 Apr/17/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
farmoninc NEW - $750.00 0 Apr/17/14 Apr/29/22
Description: AMAT 0100-20411 PCB Assembly, Liquid Injection Gas Box I
farmoninc Used - $350.00 1 Apr/17/14 Aug/25/15
Description: AMAT 0020-30509 Plate, Gas Box
svcstore Used - $1,499.99 0 Apr/17/14 Apr/20/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,037.99 0 Apr/20/14 May/20/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $1,499.99 0 Apr/20/14 Apr/23/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,499.99 0 Apr/23/14 Apr/26/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,499.99 0 Apr/26/14 Apr/29/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
empire1138 Scrap, for parts - $1,000.00 0 Apr/27/14 May/27/14
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001
svcstore Used - $1,499.99 0 Apr/29/14 May/02/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
usedeqsales NEW - $305.14 3 May/02/14 May/03/14
Description: AMAT Applied Materials 0051-00743 IPA Exhaust Gas Box Reflexion GT New
craigbred NEW - $830.00 0 May/02/14 Jun/01/14
Description: 0190-16591 GasBox Gas Box Heater for 300mm AMAT Producer
svcstore Used - $1,499.99 0 May/02/14 May/05/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,499.99 0 May/16/14 May/19/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
farmoninc NEW - $350.00 1 May/19/14 Aug/09/17
Description: NEW AMAT 0021-13156 Panel, Right Side Bottom CVD gas box
svcstore Used - $1,499.99 0 May/19/14 May/22/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,037.99 0 May/20/14 Jun/19/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $1,499.99 0 May/23/14 May/26/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,499.99 0 May/26/14 May/29/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
empire1138 Scrap, for parts - $1,000.00 0 May/27/14 Jun/26/14
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001
svcstore Used - $1,499.99 0 May/29/14 Jun/01/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
grandbirdnet NEW - $2,200.00 0 May/30/14 Jun/01/23
Description: AMAT 0051-00743 IPA EXHAUST GAS BOX REFLEXION GT , NEW
fablogic NEW - $7,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials CVD 0010-09940 Gas Box, WSI (NEW) AMAT
fablogic Used - $6,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials 0010-09806 Gas Box, WSI 150 MM (USED) AMAT
svcstore Used - $1,499.99 0 Jul/29/14 Aug/01/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
hunted_one Used - $1,375.00 0 Jul/11/14 May/04/15
Description: 810-017075-003 Lam Research Gas Box Interlock PCB
svcstore Used - $1,499.99 0 Aug/01/14 Aug/04/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,499.99 0 Aug/04/14 Aug/07/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,037.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
fast_sell_4u Refurbished - $4,000.00 0 Aug/22/14 Sep/21/14
Description: Applied Materials AMAT 0010-09961 Rev. C 5000 DELTA/SACVD Nitride 4-6" Gas Box
svcstore Used - $999.99 0 Aug/25/14 Aug/28/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Aug/28/14 Aug/31/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Aug/31/14 Sep/03/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Sep/03/14 Sep/06/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Sep/06/14 Sep/09/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,037.99 0 Sep/09/14 Oct/09/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,331.99 0 Sep/10/14 Oct/10/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $999.99 0 Oct/03/14 Oct/06/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Oct/09/14 Oct/12/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,037.99 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,331.99 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,508.99 0 Oct/12/14 Nov/11/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $999.99 0 Oct/12/14 Oct/15/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Dec/02/14 Dec/05/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $1,252.14 0 Dec/04/14 May/26/17
Description: AMAT 9010-02266 Celerity Gas Card N2 AMAT Quantum X Gas Box working
used1eqsales Used - $1,252.14 0 Dec/04/14 May/26/17
Description: AMAT 9010-01382 Celerity Gas Card SiF4 AMAT Quantum X Gas Box working
used1eqsales Used - $1,252.14 0 Dec/04/14 May/26/17
Description: AMAT 9010-02276 Celerity Gas Card CO2 AMAT Quantum X Gas Box working
used1eqsales Used - $365.46 0 Dec/05/14 Dec/12/14
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Rev B Gas Box used works
used1eqsales Used - $365.46 0 Dec/05/14 Dec/12/14
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Rev A Gas Box used works
used1eqsales Used - $1,002.57 1 Dec/05/14 Oct/28/15
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev B Quantum X Gas Box used working
used1eqsales Used - $1,002.57 1 Dec/05/14 Sep/27/16
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev A Quantum X Gas Box used working
used1eqsales Used - $455.46 0 Dec/05/14 Apr/09/15
Description: AMAT 9090-00976 Arc Control DSP PCB Revision B Quantum X Gas Box used working
svcstore Used - $999.99 0 Dec/05/14 Dec/08/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Dec/08/14 Dec/11/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,039.99 0 Dec/09/14 Jan/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,333.99 0 Dec/09/14 Jan/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,510.99 0 Dec/11/14 Jan/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $999.99 0 Dec/11/14 Dec/14/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
keykorea NEW - $1,600.00 4 Oct/07/14 Dec/05/14
Description: AMAT 0040-02520 GAS BOX, SILANE, 200MM PRODUCER, Qualified 2ND NEW
ustechno7 Used - $144.99 1 Nov/22/14 Nov/23/14
Description: Applied Amat 0040-61266 GAS BOX, SIN, DXZ DCVD
svcstore Used - $999.99 0 Dec/14/14 Dec/17/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,039.99 0 Dec/17/14 Jan/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $999.99 0 Dec/17/14 Dec/20/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Dec/20/14 Dec/23/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
fast_sell_4u Refurbished - $1,500.00 0 Dec/21/14 Jan/20/15
Description: Applied Materials AMAT 0010-09961 Rev. C 5000 DELTA/SACVD Nitride 4-6" Gas Box
svcstore Used - $999.99 0 Dec/23/14 Dec/26/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
capitolareatech NEW - $101.15 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-32691 BLOCK ELBOW GAS BOX MICROWAVE REMOTE PLA
svcstore Used - $999.99 0 Dec/29/14 Jan/01/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bornalliancecom NEW - $7,500.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-09806 Gas Box, Assy. WSI 150 MM (New) AMAT
svcstore Used - $999.99 0 Jan/01/15 Jan/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
farmoninc NEW - $150.00 0 Jan/03/15 Mar/02/23
Description: AMAT 0150-07409 CABLE ASSY. GAS BOX OVERTEMP INTCNT, 300M
svcstore Used - $999.99 0 Jan/04/15 Jan/07/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Jan/07/15 Jan/10/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 Jan/08/15 Feb/07/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,298.99 0 Jan/08/15 Feb/07/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
keykorea NEW - $1,600.00 5 Jan/08/15 Apr/28/17
Description: AMAT 0040-02520 GAS BOX, SILANE, 200MM PRODUCER, Qualified 2ND NEW
athomemarket Used - $4,443.99 0 Jan/10/15 Feb/09/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
bornalliancecom NEW - $7,500.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0010-09940 Gas Box, WSI CVD (new) AMAT
svcstore Used - $999.99 0 Jan/10/15 Jan/13/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Jan/13/15 Jan/16/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
farmoninc NEW - $450.00 0 Jan/16/15 Mar/02/23
Description: AMAT 0020-13052 TOP COVER, GAS BOX LEFT, CHAMBER IN POS, 400461
athomemarket Used - $3,999.99 0 Jan/16/15 Feb/15/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $999.99 0 Jan/16/15 Jan/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Jan/19/15 Jan/22/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Jan/22/15 Jan/25/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Jan/25/15 Jan/28/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Jan/28/15 Jan/31/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Jan/31/15 Feb/03/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Feb/03/15 Feb/06/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Feb/06/15 Feb/09/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 Feb/07/15 Mar/09/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,298.99 0 Feb/07/15 Mar/09/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,443.99 0 Feb/09/15 Mar/11/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $999.99 0 Feb/09/15 Feb/12/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
prism_electronics6 Used - $49.95 1 Feb/12/15 Feb/08/18
Description: Lam Research LED Display for Gas Box Phase II 2, 810-803260-001
svcstore Used - $999.99 0 Feb/13/15 Feb/16/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 Feb/15/15 Mar/17/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $999.99 0 Feb/16/15 Feb/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Feb/19/15 Feb/22/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Feb/22/15 Feb/25/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Feb/25/15 Feb/28/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Feb/28/15 Mar/03/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Mar/03/15 Mar/06/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Mar/06/15 Mar/09/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
datacom2014 Used - $110.00 1 Mar/09/15 Mar/28/22
Description: AMAT 0100-00567 Gas Box Distribution Circuit Board 0130-00567 Applied Materials
athomemarket Used - $3,999.99 0 Mar/09/15 Apr/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,298.99 0 Mar/09/15 Apr/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $999.99 0 Mar/09/15 Mar/12/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,443.99 0 Mar/11/15 Apr/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $999.99 0 Mar/12/15 Mar/15/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 Mar/17/15 Apr/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $899.99 0 Apr/03/15 Apr/06/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Apr/06/15 Apr/09/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
catalystparts Used - $1,500.00 0 Apr/07/15 Sep/27/16
Description: Applied Materials 0010-70774 TX2 Gas Box Assy
athomemarket Used - $3,999.99 0 Apr/08/15 May/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,298.99 0 Apr/08/15 May/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $899.99 0 Apr/09/15 Apr/12/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,443.99 0 Apr/10/15 May/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $899.99 0 Apr/12/15 Apr/15/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Apr/15/15 Apr/18/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 Apr/16/15 May/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
microwavetech Used - $566.99 0 Apr/18/15 May/12/19
Description: Lam Research IGS Gas Box 810-073479-001 Main Board
svcstore Used - $899.99 0 Apr/18/15 Apr/21/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
farmoninc NEW - $4,500.00 1 Apr/22/15 Jan/18/18
Description: AMAT 0240-14172 Assy, Gas Box, WXZ, 0020-10876, 0020-62142, 0040-09050, 401414
svcstore Used - $899.99 0 Apr/21/15 Apr/24/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Apr/24/15 Apr/27/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Apr/28/15 May/01/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $1,252.14 6 Apr/30/15 Mar/04/17
Description: AMAT 9010-01378 Celerity Gas Card BF3 Quantum X Gas Box used working
svcstore Used - $899.99 0 May/01/15 May/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 May/04/15 May/07/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 May/07/15 May/10/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 May/09/15 Jun/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,298.99 0 May/09/15 Jun/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $899.99 0 May/10/15 May/13/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,443.99 0 May/11/15 Jun/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
used1eqsales Used - $4,005.15 1 May/12/15 May/13/15
Description: Applied Materials 0021-13154 Chamber 3 Gas Box Centura AMAT used sold as is
svcstore Used - $899.99 0 May/13/15 May/16/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $4,005.15 1 May/14/15 May/14/15
Description: Applied Materials 0021-13154 Chamber 3 Gas Box Centura P500 AMAT used sold as i
svcstore Used - $899.99 0 May/16/15 May/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 May/17/15 Jun/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
value-pack NEW - $1,550.00 0 May/19/15 Nov/15/15
Description: AMAT Gas Box, Silance 200mm Producer 0040-02520 2nd New
svcstore Used - $899.99 0 May/19/15 May/22/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $805.15 1 May/21/15 May/26/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
farmoninc NEW - $1,450.00 1 May/22/15 Jun/08/15
Description: AMAT 0010-20714 Assy Gas Box A/C, PCB, Pneumatic, Mounting Plate, 402164
svcstore Used - $899.99 0 May/22/15 May/25/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 May/26/15 May/29/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 May/29/15 Jun/01/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
auctionrus NEW - $495.00 0 May/31/15 Jun/14/16
Description: AMAT 0020-09604 Housing, Gas Box, Manifold, Insulator 402576
auctionrus NEW - $150.00 0 May/31/15 Dec/04/23
Description: Novellus 10-278783-00 Gas Manifold, Connection, Gas Box 402553
yhcet1 Used - $79.99 0 Jun/01/15 Jul/01/15
Description: Novellus 02-265208-00 TEOS Gas Box N2 Line Clean
yhcet1 Used - $299.99 0 Jun/01/15 Jul/01/15
Description: Novellus 02-140507-00 Ampule With Opticle Line In TEOS GAS Box
svcstore Used - $899.99 0 Jun/01/15 Jun/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jun/04/15 Jun/07/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $806.15 0 Jun/05/15 Jun/10/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
visionsemi NEW - $250.00 0 Jun/05/15 Mar/31/16
Description: APPLIED MATERIALS 0140-18285 HARNESS ASSY, RIGHT GAS BOX FILTER SIGNALS AMAT
svcstore Used - $899.99 0 Jun/07/15 Jun/10/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,298.99 0 Jun/08/15 Jul/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,999.99 0 Jun/08/15 Jul/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,443.99 0 Jun/10/15 Jul/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $899.99 0 Jun/10/15 Jun/13/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jun/13/15 Jun/16/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,599.99 0 Jun/16/15 Jul/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $899.99 0 Jun/16/15 Jun/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jun/19/15 Jun/22/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jun/23/15 Jun/26/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jun/26/15 Jun/29/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jun/29/15 Jul/02/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jul/02/15 Jul/05/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jul/05/15 Jul/08/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $1,000.00 0 Jul/08/15 Jun/27/17
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $1,000.00 0 Jul/08/15 Jun/26/17
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $899.99 0 Jul/08/15 Jul/11/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $900.00 0 Jul/10/15 Jun/28/17
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $899.99 0 Jul/11/15 Jul/14/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jul/14/15 Jul/17/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $750.00 0 Jul/16/15 Jul/05/17
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $899.99 0 Jul/18/15 Jul/21/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jul/23/15 Jul/26/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jul/27/15 Jul/30/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jul/30/15 Aug/02/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Aug/02/15 Aug/05/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Aug/06/15 Aug/09/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Aug/09/15 Aug/12/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Aug/12/15 Aug/15/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Aug/15/15 Aug/18/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Aug/18/15 Aug/20/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $908.15 1 Aug/19/15 Dec/15/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
sparesllc09 Used - $6,800.00 0 Aug/20/15 Nov/18/15
Description: 0010-09959 /ASSY GAS BOX BWCVD/ APPLIED MATERIALS
svcstore Used - $899.99 0 Aug/20/15 Aug/30/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Aug/30/15 Sep/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
x-pressmicro Used - $199.95 0 Sep/08/15 Nov/07/15
Description: APPLIED MATERIALS INTERLOCK TxZ GAS BOX CARD 0110-20458 VAL-007-3050-02
svcstore Used - $899.99 0 Sep/08/15 Sep/13/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Sep/14/15 Sep/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Sep/19/15 Sep/24/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Sep/24/15 Sep/29/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Sep/29/15 Oct/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
getspares.com_sparesllc09 NEW - $19,000.00 0 Sep/29/15 Nov/17/17
Description: 0010-38909 /CENTURA, ASSY GAS BOX WXZ UWAVE/ APPLIED MATERIALS
svcstore Used - $899.99 0 Oct/04/15 Oct/09/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Oct/09/15 Oct/14/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $674.99 0 Oct/14/15 Oct/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Oct/19/15 Oct/24/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Oct/24/15 Oct/29/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Oct/30/15 Nov/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Nov/09/15 Nov/14/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Nov/14/15 Nov/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Nov/19/15 Nov/24/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $799.99 0 Nov/24/15 Nov/29/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $799.99 0 Nov/29/15 Dec/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $799.99 0 Dec/04/15 Jan/03/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
tdindustrial NEW - $75.00 0 Jan/02/16 Feb/01/20
Description: LAM Alliance Modular Gas Box Integration Drawings & Schematic, 406-240204-013
svcstore Used - $799.99 0 Jan/03/16 Feb/02/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
vbaltazar209 Refurbished - $999.99 1 Jan/10/16 Nov/22/16
Description: Applied Materials AMAT 0010-09961 Universal TEOS DELTA SACVD Gas Box 5000 CVD
usedeqsales Used - $151.16 0 Jan/12/16 Jun/10/18
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Board Used Working
solanotraders Used - $950.00 0 Jan/18/16 Feb/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
capitolareatech NEW - $101.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-32691 BLOCK ELBOW GAS BOX MICROWAVE REMOTE PLA
used1eqsales Scrap, for parts - $376.08 1 Feb/03/16 Sep/23/16
Description: AMAT 9010-01382 Celerity Gas Card SiF4 AMAT Quantum X Gas Box untested as-is
svcstore Used - $799.99 0 Feb/03/16 Mar/04/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
sparesllc09 Used - $900.00 0 Feb/10/16 Jul/26/19
Description: 810-073479-005 /JET STREAM GAS BOX MB MAIN BOARD /LAM RESEARCH
capitolareatech NEW - $4,500.00 0 Feb/12/16 Feb/24/16
Description: AMAT 0242-37454 KIT,BASIC GAS BOX,UNIVERSAL CHAMBER
solanotraders Used - $950.00 0 Feb/17/16 Mar/18/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
capitolareatech NEW - $86.08 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-37587 COVER,EXHAUST GAS BOX,MXP CENTURA
capitolareatech NEW - $900.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-34446 GAS BOX, DXZ
capitolareatech NEW - $225.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-34381 Gas Box, EXZ
visionsemi NEW - $850.00 0 Mar/09/16 May/26/17
Description: APPLIED MATERIALS AMAT CVD REMOTE GAS BOX KIT 0240-23842 1400-01162 UV SENSOR
svcstore Used - $799.99 0 Mar/11/16 Apr/10/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
solanotraders Used - $950.00 0 Mar/18/16 Apr/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
capitolareatech NEW - $10.00 0 Apr/04/16 Jul/03/16
Description: AMAT 0020-37948 BRACKET SINGLE VALVE GAS BOX CENTURAGECO
svcstore Used - $799.99 0 Apr/10/16 May/10/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket NEW - $599.99 0 Apr/14/16 Apr/21/16
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
solanotraders Used - $760.00 0 Apr/18/16 May/18/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
capitolareatech NEW - $4.95 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-09533 HANDLE, GAS BOX
athomemarket NEW - $599.99 0 Apr/22/16 May/09/18
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
svcstore Used - $799.99 0 May/11/16 Jun/10/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
solanotraders Used - $760.00 0 May/18/16 Jun/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $799.99 0 Jun/13/16 Jul/13/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
solanotraders Used - $712.50 0 Jun/17/16 Jul/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $799.99 0 Jul/15/16 Aug/14/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
solanotraders Used - $760.00 0 Jul/17/16 Aug/16/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
sammy_etek NEW - $3,100.00 1 Jul/31/16 Dec/12/19
Description: 0010-22326, APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX
sammy_etek NEW - $2,000.00 1 Aug/08/16 Jul/25/18
Description: 0040-09260, APPLIED MATERIALS, GAS BOX,100MM/200MM WSI
j316gallery Used - $1,020.45 0 Aug/11/16 Jun/18/21
Description: 5510 APPLIED MATERIALS ASSY, UNIVERSAL TEOS GAS BOX 0010-09961
svcstore Used - $799.99 0 Aug/15/16 Sep/14/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
solanotraders Used - $950.00 0 Aug/16/16 Sep/15/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
solanotraders Used - $950.00 0 Sep/15/16 Oct/15/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $799.99 0 Sep/15/16 Oct/15/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
soldtou1 NEW - $999.99 0 Oct/12/16 Feb/23/18
Description: Novellus Systems Gas Box Distribution Speed 300 PCB 27-111301-00 02-111301-00
solanotraders Used - $760.00 0 Oct/15/16 Nov/14/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
orapma12012 Used - $8,995.00 0 Oct/16/16 Oct/23/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
farmoninc Used - $7,500.00 0 Oct/17/16 Mar/16/23
Description: AMAT 0020-10186, 5000 8" CVD Etch Chamber Lid, 200mm, Gas Box. 417330
svcstore Used - $799.99 0 Oct/18/16 Nov/17/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
orapma12012 Used - $8,995.00 0 Oct/20/16 Oct/27/16
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
orapma12012 Used - $8,995.00 0 Oct/23/16 Oct/30/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
spsglobal NEW - $300.00 0 Oct/24/16 Feb/10/17
Description: AMAT APPLIED MATERIALS 0020-42187 MANIFOLD, INLET, GAS BOX NEW
orapma12012 Used - $8,995.00 0 Oct/27/16 Nov/03/16
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
farmoninc NEW - $650.00 0 Oct/31/16 May/27/21
Description: AMAT 0040-03590 Pan, Spill, 1.2L TDMAT, TXZ Gas Box 300, 417820
solanotraders Used - $950.00 0 Nov/14/16 Dec/14/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
orapma12012 Used - $7,995.00 0 Nov/28/16 Dec/05/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $8,995.00 0 Nov/28/16 Dec/05/16
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
orapma12012 Used - $6,995.00 0 Dec/05/16 Dec/12/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $7,995.00 0 Dec/05/16 Dec/12/16
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
svcstore Used - $679.99 0 Dec/06/16 Jan/05/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
orapma12012 Used - $6,995.00 0 Dec/12/16 Dec/19/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $7,995.00 0 Dec/12/16 Dec/19/16
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
solanotraders Used - $760.00 0 Dec/14/16 Jan/13/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
farmoninc Used - $5,000.00 1 Dec/20/16 Oct/06/21
Description: AMAT 0010-09940, Assembly 8" WSI Gas Box, Feedthru, Top Lid, CVD, P5000. 420106
orapma12012 Used - $6,995.00 0 Jan/01/17 Jan/08/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $7,995.00 0 Jan/01/17 Jan/08/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
orapma12012 Used - $6,995.00 0 Jan/08/17 Jan/15/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $7,995.00 0 Jan/08/17 Jan/15/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
svcstore Used - $679.99 0 Jan/11/17 Feb/10/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
solanotraders Used - $950.00 0 Jan/13/17 Feb/12/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
orapma12012 Used - $6,995.00 0 Jan/15/17 Jan/22/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $7,995.00 0 Jan/15/17 Jan/22/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
orapma12012 Used - $6,995.00 0 Jan/25/17 Feb/01/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $7,995.00 0 Jan/25/17 Feb/01/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
usedhightechequipment Used - $7,290.00 0 Jan/31/17 Feb/05/17
Description: LAM Research OffBoard Gas Box Motherboard 300mm (12 gas), 810-800316-004 Rev C
orapma12012 Used - $6,995.00 0 Feb/01/17 Feb/08/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $7,995.00 0 Feb/01/17 Feb/08/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
usedhightechequipment Used - $7,999.00 0 Feb/06/17 Mar/25/17
Description: LAM Research OffBoard Gas Box Motherboard 300mm (12 gas), 810-800316-004 Rev C
orapma12012 Used - $6,995.00 0 Feb/08/17 Feb/15/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $7,995.00 0 Feb/08/17 Feb/15/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
solanotraders Used - $712.50 0 Feb/12/17 Mar/14/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $679.99 0 Feb/14/17 Mar/16/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
allforsale555 Used - $399.00 0 Mar/01/17 Jul/12/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID 200MM PRODUCER 0040-50344 REV 003
orapma12012 Used - $6,995.00 0 Mar/02/17 Mar/09/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
orapma12012 Used - $6,995.00 0 Mar/09/17 Mar/16/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
solanotraders Used - $760.00 0 Mar/14/17 Apr/13/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
orapma12012 Used - $6,995.00 0 Mar/16/17 Mar/23/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
svcstore Used - $679.99 0 Mar/17/17 Apr/16/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
gemrkim1109 NEW - $1,899.00 0 Mar/24/17 Apr/29/19
Description: Lam Research PCBA, JETSTREAM GAS BOX MB 810-073479-105 / Free Exp. Shipping
ace449parts2010 Used - $2,999.00 0 Mar/26/17 Oct/26/19
Description: AMAT 0041-04323 GAS BOX SILANE, PRODUCER - 2
cubit001 Used - $599.00 0 Mar/28/17 Apr/27/17
Description: APPLIED MATERIAL 0040-09091 GAS BOX 456PL
yericomfg NEW - $700.00 0 Apr/04/17 Aug/08/18
Description: Applied Materials 0100-02139 Gas Box Distribution Board HP+AxZ 300mm
j316gallery Used - $305.83 0 Apr/05/17 Aug/17/21
Description: 8150 APPLIED MATERIALS GAS BOX CHAMBER LID 200MM 0040-53927
farmoninc NEW - $1,500.00 1 Apr/12/17 Jul/13/18
Description: AMAT 0040-32073 Gas Box, Universal Lid w/ Alignment Holes, 422964
solanotraders Used - $760.00 0 Apr/13/17 May/13/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $679.99 0 Apr/17/17 May/17/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
testeqe NEW - $2,499.99 0 Apr/19/17 Jul/18/17
Description: NEW Novellus PN: 61-389405-00 15-Channel Pneumatic Gas Box Assembly Festo
cubit001 Used - $650.00 0 May/05/17 Jun/04/17
Description: AMAT 0010-09635 DELTA SACVD GAS BOX USED
dy-global NEW - $999.90 1 May/08/17 May/14/17
Description: 810-073479-105 REV.A PCBA,JETSTREAM GAS BOX MB LAM Research SEM-I-36=2M24
solanotraders Used - $950.00 0 May/13/17 Jun/12/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $679.99 0 May/19/17 Jun/18/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
visionsemi Used - $355.00 0 May/26/17 Sep/18/18
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
used1eqsales Used - $1,252.14 0 Jun/05/17 Mar/29/18
Description: AMAT 9010-01381 Celerity Gas Card GeF4 AMAT Quantum X Gas Box working
used1eqsales Used - $705.15 0 Jun/05/17 Mar/29/18
Description: AMAT 0100-00567 Gas Box Distribution Circuit Board AMAT Endura 300mm working
solanotraders Used - $950.00 0 Jun/12/17 Jul/12/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $679.99 0 Jun/21/17 Jul/21/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $1,000.00 0 Jun/26/17 Oct/18/18
Description: AMAT Applied Materials 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $1,000.00 1 Jun/26/17 Jul/21/17
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
testeqe NEW - $2,499.99 0 Jun/28/17 Aug/27/17
Description: NEW Novellus PN: 61-389405-00 15-Channel Pneumatic Gas Box Assembly Festo
athomemarket Used - $720.00 0 Jun/28/17 Jan/10/18
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
spsglobal Used - $2,000.00 1 Jun/28/17 Jan/18/24
Description: 131-0601 AMAT APPLIED 0010-09497 ASSY LID GAS BOX WSI [USED]
athomemarket Used - $750.00 1 Jul/04/17 Nov/03/17
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
tgs816 Used - $150.00 0 Jul/11/17 Jul/13/17
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB
solanotraders Used - $712.50 0 Jul/12/17 Aug/11/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
tgs816 Used - $75.00 0 Jul/13/17 Jul/20/17
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB
tgs816 Used - $50.00 0 Jul/21/17 Jul/28/17
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB
svcstore Used - $679.99 0 Jul/24/17 Aug/23/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
j316gallery Used - $600.00 0 Jul/26/17 Sep/16/18
Description: 9053 LAM RESEARCH PCB A6 GAS BOX I/O INTERLOCK 810-494010-001
visionsemi NEW - $110.00 0 Aug/01/17 Sep/13/22
Description: APPLIED MATERIALS AMAT HARNESS ASSY GAS BOX FILTER SIGNAL PRODUCER 0140-18285
tgs816 Used - $27.00 1 Aug/09/17 Aug/16/17
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB
solanotraders Used - $950.00 0 Aug/11/17 Sep/10/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $679.99 0 Aug/24/17 Sep/23/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
novusferro Used - $2,499.00 0 Sep/03/17 Dec/22/17
Description: Lam Research Corporation 16-Line IGS Gas Box 571-033051-003
solanotraders Used - $570.00 0 Sep/10/17 Oct/10/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $679.99 0 Sep/24/17 Oct/24/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
solanotraders Used - $608.00 0 Oct/10/17 Nov/09/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
cubit001 Used - $1,288.00 0 Oct/10/17 Nov/09/17
Description: AMAT 0040-32073 Gas Box, Universal Lid w/ Alignment Holes
svcstore Used - $679.99 0 Oct/24/17 Nov/23/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
texassellbuy Used - $525.00 1 Nov/03/17 Nov/06/17
Description: 0021-09761 GAS BOX, SIN,DXZ, Applied Materials
solanotraders Used - $760.00 0 Nov/09/17 Dec/09/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
bobsgoodies NEW - $2,500.00 2 Nov/10/17 Aug/22/18
Description: 0010-22326 APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX
svcstore Used - $485.99 0 Dec/07/17 Jan/06/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
solanotraders Used - $570.00 0 Dec/09/17 Jan/08/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
farmoninc Used - $4,200.00 0 Dec/28/17 Mar/03/22
Description: AMAT 0010-09940 Assembly 8" WSI Gas Box Feedthru, Top Lid, CVD, P5000, 424021
solanotraders Used - $570.00 0 Jan/08/18 Feb/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $485.99 0 Jan/09/18 Feb/08/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
sparesllc09 Used - $8,000.00 0 Jan/11/18 Jan/23/18
Description: 571-065780-701 / KIYO ALL IN ONE GAS BOX 16 LINE IGS / LAM
j316gallery Used - $363.30 0 Feb/05/18 Jan/04/23
Description: 10346 APPLIED MATERIALS 5000 CVD 8'' WSI GAS BOX 0010-09940
qrecycle NEW - $888.88 0 Feb/05/18 Feb/08/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
solanotraders Used - $608.00 0 Feb/07/18 Mar/09/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
capitolareatech NEW - $1,395.00 0 Feb/09/18 Apr/13/18
Description: Applied Materials (AMAT) 0040-32073 Gas Box, Universal Lid w/ Alignment Holes
qrecycle NEW - $888.88 0 Feb/09/18 Feb/12/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle NEW - $588.88 0 Feb/12/18 Feb/19/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
svcstore Used - $485.99 0 Feb/15/18 Mar/17/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
qrecycle NEW - $588.88 0 Feb/19/18 Feb/22/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
asmtk Used - $500.00 0 Feb/20/18 Oct/01/21
Description: Applied Materials 0200-34775 BLOCER SILOX8" UNIV CH NON STEPPER GAS BOX AMAT
qrecycle NEW - $588.88 0 Feb/23/18 Feb/26/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
soldtou1 NEW - $1,899.99 0 Feb/23/18 Mar/03/22
Description: Novellus Systems 76-111301-00 02-111301 Gas Box Distribution Speed 300 PCB LAM
qrecycle NEW - $588.88 0 Feb/26/18 Mar/01/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle NEW - $588.88 0 Mar/01/18 Mar/06/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle NEW - $588.88 0 Mar/07/18 Mar/14/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
solanotraders Used - $608.00 0 Mar/09/18 Apr/08/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
qrecycle NEW - $588.88 0 Mar/15/18 Mar/22/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
usedeqsales Used - $305.15 2 Mar/16/18 Feb/24/22
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Endura 300mm Used
svcstore Used - $485.99 0 Mar/19/18 Apr/18/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
qrecycle NEW - $588.88 0 Mar/22/18 Mar/29/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle NEW - $588.88 0 Apr/01/18 Apr/06/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
capitolareatech NEW - $169.95 0 Apr/03/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-26346 GAS LINE, INLET N2 HEATER CVD GAS BOX
solanotraders Used - $608.00 0 Apr/08/18 May/08/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
qrecycle NEW - $588.88 0 Apr/08/18 Apr/13/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
j316gallery Used - $16,500.00 0 Apr/11/18 Sep/24/19
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003
qrecycle NEW - $588.88 0 Apr/15/18 Apr/20/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
svcstore Used - $485.99 0 Apr/19/18 May/19/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
qrecycle NEW - $588.88 0 Apr/20/18 Apr/25/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle NEW - $588.88 0 Apr/25/18 May/02/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
katiil3 Used - $1,000.00 0 Apr/28/18 Oct/23/21
Description: Applied materials/ AMAT 0041-62587 GAS BOX FOR CENTER FLOW
gordonca Used - $555.00 0 Apr/30/18 Mar/09/23
Description: Applied Materials 0100-02139 Gas Box Distribution Bd HP+AxZ 300mm
qrecycle NEW - $588.88 0 May/03/18 May/08/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle NEW - $588.88 0 May/08/18 May/11/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
solanotraders Used - $570.00 0 May/08/18 Jun/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
athomemarket NEW - $79.99 0 May/09/18 Aug/07/19
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
qrecycle NEW - $388.00 0 May/11/18 May/14/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle NEW - $588.88 0 May/14/18 May/17/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
capitolareatech NEW - $9.95 0 May/16/18 Aug/24/18
Description: Applied Materials (AMAT) 0020-37948 BRACKET SINGLE VALVE GAS BOX CENTURAGECO
qrecycle NEW - $588.88 0 May/17/18 May/22/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
svcstore Used - $485.99 0 May/19/18 Jun/18/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
capitolareatech NEW - $14.95 3 May/20/18 Feb/01/19
Description: Applied Materials (AMAT) 0020-39869 BRKT., BLOCK VALVE, GAS BOX, CENTURA
capitolareatech NEW - $39.95 0 May/20/18 Mar/09/19
Description: Applied Materials (AMAT) 0021-39007 BRACKET, 6 POSITION CAJON ,GAS BOX 31
sparesllc09 Used - $220.00 0 May/22/18 Sep/27/19
Description: 0020-31425 /LID, MIXER GAS BOX, BWCVD/APPLIED MATERIALS
qrecycle NEW - $588.88 0 May/23/18 May/28/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
solanotraders Used - $570.00 0 Jun/07/18 Jul/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
dgold32 Used - $389.00 0 Jun/15/18 Mar/09/23
Description: Lam Research 007 IGS Gas Box MB 810-073479-003 REV A Board GASBOX LAM
ace_stellar_seller NEW - $999.00 1 Jun/20/18 Jul/25/18
Description: AMAT 0021-09760 GAS BOX DXZ
ace_stellar_seller NEW - $1,800.00 1 Jun/20/18 Aug/27/18
Description: AMAT 0040-61266 REV 03 GAS BOX, SIN, DXZ DCVD
svcstore Used - $485.99 1 Jun/22/18 Jul/09/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
dnd_surplus Refurbished - $1,350.00 0 Jul/05/18 Aug/04/18
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
solanotraders Used - $608.00 0 Jul/07/18 Aug/06/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
dnd_surplus Refurbished - $500.00 0 Jul/10/18 Aug/09/18
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
dnd_surplus Refurbished - $2,000.00 0 Jul/10/18 Aug/09/18
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
sparesllc09 NEW - $95,000.00 0 Jul/18/18 Oct/12/18
Description: 575-800325-417 **3 PIECE SET** / 2300 FLEX EX +PM CH W GAS BOX AND RF CART/ LAM
smi-sales Used - $4,100.00 0 Aug/02/18 Apr/08/19
Description: AMAT P/N 0010-09940 ASSY 8" GAS BOX WSI
dnd_surplus Refurbished - $1,350.00 0 Aug/04/18 Sep/03/18
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
usedeqsales Used - $458.17 0 Aug/06/18 Jun/30/22
Description: AMAT Applied Materials 0240-30104 Delta TEOS or NITRIDE Gas Box Kit New Surplus
solanotraders Used - $570.00 0 Aug/08/18 Sep/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
storemanager-2009 NEW - $1,690.00 0 Aug/09/18 Aug/09/18
Description: 0040-09091 GAS BOX 456PL
storemanager-2009 Used - $603.00 0 Aug/09/18 Aug/09/18
Description: 0040-01381 PALLET 10 LINE GAS BOX, 31"
dnd_surplus Refurbished - $2,000.00 0 Aug/09/18 Sep/08/18
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
yericomfg NEW - $700.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials 0100-02139 Gas Box Distribution Board HP+AxZ 300mm
dnd_surplus Refurbished - $500.00 0 Aug/10/18 Sep/09/18
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
spsglobal Used - $10.00 0 Aug/16/18 Jun/30/22
Description: 351-0301// AMAT APPLIED 0020-37948 BRACKET SINGLE VALVE GAS BOX CENTURAGECO NEW
capitolareatech NEW - $9.95 0 Aug/24/18 Aug/09/19
Description: Applied Materials (AMAT) 0020-37948 BRACKET SINGLE VALVE GAS BOX CENTURAGECO
capitolareatech NEW - $69.95 0 Sep/01/18 Nov/01/18
Description: Applied Materials (AMAT) EPI 0150-20719 CABLE ASSY GAS BOX INTERLOCK
dnd_surplus Refurbished - $1,350.00 0 Sep/03/18 Oct/03/18
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
solanotraders Used - $570.00 0 Sep/07/18 Oct/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
dnd_surplus Refurbished - $2,000.00 0 Sep/08/18 Oct/08/18
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
dnd_surplus Refurbished - $500.00 0 Sep/09/18 Oct/09/18
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
capitolareatech NEW - $49.95 0 Sep/11/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-64694 RF STANDOFF, BRASS, HEATED GAS BOX, 300
j316gallery Used - $1,119.82 1 Sep/19/18 Jul/28/21
Description: 11928 LAM RESEARCH PCB, GAS BOX INTERLOCK 810-017075-004
visionsemi Used - $355.00 0 Sep/21/18 Mar/26/19
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
techshop7777 Used - $739.99 0 Sep/25/18 Oct/25/18
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015
dnd_surplus Refurbished - $1,350.00 0 Oct/03/18 Nov/02/18
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
prism_electronics12 Used - $11,200.00 0 Oct/05/18 Nov/18/20
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
dnd_surplus Refurbished - $2,000.00 0 Oct/08/18 Nov/07/18
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
prism_electronics12 Used - $16,000.00 0 Oct/09/18 Sep/21/20
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG
dnd_surplus Refurbished - $500.00 0 Oct/09/18 Nov/08/18
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
prism_electronics12 Used - $18,000.00 0 Oct/10/18 Jul/21/20
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE
prism_electronics12 Used - $32,500.00 0 Oct/16/18 Feb/23/22
Description: NEW LAM RESEARCH 846-035344-001 GAS BOX
athomemarket Used - $600.00 0 Oct/18/18 Jan/16/20
Description: AMAT Applied Materials 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
solanotraders Used - $760.00 0 Oct/19/18 Nov/18/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
dnd_surplus Refurbished - $1,350.00 0 Nov/02/18 Dec/02/18
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
dnd_surplus Refurbished - $2,000.00 0 Nov/07/18 Dec/07/18
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
dnd_surplus Refurbished - $500.00 0 Nov/08/18 Dec/08/18
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
solanotraders Used - $570.00 0 Nov/18/18 Dec/18/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
techshop7777 Used - $739.99 0 Nov/28/18 Dec/28/18
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015
dnd_surplus Refurbished - $1,350.00 0 Dec/02/18 Jan/01/19
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
dnd_surplus Refurbished - $2,000.00 0 Dec/07/18 Jan/06/19
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
dnd_surplus Refurbished - $500.00 0 Dec/08/18 Jan/07/19
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
dom0808 Used - $2,528.90 0 Dec/26/18 Feb/11/22
Description: Lam Research Jetstream Gas Box MB 810-073479-005
solanotraders Used - $570.00 0 Dec/28/18 Jan/27/19
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
techshop7777 Used - $739.99 0 Dec/29/18 Jan/28/19
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015
dnd_surplus Refurbished - $1,350.00 0 Jan/01/19 Jan/31/19
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
dnd_surplus Refurbished - $2,000.00 0 Jan/06/19 Feb/05/19
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
dnd_surplus Refurbished - $500.00 0 Jan/07/19 Feb/06/19
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
bobsgoodies2 Used - $129.00 0 Jan/22/19 Mar/31/22
Description: AMAT Applied Materials 0021-39009 BRACKET BLOCK VALVE CAJON,GAS BOX (Lot of 2)
solanotraders Used - $608.00 0 Jan/27/19 Feb/26/19
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
techshop7777 Used - $739.99 0 Jan/28/19 Feb/27/19
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015
dnd_surplus Refurbished - $1,350.00 0 Jan/31/19 Mar/02/19
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
dnd_surplus Refurbished - $500.00 0 Feb/06/19 Mar/08/19
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
gemrkim1103 Used - $1,899.00 0 Mar/28/19 May/24/22
Description: Lam Research PCBA, JETSTREAM GAS BOX MB 810-073479-105 / Free Exp. Shipping
riverstar777 Used - $15,000.00 0 Mar/29/19 Jun/04/20
Description: LAM Research 571-065780-702 16 Line Gas Box
solanotraders Used - $608.00 1 Apr/12/19 Nov/23/20
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
usedeqsales Used - $705.19 0 May/01/19 Jun/29/23
Description: Lam Research 810-707054-002 Gas Box I/O Interlock Board PCB FPD Continuum Spare
usedeqsales Used - $705.19 0 May/01/19 Jun/29/23
Description: Lam Research 810-707054-001 Gas Box I/O Interlock Board PCB FPD Continuum Spare
sfwish Used - $7,490.00 1 Apr/30/19 May/11/22
Description: NEW Applied Materials/AMAT 0040-53688 Gas Box *NO BLUE BOX!*
bt_store1 Used - $1,800.00 0 May/28/19 Jul/15/21
Description: AMAT 0040-02520 Gas Box
dgold32 Used - $299.99 1 May/30/19 Mar/31/21
Description: LAM RESEARCH 810-073479-005 JET STREAM GAS BOX MB MAIN BOARD
bt_store1 Used - $4,550.00 1 Jun/05/19 Jul/15/21
Description: AMAT 0040-31980 WXZ GAS BOX
bt_store1 Used - $4,900.00 0 Jun/05/19 Jul/15/21
Description: AMAT 0040-09095 GAS BOX 200MM
bt_store1 Used - $3,450.00 0 Jun/10/19 Jul/15/21
Description: AMAT 0040-53688 Gas box
getspares.com_sparesllc09 Used - $2,000.82 0 Jun/13/19 May/30/23
Description: 0010-09959 /ASSY GAS BOX BWCVD/ APPLIED MATERIALS
jake_russell NEW - $3,550.00 0 Jun/27/19 Jan/13/21
Description: [AMAT] 0040-53927, GAS BOX CHAMBER LID, PRODUCER 200MM
svcstore Used - $449.99 0 Jul/03/19 Sep/16/19
Description: LAM Research 810-707022-001 Rev.A Gas Box Expansion Board Module
maxisemi1349 Used - $330.00 2 Jul/08/19 Dec/20/21
Description: 0020-31425 MIXER GAS BOX LID BWCVD, lot of 2
svcstore Used - $649.99 0 Jul/11/19 Sep/16/19
Description: LAM Research 810-707054-001 Rev.E3 Gas Box I/O Interlock Board Assembly
svcstore Used - $649.99 0 Jul/16/19 Sep/16/19
Description: LAM Research 810-707054-002 Rev.A Gas Box I/O Interlock Board Assembly
j316gallery Used - $372.44 0 Jul/30/19 May/24/23
Description: 14761 APPLIED MATERIALS ASSY, GAS BOX, EC WXZ 0010-35808
getspares.com_sparesllc09 Used - $500.98 0 Jul/26/19 Apr/09/21
Description: 810-073479-005 /JET STREAM GAS BOX MB MAIN BOARD /LAM RESEARCH
roundtable1 NEW - $599.00 0 Aug/01/19 Aug/02/22
Description: Applied Materials 0200-34775 BLOCER SILOX8" UNIV CH NON STEPPER GAS BOX AMAT
j316gallery Used - $11,177.70 0 Aug/05/19 Jan/26/22
Description: 15004 APPLIED MATERIALS ASSY 8 GAS BOX WSI W/0040-09136 0010-09940
athomemarket NEW - $79.99 0 Aug/07/19 Nov/05/20
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
dom0808 Used - $8,999.00 0 Aug/09/19 Nov/04/19
Description: Lam Research JETSTREAM GAS BOX 571-065780-705 All in 1 Gas Box 571-065780-705
dom0808 Used - $22,100.00 0 Aug/14/19 Nov/04/19
Description: Lam Research Jetstream Gas Box 571-065780-703 All in 1 Gas Box 571-065780-703
dom0808 Used - $17,900.00 0 Aug/19/19 Sep/24/19
Description: Lam Research 16 Line IGS Gas Box 571-033051-004
svcstore Used - $584.99 0 Sep/16/19 Nov/21/22
Description: LAM Research 810-707054-002 Rev.A Gas Box I/O Interlock Board Assembly
svcstore Used - $584.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 810-707054-001 Rev.E3 Gas Box I/O Interlock Board Assembly
svcstore Used - $404.99 0 Sep/18/19 Dec/27/22
Description: LAM Research 810-707022-001 Rev.A Gas Box Expansion Board Module
storemanager-2009 Used - $1,800.00 0 Sep/20/19 Jul/17/21
Description: AMAT 0040-09095 GAS BOX 200MM
j316gallery Used - $1,500.00 0 Sep/24/19 Oct/01/19
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003
getspares.com_sparesllc09 Used - $206.66 1 Sep/27/19 Jan/17/22
Description: 0020-31425 /LID, MIXER GAS BOX, BWCVD/APPLIED MATERIALS
j316gallery Used - $18,331.50 0 Oct/02/19 Nov/11/21
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003
dom0808 Used - $28,270.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-705
dom0808 Used - $42,130.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-704
dom0808 Used - $29,590.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-704 MFC not fitted.
dom0808 Used - $42,240.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-703
dom0808 Used - $29,645.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box 571-065780-702 All In 1 Gas Box
dom0808 Used - $29,634.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-702
dom0808 Used - $3,509.00 0 Nov/06/19 Feb/11/22
Description: Lam Research Jetstream Gas Box MB 810-073479-005 NODE BOARD TYPE 27
dom0808 Used - $2,587.00 0 Nov/06/19 Feb/11/22
Description: Lam Research Jetstream Gas Box MB 810-073479-005
autoquip7 NEW - $3,100.00 2 Dec/13/19 Jan/13/21
Description: 0010-22326, APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX
athomemarket Used - $600.00 1 Jan/16/20 Aug/12/20
Description: AMAT Applied Materials 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
senior-inc Used - $40,000.00 0 Jan/25/20 Jul/23/20
Description: LRC ENHANCED GAS BOX 571-471052-001, ANALOG 12 CHANNEL
dom0808 Used - $48,290.00 0 Jan/29/20 Feb/11/22
Description: Lam Research GAS BOX GIB, E6 853-082522-612
techshop7777 NEW - $569.00 1 Feb/05/20 May/12/22
Description: NEW NOVELLUS 7/8 CHANNEL GAS BOX INTLK PCB BOARD ASSY 02-054128-00
dom0808 Used - $495.00 0 Feb/12/20 Feb/11/22
Description: Novellus GAS BOX DIST, BROOKS D-SUB 02-057958-00
j316gallery Used - $1,151.70 0 Mar/04/20 Sep/02/21
Description: 15563 APPLIED MATERIALS GAS BOX, SIN, DXZ DCVD 0040-61266
j316gallery NEW - $1,465.80 0 Mar/10/20 Sep/02/21
Description: 15569 APPLIED MATERIALS GAS BOX, DXZ (NEW) 0021-09760
techequipsales Used - $2,500.00 1 May/08/20 Oct/18/21
Description: LAM Research 846-077848-725 All In One Gas Box *non-working*
techequipsales Used - $1,250.00 0 May/08/20 Sep/09/20
Description: LAM Research 846-077848-786 Jetstream Gas Box *non-working*
novusferro Used - $799.00 0 Jun/15/20 Apr/26/21
Description: LAM Research 853-019732-010 Rev E Gas Box Assembly with Mass Flow Controllers
prism_electronics12 Used - $13,000.00 1 Jul/21/20 Feb/03/21
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE
prism_electronics12 Used - $15,000.00 0 Jul/21/20 Jul/24/20
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE
getspares.com_sparesllc09 Used - $20,440.60 0 Aug/19/20 Dec/13/21
Description: 571-033051-004 / 16-LINE IGS GAS BOX 571-033051-11866D / LAM RESEARCH
getspares.com_sparesllc09 Used - $20,440.60 0 Aug/19/20 Dec/13/21
Description: 571-033051-001 / KIYO ALL IN ONE GAS BOX 16 LINE IGS 571-033051-12315A2 / LAM
getspares.com_sparesllc09 Used - $20,440.60 0 Aug/19/20 Dec/13/21
Description: 571-033051-003 / 16 LINE IGS GAS BOX 571-033051-13664C / LAM RESEARCH
getspares.com_sparesllc09 Used - $20,440.60 0 Aug/19/20 Dec/13/21
Description: 571-033051-005 / 16-LINE IGS GAS BOX 571-033051-31050E / LAM RESEARCH
getspares.com_sparesllc09 Used - $20,440.60 0 Aug/19/20 Jun/15/21
Description: 571-033051-002 / 16 LINE IGS GAS BOX 571-033051-13350B / LAM
spsglobal Used - $60.00 0 Aug/25/20 Sep/27/21
Description: 351-0201// AMAT APPLIED 0020-31425 MIXER GAS BOX LID BWCVD [USED]
j316gallery NEW - $3,139.95 0 Sep/10/20 Aug/17/21
Description: 21057 APPLIED MATERIALS GAS BOX CHAMBER LID 200MM PRODUCER (NEW) 0040-53927
prism_electronics12 Used - $9,999.99 0 Sep/21/20 Sep/24/20
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG
prism_electronics12 Used - $9,999.99 1 Sep/21/20 Feb/03/21
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG
xclusivelyglam1 Scrap, for parts - $4,999.99 0 Oct/05/20 Mar/17/21
Description: LAM Research 846-051190-206 All In One Gas Box *non-working, Parts Only!*
j316gallery Used - $1,988.69 0 Oct/30/20 May/26/22
Description: 21666 APPLIED MATERIALS GAS BOX, SILANE, 200MM PRODUCER 0040-02520
athomemarket Used - $79.99 0 Nov/05/20 Jan/03/22
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
tjtechseller Used - $2,300.00 1 Nov/06/20 Sep/06/21
Description: 0040-00028 0040-31980 AMAT Applied Material WxZ Gas Box
sgcequipment Used - $9,500.00 0 Nov/18/20 Mar/03/21
Description: LAM Research 571-033051-003 16-Line IGS Gas Box
sgcequipment Used - $9,500.00 0 Nov/18/20 Mar/03/21
Description: LAM Research 571-033051-004 16-Line IGS Gas Box
prism_electronics12 Used - $10,400.00 1 Nov/18/20 Jan/03/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
prism_electronics12 Used - $4,999.99 0 Nov/18/20 Nov/23/20
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
svcstore Used - $4,999.99 0 Jan/08/21 Dec/27/22
Description: LAM Research 846-051190-846 All In One Gas Box w/2x Brooks CMX45 + Control Board
autoquip7 NEW - $3,100.00 1 Jan/14/21 Jul/25/22
Description: 0010-22326, APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX
grandbirdnet NEW - $800.00 2 Feb/09/21 Jun/14/22
Description: NOVELLUS 02-057958-00 GAS BOX DIST, BROOKS D-SUB, NEW
prism_electronics12 Used - $9,999.99 0 Feb/10/21 Feb/10/21
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG
prism_electronics12 Used - $13,000.00 0 Feb/10/21 Feb/10/21
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE
prism_electronics12 Used - $9,999.99 0 Feb/10/21 Feb/10/21
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG
prism_electronics12 Used - $13,000.00 0 Feb/10/21 Feb/10/21
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE
xl-t_com Used - $377.00 1 Mar/22/21 Oct/19/21
Description: LAM - 810-017059-001 - PCB ORB WELD GAS BOX INTL
dgold32 Used - $1,999.99 1 Mar/22/21 Jan/03/22
Description: LAM Research 846-051190-846 All In One Gas Box
xl-t_com Used - $80.00 0 Apr/01/21 Oct/19/21
Description: LAM - 714-091010-001 - BLANKOFF, GAS BOX VENT
equipplus Used - $569.00 1 Apr/04/21 Sep/18/21
Description: LAM Research 810-073479-005 Rev A Jetstream Gas Box MB Board,Used,US^7141
novusferro Used - $19,999.00 0 May/17/21 Jun/02/21
Description: Applied Materials AMAT 0130-20411 Endura Gas Box
j316gallery Used - $1,900.00 0 Jun/01/21 Aug/05/21
Description: 24839 LAM RESEARCH PCB, JETSTREAM GAS BOX MB 810-073479-005
novusferro Used - $499.00 1 Jun/18/21 Oct/12/21
Description: Lam Research 810-017074-003 Rev 2 Orbital Gas Box PCB Board
j316gallery Used - $1,020.45 1 Jun/18/21 Jul/15/21
Description: 5510 APPLIED MATERIALS ASSY, UNIVERSAL TEOS GAS BOX 0010-09961
capitolareatech Used - $1,195.95 0 Jul/03/21 Dec/22/22
Description: Applied Materials (AMAT) 0040-09091 GAS BOX 456PL
techequipsales Used - $2,500.00 1 Nov/01/21 Jan/05/22
Description: LAM Research 846-077848-725 All In One Gas Box *non-working*
j316gallery Used - $976.65 0 Nov/03/21 Mar/22/23
Description: 26582 APPLIED MATERIALS PCB ASSY, CONFIGURABLE INTLK, TXZ GAS BOX 0100-20458
katiil3 Used - $1,000.00 0 Nov/09/21 Mar/09/22
Description: Applied materials/ AMAT 0041-62587 GAS BOX FOR CENTER FLOW
katiil3 Used - $399.00 0 Nov/09/21 Mar/09/22
Description: Applied materials 0040-50344 Gas box chamber lid 200MM PMD Producer
j316gallery Used - $18,331.50 0 Nov/11/21 Nov/23/22
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003
athomemarket Used - $79.99 0 Jan/08/22 Jun/30/22
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
j316gallery Used - $200.00 1 Jan/08/22 Aug/19/22
Description: 26912 LAM RESEARCH PCB, GAS BOX (PARTS) 810-017074-003
prism_electronics12 Used - $11,200.00 0 Jan/10/22 Feb/23/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
farmoninc Used - $5,500.00 0 Jan/12/22 Jun/30/22
Description: AMAT P5000 CHAMBER LID, 0040-32073 GAS BOX, 0010-09761 5000 CVD GAS BOX, 103134
folkestonestars Used - $1.00 0 Jan/22/22 Jan/22/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
excessdealer Used - $600.00 1 Jan/27/22 May/25/22
Description: LAM RESEARCH 571-033051-002 16-LINE IGS GAS BOX 150 PSIG
farmoninc Used - $5,500.00 0 Jan/27/22 Apr/20/23
Description: AMAT CHAMBER LID P5000, 0040-09091 GAS BOX, AMAT 0020-10135, 103397
farmoninc Used - $5,500.00 0 Jan/27/22 Apr/12/23
Description: AMAT P5000 CHAMBER LID, 0040-09091 GAS BOX, AMAT 0040-10135, 0020-09604, 103394
merakii-uk Used - $1.00 0 Jan/29/22 Jan/29/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
getspares.com_sparesllc09 Used - $206.66 0 Feb/04/22 Mar/03/22
Description: 0020-31425 / LID, MIXER GAS BOX, BWCVD / APPLIED MATERIALS AMAT
jappartsgalore Used - $1.00 0 Feb/06/22 Feb/06/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
bertrum4572 Used - $1.00 0 Feb/06/22 Feb/06/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
eddiesrecords Used - $1.00 0 Feb/06/22 Feb/06/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
bakclk1 Used - $1.00 0 Feb/07/22 Feb/07/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
j316gallery Used - $2,122.30 0 Feb/10/22 Mar/13/23
Description: 27090 LAM RESEARCH PCB, JETSTREAM GAS BOX MB 810-073479-005
agri-supplyukltd Used - $1.00 0 Feb/11/22 Feb/11/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
openanduseb4-labels Used - $1.00 0 Feb/12/22 Feb/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
stewart8768 Used - $1.00 0 Feb/12/22 Feb/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
sootysmtg Used - $1.00 0 Feb/12/22 Feb/13/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
jskautomotivenew Used - $1.00 0 Feb/17/22 Feb/17/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
classiccover Used - $1.00 0 Feb/19/22 Feb/20/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
ledfirstchoiceltd Used - $1.00 0 Feb/19/22 Feb/20/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
prism_electronics12 Used - $15,000.00 0 Feb/23/22 Mar/02/22
Description: NEW LAM RESEARCH 846-035344-001 GAS BOX
prism_electronics12 Used - $5,999.99 0 Feb/23/22 Mar/02/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
fogmodels Used - $1.00 0 Feb/26/22 Feb/26/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
love_my_cottage Used - $1.00 0 Feb/26/22 Feb/26/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
hewalex Used - $1.00 0 Feb/26/22 Feb/26/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
jennyb7362 Used - $1.00 0 Feb/28/22 Feb/28/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
avonvalleymusic Used - $1.00 0 Mar/01/22 Mar/01/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
soldtou1 Used - $199.99 0 Mar/04/22 Sep/15/22
Description: Lam Research 853-056618-004 1002685-0735 Gas Box Interface PM4 Cable Assembly
soldtou1 Used - $199.99 0 Mar/04/22 May/18/23
Description: Lam Research 833-016952-002 1002685-0707 41PG PM Gas Box Cable Assembly Harness
pccentre-528 Used - $1.00 0 Mar/04/22 Mar/05/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
farmoninc Used - $2,500.00 0 Mar/07/22 Jun/30/22
Description: AMAT 0021-23550 P5000 CVD GAS BOX MIXING, AMS, AMZ, STI DOUBLE CVGF, 104055
emarts-uk Used - $1.00 0 Mar/12/22 Mar/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
mydepoz Used - $1.00 0 Mar/12/22 Mar/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
bmc.london Used - $1.00 0 Mar/13/22 Mar/13/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
giftwearonline Used - $1.00 0 Mar/13/22 Mar/13/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
thecollectorinlondon Used - $1.00 0 Mar/13/22 Mar/13/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
techequipsales Used - $2,500.00 1 Mar/16/22 Feb/06/23
Description: LAM Research 846-077848-725 All In One Gas Box *non-working*
signedsport Used - $1.00 0 Mar/20/22 Mar/20/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
washablefabrics Used - $1.00 0 Mar/20/22 Mar/20/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
retrotex Used - $1.00 0 Mar/20/22 Mar/20/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
autoquip7 Used - $3,900.00 0 Mar/31/22 Jul/25/22
Description: 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. (AMAT)
theswerve0 Used - $1.00 0 Apr/02/22 Apr/02/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
dom0808 Used - $2,528.90 0 Apr/04/22 Apr/10/22
Description: Lam Research Jetstream Gas Box MB 810-073479-005
dom0808 Used - $495.00 0 Apr/04/22 May/22/22
Description: Novellus GAS BOX DIST, BROOKS D-SUB 02-057958-00
j316gallery Used - $280.00 0 Apr/20/22 Jul/22/22
Description: 27771 APPLIED MATERIALS PCB, GAS BOX MFC DISTRIBUTION 0100-00567
prism_electronics12 Used - $13,000.00 0 May/12/22 May/24/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
prism_electronics12 Used - $20,000.00 0 May/12/22 Oct/26/22
Description: NEW LAM RESEARCH 846-035344-001 GAS BOX
prism_electronics12 Used - $4,999.99 1 May/24/22 May/27/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
prism_electronics12 Used - $13,000.00 0 May/27/22 May/27/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
prism_electronics12 Used - $6,500.00 1 Jun/03/22 Jun/08/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
ladydidog Used - $9.51 0 Jun/13/22 Jun/14/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
prism_electronics12 Used - $13,000.00 0 Jun/15/22 Jun/16/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
michelleshellmark Used - $9.51 0 Jun/21/22 Jun/21/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
trendysavers-uk Used - $9.51 0 Jun/24/22 Jun/25/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
farmoninc Used - $2,500.00 0 Jul/05/22 May/18/23
Description: AMAT 0021-23550 P5000 CVD GAS BOX MIXING, AMS, AMZ, STI DOUBLE CVGF, 107731
usedeqsales Used - $3,507.22 0 Jul/07/22 Apr/02/23
Description: AMAT Applied Materials 0040-09259 P5000 WSI Gas Box Shower Head New Surplus
sgcequipment Used - $2,500.00 1 Jul/20/22 Aug/21/23
Description: Applied Materials/AMAT 0040-02520 GAS BOX
ntsurplus302 Used - $18,000.00 0 Jul/20/22 May/18/23
Description: 6027 LAM Research 16-Line IGS Gas Box, 571-033051-001
ntsurplus302 Used - $18,000.00 0 Jul/20/22 May/18/23
Description: 6026 LAM Research 16-Line IGS Gas Box, 571-033051-001
ntsurplus302 Used - $18,000.00 0 Jul/30/22 May/18/23
Description: 6033 LAM Research 16-Line IGS Gas Box, 571-033051-001
jabedow Used - $300.00 0 Jul/31/22 Feb/15/23
Description: APPLIED MATERIALS VAC PUMP/REM GAS BOX INTERLOCKS INTERCONNECT, 0100-20243
time-4-dreamz Used - $9.51 0 Aug/02/22 Aug/03/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
southweststeam1 Used - $9.51 0 Aug/08/22 Aug/08/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
railhome Used - $9.51 0 Aug/11/22 Aug/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
railhome Used - $40.90 0 Aug/12/22 Aug/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
top_formalwear-accessories Used - $9.51 0 Aug/13/22 Aug/13/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
hawkg2 Used - $9.51 0 Aug/14/22 Aug/14/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
j316gallery Used - $580.00 1 Aug/18/22 Apr/12/23
Description: 29054 LAM RESEARCH PCB, GAS BOX 810-017074-003
0115haywood8 Used - $39.80 0 Aug/31/22 Aug/31/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
ordipackdepannage Used - $9.51 0 Sep/08/22 Sep/09/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
ebon625 Used - $9.51 0 Sep/09/22 Sep/10/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
carolyns_cosmetics Used - $3,751.03 0 Sep/12/22 Sep/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
farmoninc Used - $4,950.00 0 Sep/13/22 Sep/14/23
Description: Applied Materials 0010-10055 Gas Box, WXZ AMAT CVD, 109770
riccardinocatania Used - $9.51 0 Sep/13/22 Sep/13/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
goldenagecycles Used - $9.51 0 Sep/25/22 Sep/25/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
cbnumismatique Used - $9.51 0 Sep/25/22 Sep/25/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
riccardinocatania Used - $9.51 0 Sep/27/22 Sep/27/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
giftwareireland Used - $1.00 0 Oct/01/22 Oct/02/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
desahogostore Used - $9.51 0 Oct/04/22 Oct/04/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
horner_shearing Used - $9.51 0 Oct/04/22 Oct/04/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
dreams-and-jeans Used - $1.00 0 Oct/09/22 Oct/09/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
goldenagecycles Used - $9.51 0 Oct/10/22 Oct/10/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
europlantsvivai Used - $1.00 0 Nov/19/22 Nov/19/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
semiconseller124 Used - $26,000.00 0 Nov/28/22 Jan/18/23
Description: LAM Research 16-Line IGS Gas Box 571-033051-003
kollexion Used - $1.00 0 Nov/28/22 Nov/28/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
voltapaginanet Used - $11.21 0 Nov/29/22 Nov/30/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
079gabriel2005 Used - $2.09 0 Dec/05/22 Dec/05/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
giftwearonline Used - $2.09 0 Dec/18/22 Dec/18/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
yenlimited-27 Used - $4,455.00 0 Dec/21/22 Nov/28/23
Description: 810-077433-002 / LAM RESEARCH PCB, A4 GAS BOX INTERFACE, VMIVME 5530S 8
dgold32 Used - $2,999.99 1 Jan/02/23 Jan/05/23
Description: LAM Research 16-Line IGS Gas Box, 571-033051-001
ramix-part NEW - $2,556.90 0 Jan/05/23 Feb/09/23
Description: Applied Materials 0041-86999 GAS BOX
mppatrick Used - $2.09 0 Jan/14/23 Jan/14/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
cosplity Used - $3,000.00 0 Jan/31/23 Feb/28/23
Description: NOVELLUS 02-266868-00 SESIOC IXT WTS HV 0 GAS BOX VER 4.72
cosplity NEW - $400.00 0 Feb/01/23 May/31/23
Description: AMAT 0150-29326 CABLE ASSY FOR DESICA GAS BOX LIQUID FLOW
eleganthairextensions Used - $2.09 0 Feb/26/23 Feb/26/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
svcstore Used - $499.99 0 Mar/01/23 Dec/01/23
Description: LAM Research 810-707054-001 Rev.E3 Gas Box I/O Interlock Board Assembly
athomemarket Used - $79.99 0 Mar/01/23 Dec/20/23
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
ledamoiseau Used - $2.09 0 Mar/05/23 Mar/06/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
papyrus10 Used - $2.09 0 Mar/12/23 Mar/13/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
punki64 Used - $2.09 0 Mar/20/23 Mar/20/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
getspares.com_sparesllc09 Used - $3,487.82 0 Mar/22/23 Jun/01/23
Description: 0010-54967 / GAS BOX WITH YAMAMOTO DIFFERENTIAL PRESSURE SWITCH MS65L/ AMAT
ghis6969 Used - $2.09 0 Mar/25/23 Mar/26/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
bo19531 Used - $6.01 0 Apr/02/23 Apr/03/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
ssarah712 Used - $2.09 0 May/28/23 May/28/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
generalbonaparte Used - $2.09 0 Jun/04/23 Jun/04/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
hhulo Used - $2.09 0 Jun/11/23 Jun/11/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
outletmp3 Used - $2.09 0 Jun/24/23 Jun/24/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
gefen-technology Used - $11,160.00 0 Jun/27/23 Sep/20/23
Description: AMAT Applied Materials 0041-86999 GAS BOX SRP PRODUCER GT
yenlimited-27 Used - $2,675.00 0 Jul/08/23 Nov/28/23
Description: 810-077433-002 / LAM RESEARCH PCB, A4 GAS BOX INTERFACE, VMIVME 5530S 8
farmoninc Used - $4,500.00 0 Jul/11/23 Nov/27/23
Description: AMAT Gas Box Feedthru, Teflon, AMS/AMZ/STI Double CVGF, 0021-23550, 121756