[click to login]
WSEMI


TAGS > amp

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0020-23551 Cover, TC AMP, 200MM WXZ
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0020-21596 Insulator, TC AMP ENCL, WXZ 200MM
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0021-08280 Spacer, TC AMP, Tectra TI/TIN
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0150-15061 EMC Comp., Cable Assy, Pump Umbilical 100, Assembly, AMP 399732
partskorea1
[view on eBay]
Used 1
in stock
$899.00
Description: AMAT P/N 0010-21740 REV.003 ASSY TC AMP 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0240-00783 Sense AMP PCB MTG, HDWE, Retrofit Kit 402096
farmoninc
[view on eBay]
NEW 13
in stock
$150.00
Description: AMAT 0240-00783 Retrofit Kit, Sense AMP PCB MTG, HDWE 402412
auctionrus
[view on eBay]
Used 1
in stock
$192.50
Description: AMAT 0100-00084 Cap Sensor Amp, PCB, FAB 0110-00084, 411239
ecomicron
[view on eBay]
Used 1
in stock
$300.00
Description: 0100-35110, AMAT, PCB ASSEMBLY, T/C AMP
auctionrus
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0021-08278 Cover, TC AMP ENCL,Tectra TI 414035
ecomicron
[view on eBay]
NEW 1
in stock
$300.00
Description: 0100-00563, Applied Materials, PCB Assembly, TC AMP Interlock BD, Pack of 10
auctionrus
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0100-09020 Fuse Board, PCB, FAB 0110-09020, AMP 531796-2, 414750
auctionrus
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0100-09020 Fuse Board, PCB, FAB 0110-09020, AMP 531796-2, 414742
auctionrus
[view on eBay]
Used 7
in stock
$40.00
Description: AMAT 0680-01044, AM2-A8-A, B-2 Pole 250V 3 AMP, Switch. 329052
tjtechseller
[view on eBay]
Used 1
in stock
$550.00
Description: 0010-20138 AMAT ENDURA CENTURA PVD ASSY TC AMP HSNG
j316gallery
[view on eBay]
Used 8
in stock
$550.00
Description: 10031 APPLIED MATERIALS PCB ASSY, TC AMP INTERLOCK 300XZ 0100-00241
j316gallery
[view on eBay]
Used 8
in stock
$1,273.82
Description: 5960 APPLIED MATERIALS HOUSING, TC AMP, 0100-09032, 0100-09033 0020-10758
ntsurplus302
[view on eBay]
Used 1
in stock
$3,800.00
Description: 4361 Applied Materials 0100-00192 Sense AMP Boards Assy.
usedeqsales
[view on eBay]
Used 3
in stock
$253.19
Description: AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev. 1 Used Working
usedeqsales
[view on eBay]
Used 5
in stock
$253.19
Description: AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev A Used Working
auctionrus
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT Stepper Driver Amp Interlock Assy, AMAT 0100-35353 Driver Interface, 451905
spsglobal
[view on eBay]
Used 1
in stock
$10.00
Description: 142-0703// AMAT APPLIED 0150-09291 CABLE ASSY,GROUND TC AMP HSNG NEW
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 350-0302// AMAT APPLIED 0900-01017 FLTR RFI POWER LINE 10 AMP [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 350-0302// AMAT APPLIED 0900-01015 (#2) FLTR RFI POWER LINE 6 AMP SERIES S [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 350-0302// AMAT APPLIED 0900-01015 (#1) FLTR RFI POWER LINE 6 AMP SERIES S [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 350-0302// AMAT APPLIED 0090-01015 FLTR RFI POWER LINE 6 AMP SERIES S [USED]
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 141-0401// AMAT APPLIED 0020-30872 PENEL MINI CONTROLLER 110 7 AMP [USED]
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 351-0201// AMAT APPLIED 0900-01013 (5PCS) FILTER RFI/EMI, 200VDC 10 AMP [NEW]
capitolareatech
[view on eBay]
NEW 1
in stock
$45.95
Description: Applied Materials (AMAT) 0140-09331 Harness Heater Power, 7 Amp L.S. ENDCL
capitolareatech
[view on eBay]
NEW 6
in stock
$26.95
Description: Applied Materials (AMAT) 0150-21644 Cable, Assy. OVRTMP INTLK CVD AMP HTR
capitolareatech
[view on eBay]
NEW 35
in stock
$9.95
Description: Applied Materials 0910-00024 Bussmann Fuse Fast Acting 15 Amp 600 V 13/32X1.5"
j316gallery
[view on eBay]
Used 3
in stock
$163.19
Description: 21249 APPLIED MATERIALS PCB ASSY K-TYPE TC AMP 0100-09109
smartelektronikgmbh
[view on eBay]
Used 1
in stock
$500.00
Description: APPLIED MATERIALS ASSY TC AMP PRECISION 5000, 0100-09279 0090-09145 REV A
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$597.76
Description: 0100-00192 / WSENSE AMP BOARD ASSY / APPLIED MATERIALS AMAT
lagpat
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 1080-00279 DRVR SERVO AMP 200V 15A 400W
abcatlegacyparts
[view on eBay]
Used 1
in stock
$249.99
Description: Applied Materials (AMAT) 0020-04355 rev. B AMP 021 6" Lifter TC
abcatlegacyparts
[view on eBay]
Used 1
in stock
$99.99
Description: Applied Materials (AMAT) AMAT 0020-04332 AMP
j316gallery
[view on eBay]
NEW 1
in stock
$842.10
Description: 23821 LAM RESEARCH PCB ASSY, YEMP SENSE AMP EXELAN HPT. (NEW) 810-017008-013
grandbirdnet
[view on eBay]
NEW 21
in stock
$2,000.00
Description: NOVELLUS 34-382419-00 AMP SERVO 750W 200V, ROTARY BASE MINT SIGM, NEW
grandbirdnet
[view on eBay]
NEW 17
in stock
$2,000.00
Description: LAM RESEARCH 685-187243-020 MDL,ELEC,AMP,SVO,0.2KW,SIG-7 DUAL AXIS, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$2,000.00
Description: LAM RESEARCH 676-231804-001 AMP,SVO,400W,200V,3PH,RTRY,SGDV SIGMA 5, NEW
j316gallery
[view on eBay]
Used 13
in stock
$622.55
Description: 5769 APPLIED MATERIALS ASSY TC AMP, ENDURA, 0100-09033 & 0100-20062 0010-20138
capitolareatech
[view on eBay]
Used 1
in stock
$395.95
Description: Applied Materials (AMAT) 0021-09948 COVER, TC AMP,DXZ
capitolareatech
[view on eBay]
Used 2
in stock
$299.95
Description: Applied Materials (AMAT) 0870-00017 DRVR SERVO AMP 200V 30A 750W DNET FIRMW
capitolareatech
[view on eBay]
Used 5
in stock
$399.95
Description: Applied Materials (AMAT) 0680-01110 CUTLER-HAMMER EH3 CIRCUIT BREAKER 100 Amp
katiil3
[view on eBay]
Used 25
in stock
$111.30
Description: Fluke i410 AC/DC Current Amp Clamp
farmoninc
[view on eBay]
NEW 2
in stock
$1,250.00
Description: AMAT 0010-10945 Assy TC AMP 350Khz, 0020-10758, 0100-0933, 0100-09312, 103115
oregon-psales
[view on eBay]
Used 1
in stock
$699.00
Description: AMAT Applied Materials 0100-35217 TC Amp Interlock Board
usedeqsales
[view on eBay]
Used 2
in stock
$5,003.22
Description: Sony 4S085-291 AMP Box of BZ-T25 for Laserscale LC_BX_LS3 Nikon NSR FX-601F
semiconusa
[view on eBay]
Used 1
in stock
$4,599.00
Description: AMAT 0100-20173 ASSY.PCB, STEPPER CONTROLLER 1.7 AMP DRI, Applied Materials
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 346-0302// AMAT APPLIED 0020-10758 HOUSING, TC AMP [USED]
j316gallery
[view on eBay]
Used 1
in stock
$11,651.40
Description: 27873 NOVELLUS ROBOT CONTROLLER SIGMA 5 AMP 02-408299-00
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0100-09179 ASSY PWB, LIQUID SOURCE DISTRIBUTION, 7 AMP, 104319
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0020-10936 AMP PARC BLOCKER PLATE, 105754
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0020-10936 AMP PARC BLOCKER PLATE, 105753
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0020-10936 AMP PARC BLOCKER PLATE, 105752
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0020-10936 AMP PARC BLOCKER PLATE, 105751
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0020-10936 AMP PARC BLOCKER PLATE, 105750
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0020-10936 AMP PARC BLOCKER PLATE, 105755
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-09750 CVD RF MATCH, P5000, AMAT AMP MODULE 0020-09357, 105976
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-09750 CVD RF MATCH, P5000, AMAT AMP MODULE 0020-09357, 105977
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$5,600.00
Description: 0090-01432 /ASSY TC AMP LOW TEMP BESC / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0010-09750 CVD RF MATCH DC BIAS P5000, AMP, 106011
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0040-09008 AMP FRAME, VACUUM PORT SLIT WIN VCD Chamber, 106272
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0040-09008 AMP FRAME, VACUUM PORT SLIT WIN, 0020-31282 Hinge VCD, 106286
visionsemi
[view on eBay]
NEW 2
in stock
$75.00
Description: APPLIED MATERIALS AMAT 0900-01017 FLTR RIF POWER LINE 10 AMP
visionsemi
[view on eBay]
NEW 2
in stock
$76.34
Description: APPLIED MATERIALS AMAT 0900-01017 FLTR RIF POWER LINE 10 AMP
visionsemi
[view on eBay]
Used 2
in stock
$75.96
Description: Appliqué Matériel Amat 0900-01017 Fltr Rif Puissance Ligne 10 Amp
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0020-30797 PERFORATED PLATE AMP PARK SHOWER HEAD, 108451
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0010-10619 AMP CVD THROTTLE VALVE KIT, 108550
vizvik16
[view on eBay]
Used 1
in stock
$320.00
Description: APPLIED MATERIALS AMAT 0100-01341 REV.03 ASSY TC AMP 300mm 0010-21740 REV 003
laptopsource02
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT Applied Materials 0100-00241 PCB Assembly TC AMP Interlock 300xZ (Warranty)
vizko2017
[view on eBay]
Used 1
in stock
$6,000.00
Description: Yaskawa XU-DL1910 Blinds Power Amp Nikon 4S587-288 4S013-374-3 NSR
myriadindustrial
[view on eBay]
Used 1
in stock
$99.99
Description: Lot of 50 each AMAT Applied Materials 0910-01018 Littelfuse 251004 4 AMP 125V VF
farmoninc
[view on eBay]
Used 1
in stock
$1,050.00
Description: AMAT 0100-00663 ASSEMBLY PCB ,TC AMP INTERLOCK, 110323
farmoninc
[view on eBay]
Used 1
in stock
$2,750.00
Description: AMAT 0010-09340 CVD SUSCEPTOR LIFT PRECISION P5000, ASSY TC AMP P5000, 110676
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,999.56
Description: 4S587-625 AN / ERG POWER AMP UNIT / NIKON
spsglobal
[view on eBay]
Used 2
in stock
$3,000.00
Description: 130-0101 AMAT APPLIED 0010-13439 MCA 2 TC AMP BOX [ASIS]
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-23218 BASE. TC ISOLATION AMP SUB-ZERO, LTBESC BLT, 111865
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-23218 BASE. TC ISOLATION AMP SUB-ZERO, LTBESC BLT, 111868
j316gallery
[view on eBay]
Used 1
in stock
$30.00
Description: 30385 APPLIED MATERIALS HARNESS ASSY, HTR POWER 7 AMP L.S. ENDCL, 1M 0140-09331
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0010-76175 AMP THROTTLE VALVE KIT, SINGLE THROTTLE VALVE, 112586
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0010-76175 AMP THROTTLE VALVE KIT, SINGLE THROTTLE VALVE, 112585
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0010-76175 AMP THROTTLE VALVE KIT, SINGLE THROTTLE VALVE, 112584
j316gallery
[view on eBay]
Used 1
in stock
$244.28
Description: 18978 APPLIED MATERIALS HOUSING, TC AMP 0020-10758
spsglobal
[view on eBay]
Used 2
in stock
$3,500.00
Description: 130-0101 AMAT APPLIED 0010-13439 MCA 2 TC AMP BOX [USED]
farmoninc
[view on eBay]
Used 1
in stock
$25,500.00
Description: AMAT 0020-70376 CHAMBER ORIENTER/DEGAS, AMAT 0010-20138 TC AMP, 110027
farmoninc
[view on eBay]
Used 1
in stock
$25,500.00
Description: AMAT 0020-70376 CHAMBER ORIENTER/DEGAS, AMAT 0010-20138 TC AMP, 104241
amat-sparescom
[view on eBay]
Used 3
in stock
$800.00
Description: Applied Materials 0010-09292 TC AMP HOUSING, ASSY. AMAT CVD
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$5,500.00
Description: 0020-03509C /GRIPPER ASSY AMP -264-017, 8330 150 MM, 0100-00027, 0100-00036/AMAT
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-09750 CVD RF MATCH, P5000, AMAT 0020-09357 AMP MODULE , 114695
farmoninc
[view on eBay]
Used 1
in stock
$6,500.00
Description: AMAT 0010-09750 CVD RF MATCH, P5000, AMAT 0020-09357 AMP MODULE , 114698
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-09750 CVD RF MATCH, P5000, AMAT 0020-09357 AMP MODULE , 114697
farmoninc
[view on eBay]
Used 1
in stock
$6,500.00
Description: AMAT 0010-09750 CVD RF MATCH, P5000, AMAT 0020-09357 AMP MODULE , 114696
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0100-00241 PCB Assembly TC AMP Interlock 300xZ, 114838
micntahoe
[view on eBay]
Used 1
in stock
$750.00
Description: Nikon 4S005-150 (TEMP AMP) NSR
j316gallery
[view on eBay]
Used 12
in stock
$630.00
Description: 32419 APPLIED MATERIALS CHILLED PEDESTAL AMP 0090-03805
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0040-09091 CHAMBER LID P5000 AMP E, AMAT 0020-10727 C TEOS COVER, 104800
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$699.83
Description: 810-017013-001 / PCB, GAP AMP INTERLOCK DRIVE CONTROL CFA-0052/ LAM RESEARCH
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT CHAMBER LID P5000 AMAT 0020-10135 AMP DELTA TEOS GAS MANIFOLD BOX, 115142
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-09750 CVD RF MATCH, P5000, AMP MODULE 0020-09357, 0150-09054, 115140
liquiditech
[view on eBay]
Used 1
in stock
$359.10
Description: Applied Materials AMAT 0010-29435 0020-92583 TC AMP W/BUFFER 0100-20339,20352
grandbirdnet
[view on eBay]
Used 54
in stock
$750.00
Description: AMAT 0870-00017 DRVR SERVO AMP 200V 30A 750W DNET FIRMW , USED
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-10758 AMP 158, HOUSING, TC FILTER BOARD, 0100-09032, 0100-09033,117343
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0020-30797 PERFORATED PLATE AMP PARK SHOWER HEAD, 117689
farmoninc
[view on eBay]
Used 2
in stock
$1,750.00
Description: AMAT 0020-30797 Perforated Plate AMP Park Shower Head, 500087695, 118079
farmoninc
[view on eBay]
Used 3
in stock
$1,750.00
Description: AMAT 0020-30797 Perforated Plate AMP Park Shower Head, 500087695, 118076
oregon-psales
[view on eBay]
Used 1
in stock
$699.00
Description: AMAT Applied Materials 0100-35217 TC Amp Interlock Board
cosplity
[view on eBay]
NEW 2
in stock
$1,500.00
Description: AMAT 0190-51712 S5 AMP 200V 100W DNET CPY EXT , NEW
cosplity
[view on eBay]
NEW 1
in stock
$2,000.00
Description: NOVELLUS 34-382419-00 AMP, SERVO, 750W, 200V, ROTARY, BASE MNT, SIGM
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0100-09020 REV E, FUSE BOARD, PCB, AMP 531796-2, FAB 0110-09020, 116224
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0100-09020 REV E, FUSE BOARD, PCB, AMP 531796-2, FAB 0110-09020, 116223
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0100-09020 REV E, FUSE BOARD, PCB, AMP 531796-2, FAB 0110-09020, 116222
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0100-09020 REV E, FUSE BOARD, PCB, AMP 531796-2, FAB 0110-09020, 116221
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0020-09123 Rev.F, Quartz Window A, Cover, AMP 329, 119366
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0020-09123 Quartz Window A, Cover, AMP 329, 119694
cosplity
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0190-51712 S5 AMP 200V 100W DNET CPY EXT, USED

This tag has been viewed 8 times

Most recent views:

Singapore Saturday, Sep/07/2024 at 8:39 pm CST
Korea (Republic of) Saturday, Sep/07/2024 at 8:39 pm CST
Malaysia Saturday, Sep/07/2024 at 8:37 pm CST
Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
imca00 Used - $1,250.00 1 Aug/11/12 Aug/13/12
Description: Applied Materials AMAT P5000 & 5200 CVD TEOS Pumping Plate DXZ 0010-36069
bobsgoodies NEW - $175.00 3 Sep/10/12 Sep/13/12
Description: Cuttler Hammer CE15FN3Y1AB Contactor 32 Amp 3-Pole 110V coil New AMAT 1200-01081
bobsgoodies NEW - $115.00 0 Sep/14/12 Nov/12/12
Description: Cuttler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
jtmtech NEW - $8.00 0 Sep/15/12 Oct/15/12
Description: LAM RESEARCH FITTING CONN MODULAR COUPLER AMP INC 668-091506-003
athomemarket Used - $193.99 0 Oct/05/12 Nov/04/12
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
bobsgoodies NEW - $115.00 0 Nov/12/12 Mar/07/13
Description: Cuttler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
electro-mavin Used - $699.95 0 Nov/12/12 Dec/12/12
Description: Nikon NSR AVIS2 ERG Amp asahi Model: 130NK 3-2 Module 4S587-011
jtmtech NEW - $50.00 0 Oct/19/12 Nov/18/12
Description: LAM RESEARCH - 853-024470-006 - CABLE - RIBBON L/L & HE PC
athomemarket Used - $193.99 0 Dec/04/12 Jan/03/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
rocknrollprof Used - $3,995.00 0 Nov/27/12 Dec/07/12
Description: ENI 1040L (Electronic Navigation Industries) Linear 1040L Power Amplifier Amp
austieiscute Used - $64.99 7 May/10/12 May/23/16
Description: Modus Instruments Pressure Transmitter T10-0205 & With Haydon Kirk Stepper
ntsurplus302 Used - $3,200.00 1 Nov/07/12 Dec/09/12
Description: VAT F64-83929/0002(64248-PE52-0100) Vacuum Throttle Gate Valve & Adaptive
ntsurplus302 Used - $450.00 0 Nov/07/12 Sep/03/13
Description: 2528 PlasmaQuest MC-200 Load-Arm & Clamp Motor Control
wilderauctions! Used - $4,499.99 1 Dec/03/12 Dec/07/12
Description: Equipe PRI Automation Wafer Robot ATM-104-1-S-CE + ESC-200 Controller & Software
convertechs3 Used - $574.99 1 Dec/14/12 Dec/15/12
Description: ASTEX AX 7610 LRC Q Downstream Microwave Plasma Source W/UV Lamp & Power Supply
ptb-sales Used - $3,000.00 0 Dec/17/12 Dec/27/12
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies NEW - $125.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
bobsgoodies NEW - $179.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0100-35110 T/C Amp, Thermocouple Amplifier, PCB Assembly AMAT
jtmtech NEW - $50.00 0 Nov/19/12 Dec/19/12
Description: LAM RESEARCH - 853-024470-006 - CABLE - RIBBON L/L & HE PC
usedeqsales Used - $1,011.11 2 Nov/08/11 Dec/18/12
Description: LAM Research Lifter & Spinner Assembly 853-012525-001 Rev. E Working LAM 4420
capitolareatech NEW - $15.00 2 May/09/11 Dec/18/12
Description: OMRON EE-SPZ401Y SENSOR, W/H INPUT & OUTPUT
shoplet NEW - $69.58 7 Jan/17/12 Jun/10/13
Description: Misty Contact & Circuit Board Cleaner Iii - AMRA36816
sellerca12 NEW - $129.00 1 Nov/23/12 Dec/19/12
Description: Molex Crimping Tools 638110200F 28-32 AWG Male & Female
surplusa2z Scrap, for parts - $13,000.00 1 Dec/14/12 Dec/19/12
Description: AG & ASSOCIATES 610 RTP RAPID THERMAL PROCESSOR WITH GHS-01 c
esprprts NEW - $20.00 0 Dec/21/12 Jan/20/13
Description: NEW - AMAT 0240-23719 KIT PUMP CB - 30 AMP
testeqe NEW - $649.99 0 Sep/17/12 Sep/12/13
Description: NEW Lam Research 853-001198-003 Gate & Linkage Assembly Delrin Inner
siliconvalleytechparts408 Used - $1,100.00 1 Dec/22/12 Dec/23/12
Description: BOC Edwards EXC 120 Turbopump Controller w/ Cable & Air Cooler - ECX120
bobsgoodies NEW - $16,500.00 1 Dec/26/12 Jan/04/13
Description: MIRRA AMAT 0010-77014 Assy Integrated Cross (N-Bom) & Spare Parts as photoed
ptb-sales Used - $3,000.00 0 Dec/27/12 Jan/06/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech NEW - $79.37 1 Oct/29/10 Dec/27/12
Description: VARIAN PCB, ION SOURCE (979 & 947) L9539-301
capitolareatech Refurbished - $121.50 1 May/09/11 Dec/27/12
Description: VARIAN L9524-301 PCB, PRE AMP (979 & 947)
capitolareatech NEW - $206.55 1 Mar/11/11 Dec/27/12
Description: VARIAN SUPPLY, POWER PCB (979 & 947) L9255-301
supertechshop Used - $299.00 0 Dec/29/12 Jan/28/13
Description: AMAT Flat & Notch Orienter Interlock XR80 Implanter PCB 0100-94035 for P5000
bobsgoodies NEW - $7,750.00 0 Jan/02/13 Feb/01/13
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD
athomemarket Used - $175.49 0 Jan/04/13 Feb/03/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
capitolareatech NEW - $25.00 0 Jan/04/13 Jun/03/13
Description: AMAT 0090-00423 EMO SWITCH & INTER-CIRCUIT ASSY W/GUARD
ptb-sales Used - $3,000.00 0 Jan/07/13 Jan/17/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket NEW - $47.69 1 Jan/07/13 Feb/06/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
redlinemerch Used - $59.00 1 Nov/30/12 Jan/08/13
Description: Extech 480303 3-phase & motor rotation field tester
capitolareatech NEW - $11.51 1 May/09/11 Jan/08/13
Description: POTTER & BRUMFIELD CLH-41-30010 RELAY, DELAY
bobsgoodies NEW - $1,675.00 1 Jan/09/13 Feb/08/13
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
tdindustrial NEW - $195.00 1 Jan/09/13 Sep/02/14
Description: AMAT 0010-22209 Assy, Slit Valve Parker Door & Mount New
bobsgoodies NEW - $168.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0050-31381 SyncroVac Weldment Nupro 6LV-BNB-W4 Valve & Piping
bobsgoodies NEW - $99.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0090-00857 Pressure Switch & Display
bobsgoodies Used - $85.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0629-01119 Harness & Grandville-Phillips 275-262 Convectron Gauge
bobsgoodies Used - $1,450.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0100-38042 Light Source Assembly, Laser Diode, Heat Sink, & Housing
bobsgoodies NEW - $324.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0190-00532 PA, Flow Switch & Meter 2.5 GPM 1/2" Proteus 92037506S24P3K1
bobsgoodies NEW - $125.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
bobsgoodies NEW - $179.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0100-35110 T/C Amp, Thermocouple Amplifier, PCB Assembly AMAT
bobsgoodies Used - $199.00 0 Jan/14/13 Feb/13/13
Description: AMAT 0020-28315 & 0090-20105 Sensor and Bracket Shutter Enclosure SM312CV2
dmormon Scrap, for parts - $203.50 1 Jan/04/13 Jan/11/13
Description: Laurier HA241 Pick & Place Epoxy Die Bonder
athomemarket Used - $989.99 1 Jan/16/13 Jan/17/13
Description: Hughes MCW-550 Welding System with VTA-96 Micro Spot Weld Head & Foot Pedal
ptb-sales Used - $3,000.00 0 Jan/18/13 Jan/28/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
tazsonic Used - $29.99 0 Jan/18/13 Feb/17/13
Description: Burle TC652EAT Security Camera & TC9353 Housing
bobsgoodies Used - $4,695.00 0 Jan/18/13 Feb/17/13
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear- Supports
metrology123 NEW - $899.00 3 Jan/21/13 Jan/22/13
Description: Applied materials 0100-01708 & 0090-02830 0040-75183003
juliprose NEW - $245.00 0 Jul/25/12 Mar/29/17
Description: SWAGELOK HIGH PURITY VALVE & FILTER ASSEMBLY 6LVV-DPLBW4-P SS-8TF-TW-15
fineagirl1 NEW - $2,800.00 0 Jan/21/13 Feb/20/13
Description: AMAT Applied Materials Merc Shft Assembly & Seal DD VA (NEW)
bobsgoodies NEW - $255.00 2 Jan/22/13 Mar/06/13
Description: AMAT 0010-75571 0010-75572 Robot Pivot and Bearing L & R Assy Kaydon 15896000
bobsgoodies Used - $475.00 0 Jan/23/13 Feb/22/13
Description: AMAT 0040-03144 Plastic Wafer Tank Assy & 0090-00151 SRD Wafer Sensor Emitter
pcgurugeek Used - $74.00 0 Jan/24/13 Feb/23/13
Description: Cuttler Hammer CE15FN3 Contactor 32 Amp 3-Pole 110V coil New AMAT 1200-01081
prism_electronics5 Used - $179.99 1 Jan/24/13 Jan/26/13
Description: LAM Research 786-241064-002, Power Supply w/ AD202KY Isolation Amplifier & Frame
siliconvalleytechparts408 NEW - $225.00 1 Jan/28/13 Feb/15/13
Description: Kurt Lesker 300 Series Vacuum Gauge w/ Controller & Display - Part# KJL300863
ptb-sales Used - $3,000.00 0 Jan/28/13 Feb/07/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies Used - $118.00 0 Jan/29/13 Feb/28/13
Description: AMAT 1080-01216 Control DC Motor PWM 0-24VDC 32 Amp Minarik XP32-12/24DC
ati_semi NEW - $600.00 0 Jan/30/13 Apr/13/15
Description: AXCELIS PCB ASSEMBLY THERMOCOUPLE AMP NV3200 NV3206 0342-0445-4001 B
supertechshop Used - $299.00 0 Jan/30/13 Mar/01/13
Description: AMAT Flat & Notch Orienter Interlock XR80 Implanter PCB 0100-94035 for P5000
bobsgoodies NEW - $199.00 0 Jan/31/13 Mar/02/13
Description: AMAT 0090-20004 & 0140-20102 Index Motor & Encoder Assembly Vexta A3723-9215
bruce135 Used - $69.00 0 Jan/31/13 Mar/01/13
Description: Entegris KTL3004A 300mm Wafer Transport Tank Carrier w/ Lock-Top & White Tray
bruce135 Used - $49.00 0 Jan/31/13 Mar/02/13
Description: Huba Control 604.E010020 Mechanical Pressure Switch & SMC ISE50-02-22L-M Used
bruce135 Used - $39.00 0 Jan/31/13 Mar/02/13
Description: CKD TMD40-X0205 High Purity Type Valve with Handle Used & SUNX GL-18HL Sensor
bobsgoodies NEW - $7,750.00 0 Feb/01/13 Mar/03/13
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD
athomemarket Used - $194.99 0 Feb/03/13 Mar/05/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
outback6 Used - $49.99 0 Nov/14/12 Nov/21/12
Description: G95832 NEC N7950-931 Compact Scanner, B&W Video Camera & Dual Illuminator
bobsgoodies NEW - $299.00 0 Feb/07/13 Mar/07/13
Description: AMAT 0190-01449 Hoke 7387001R Manifold Valve & Flow Sensor FCS-G1/4A2-NA-H1141
ptb-sales Used - $3,000.00 0 Feb/07/13 Feb/17/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket NEW - $52.99 2 Feb/07/13 Mar/09/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
farmoninc Used - $2,500.00 0 Feb/07/13 Mar/01/17
Description: Brooks 110884, Arm, Atr7, Rear tube, Map, Omron Amp, Novellus 63-266699-00
bobsgoodies NEW - $1,675.00 0 Feb/11/13 Mar/07/13
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
bobsgoodies NEW - $589.00 0 Feb/11/13 Mar/13/13
Description: Yaskawa Electric SGDA-04AS AMAT 0870-01011 AC Servo Drive SGD Series UL & CUL
bobsgoodies NEW - $109.00 0 Feb/11/13 Mar/13/13
Description: Swagelok 6LV-DAFR4BW4P-C Pneumatic Diaphragm Valve AMAT 3870-01628 1/4"VCR & BW
bobsgoodies NEW - $168.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0050-31381 SyncroVac Weldment Nupro 6LV-BNB-W4 Valve & Piping
bobsgoodies Used - $1,450.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0100-38042 Light Source Assembly, Laser Diode, Heat Sink, & Housing
bobsgoodies Used - $85.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0629-01119 Harness & Grandville-Phillips 275-262 Convectron Gauge
bobsgoodies NEW - $324.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0190-00532 PA, Flow Switch & Meter 2.5 GPM 1/2" Proteus 92037506S24P3K1
bobsgoodies NEW - $198.00 3 Feb/12/13 Mar/13/13
Description: AMAT 3930-01092 CntRL Temp 100-240V TC-IN DC & Relay output 1 9888-11CD-AARG
capitolareatech NEW - $200.00 1 Feb/14/13 Dec/03/13
Description: LAM 678-092336-001 HEATER & THERMOCOUPLE H20 TANK (LAM9600)
bobsgoodies Used - $4,250.00 0 Feb/14/13 Mar/16/13
Description: AMAT 0010-70264 & 1010-70317 HP Robot Driver Upper/Lower HP Upgrade
metrology123 NEW - $899.00 1 Feb/14/13 Oct/12/17
Description: Applied materials 0100-01708 & 0090-02830 0040-75183003
bobsgoodies NEW - $179.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0100-35110 T/C Amp, Thermocouple Amplifier, PCB Assembly AMAT
bobsgoodies NEW - $125.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
great!steam NEW - $295.00 1 Feb/15/13 Feb/22/13
Description: Applied Materials AMAT Throttle Valve and Reducer Box p/n 0010-09035 & 0010-0911
bobsgoodies Used - $199.00 0 Feb/15/13 Mar/17/13
Description: AMAT 0020-28315 & 0090-20105 Sensor and Bracket Shutter Enclosure SM312CV2
bobsgoodies Used - $1,700.00 0 Feb/18/13 Mar/18/13
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear & Supports
ptb-sales Used - $3,000.00 0 Feb/18/13 Feb/28/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies Used - $475.00 0 Feb/23/13 Mar/18/13
Description: AMAT 0040-03144 Plastic Wafer Tank Assy & 0090-00151 SRD Wafer Sensor Emitter
ptb-sales Used - $3,000.00 0 Feb/28/13 Mar/10/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
smartelektronikgmbh NEW - $120.00 2 Mar/03/13 Nov/11/14
Description: AMAT 0020-34617 // PLATE,MTG,T/C AMP
bobsgoodies NEW - $7,750.00 0 Mar/04/13 Mar/18/13
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD
bobsgoodies NEW - $199.00 0 Mar/04/13 Mar/18/13
Description: AMAT 0090-20004 & 0140-20102 Index Motor & Encoder Assembly Vexta A3723-9215
bobsgoodies Used - $118.00 0 Mar/04/13 Apr/03/13
Description: AMAT 1080-01216 Control DC Motor PWM 0-24VDC 32 Amp Minarik XP32-12/24DC
supertechshop Used - $299.00 0 Mar/05/13 Apr/04/13
Description: AMAT Flat & Notch Orienter Interlock XR80 Implanter PCB 0100-94035 for P5000
athomemarket Used - $194.99 0 Mar/05/13 Apr/04/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
bobsgoodies NEW - $1,675.00 0 Mar/07/13 Apr/06/13
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
bobsgoodies NEW - $299.00 0 Mar/07/13 Apr/06/13
Description: AMAT 0190-01449 Hoke 7387001R Valve & Flow Sensor FCS-G1/4A2-NA-H1141 & Amplifie
bobsgoodies NEW - $115.00 1 Mar/07/13 Jul/09/13
Description: Cuttler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
esprprts NEW - $25.00 0 Mar/08/13 Jul/06/13
Description: *NEW* AMAT 0240-23719 KIT PUMP CB - 30 AMP
athomemarket NEW - $52.99 0 Mar/09/13 Apr/08/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
ptb-sales Used - $3,000.00 0 Mar/11/13 Mar/21/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies NEW - $497.00 0 Mar/13/13 Apr/12/13
Description: AMAT 1080-01206 YASKAWASGM-02U3B4L & CGI Gear 023PLX0100 10:1 Planetary gear
bobsgoodies NEW - $497.00 1 Mar/13/13 Mar/15/13
Description: AMAT 1090-77170 YASKAWASGM-02U3B4L & CGI Gear 023PLX0100 10:1 Planetary gear
bobsgoodies NEW - $168.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0050-31381 SyncroVac Weldment Nupro 6LV-BNB-W4 Valve & Piping
bobsgoodies NEW - $324.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0190-00532 PA, Flow Switch & Meter 2.5 GPM 1/2" Proteus 92037506S24P3K1
bobsgoodies Used - $85.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0629-01119 Harness & Grandville-Phillips 275-262 Convectron Gauge
bobsgoodies Used - $1,450.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0100-38042 Light Source Assembly, Laser Diode, Heat Sink, & Housing
bobsgoodies Used - $1,700.00 0 Mar/18/13 Apr/17/13
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear & Supports
bobsgoodies NEW - $179.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0100-35110 T/C Amp, Thermocouple Amplifier, PCB Assembly AMAT
bobsgoodies NEW - $125.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
bobsgoodies NEW - $7,750.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD
bobsgoodies NEW - $199.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0090-20004 & 0140-20102 Index Motor & Encoder Assembly Vexta A3723-9215
bobsgoodies Used - $475.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0040-03144 Plastic Wafer Tank Assy & 0090-00151 SRD Wafer Sensor Emitter
bobsgoodies Used - $199.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0020-28315 & 0090-20105 Sensor and Bracket Shutter Enclosure SM312CV2
bobsgoodies Used - $4,250.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0010-70264 & 1010-70317 HP Robot Driver Upper/Lower HP Upgrade
capitolareatech NEW - $1,500.00 0 Mar/18/13 Oct/09/14
Description: AMAT 0021-04921 COIL ELECTRA 1/4", COPPER OVER & UNDER
electro-mavin Used - $699.95 0 Mar/20/13 Apr/19/13
Description: Nikon NSR AVIS2 ERG Amp asahi Model: 130NK 3-2 Module 4S587-011
ptb-sales Used - $3,000.00 0 Mar/21/13 Mar/31/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies NEW - $497.00 0 Mar/25/13 Apr/24/13
Description: AMAT 1090-77170 YASKAWASGM-02U3B4L & CGI Gear 023PLX0100 10:1 Planetary gear
chick666995 Refurbished - $4,895.00 0 Mar/26/13 Jun/13/18
Description: LAM Research +24 VDC 40 AMP Power Supply, 660-007612-001
ptb-sales Used - $3,000.00 0 Apr/01/13 Apr/11/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies Used - $118.00 0 Apr/03/13 May/03/13
Description: AMAT 1080-01216 Control DC Motor PWM 0-24VDC 32 Amp Minarik XP32-12/24DC
athomemarket Used - $194.99 0 Apr/05/13 May/05/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
yayais2012 Used - $220.00 0 Apr/06/13 May/06/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
supertechshop Used - $299.00 0 Apr/06/13 May/06/13
Description: AMAT Flat & Notch Orienter Interlock XR80 Implanter PCB 0100-94035 for P5000
bobsgoodies NEW - $249.00 0 Apr/08/13 May/08/13
Description: AMAT 0190-01449 Hoke 7387001R Valve & Flow Sensor FCS-G1/4A2-NA-H1141 & Amplifie
bobsgoodies NEW - $1,675.00 0 Apr/09/13 May/09/13
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
athomemarket Used - $63.99 0 Apr/09/13 Apr/16/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket NEW - $52.99 2 Apr/09/13 May/09/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
ptb-sales Used - $3,000.00 0 Apr/11/13 Apr/21/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies NEW - $125.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
bobsgoodies Used - $1,700.00 0 Apr/17/13 May/17/13
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear & Supports
bobsgoodies NEW - $179.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0100-35110 T/C Amp, Thermocouple Amplifier, PCB Assembly AMAT
bobsgoodies Used - $199.00 0 Apr/17/13 May/17/13
Description: AMAT 0020-28315 & 0090-20105 Sensor and Bracket Shutter Enclosure SM312CV2
bobsgoodies Used - $4,250.00 0 Apr/17/13 May/17/13
Description: AMAT 0010-70264 & 1010-70317 HP Robot Driver Upper/Lower HP Upgrade
bobsgoodies Used - $475.00 0 Apr/17/13 May/17/13
Description: AMAT 0040-03144 Plastic Wafer Tank Assy & 0090-00151 SRD Wafer Sensor Emitter
bobsgoodies NEW - $7,750.00 0 Apr/17/13 May/17/13
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD
bobsgoodies NEW - $199.00 0 Apr/17/13 May/17/13
Description: AMAT 0090-20004 & 0140-20102 Index Motor & Encoder Assembly Vexta A3723-9215
athomemarket Used - $63.99 0 Apr/17/13 May/17/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
actechinc Scrap, for parts - $85.00 0 Apr/18/13 Apr/23/13
Description: Applied Materials 0010-70386 Stand Alone VGA Monitor Base & 0850-90043 Monitor
ptb-sales Used - $3,000.00 0 Apr/22/13 May/02/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies NEW - $497.00 0 Apr/25/13 May/17/13
Description: AMAT 1090-77170 YASKAWASGM-02U3B4L & CGI Gear 023PLX0100 10:1 Planetary gear
sparepartssolution NEW - $600.00 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0010-20138 ASSY TC AMP HSNG NEW
sparepartssolution NEW - $40.00 1 Apr/29/13 Apr/28/15
Description: (125-0204) AMAT APPLIED MATERIALS 0020-22361 COVER TC AMP BOARD NEW
athomemarket Used - $409.99 0 Apr/29/13 May/06/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
bobsgoodies NEW - $147.00 0 May/03/13 May/17/13
Description: AMAT 0680-01564 15 Amp 2-Pole 100 - 240 VAC Earth Leakage (30ma trip) CB
bobsgoodies NEW - $167.00 0 May/03/13 May/17/13
Description: AMAT 0680-01702 20 Amp 3-Pole 100 - 240 VAC Ground Fault Circuit Breaker.
ptb-sales Used - $3,000.00 0 May/03/13 May/13/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $194.99 0 May/05/13 Jun/04/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
bobsgoodies NEW - $147.00 0 May/04/13 Jul/09/13
Description: AMAT 0680-01375 30 Amp 2-Pole 100 - 240 VAC Earth Leakage (30ma trip) C Breaker
yayais2012 Used - $220.00 0 May/06/13 Jun/05/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
athomemarket Used - $409.99 0 May/07/13 Jun/06/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
supertechshop Used - $299.00 0 May/07/13 Jun/06/13
Description: AMAT Flat & Notch Orienter Interlock XR80 Implanter PCB 0100-94035 for P5000
bobsgoodies Used - $118.00 0 May/07/13 May/17/13
Description: AMAT 1080-01216 Control DC Motor PWM 0-24VDC 32 Amp Minarik XP32-12/24DC
redlinemerch NEW - $6.50 1 Apr/30/13 May/07/13
Description: Potter & Brumfield relay KUP-11A55-120 120v 50/60hz
tdindustrial Used - $249.50 1 Feb/05/13 May/07/13
Description: Applied Precision Calibration Weights & Fixture 52-503839-000 with Case
capitolareatech NEW - $646.65 0 May/09/13 Oct/01/14
Description: AMAT 0100-01197 G2/G3 DELATC & PROT PCB 21.7MA
athomemarket NEW - $52.99 0 May/09/13 Jun/08/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
bobsgoodies NEW - $1,675.00 0 May/10/13 May/17/13
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
bobsgoodies NEW - $249.00 0 May/10/13 May/17/13
Description: AMAT 0190-01449 Hoke 7387001R Valve & Flow Sensor FCS-G1/4A2-NA-H1141 & Amplifie
ptb-sales Used - $3,000.00 0 May/14/13 May/24/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies NEW - $99.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0090-00857 Pressure Switch & Display
athomemarket Used - $63.99 0 May/17/13 Jun/16/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
bobsgoodies NEW - $1,675.00 1 May/17/13 Jul/09/13
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
bobsgoodies Used - $1,700.00 0 May/17/13 Jul/09/13
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear & Supports
bobsgoodies NEW - $249.00 0 May/17/13 May/24/13
Description: AMAT 0190-01449 Hoke 7387001R Valve & Flow Sensor FCS-G1/4A2-NA-H1141 & Amplifie
bobsgoodies NEW - $589.00 0 May/17/13 Jul/09/13
Description: Yaskawa Electric SGDA-04AS AMAT 0870-01011 AC Servo Drive SGD Series UL & CUL
bobsgoodies NEW - $325.00 0 May/17/13 Jul/09/13
Description: AMAT 0190-00532 PA, Flow Switch & Meter 2.5 GPM 1/2" Proteus 92037506S24P3K1
bobsgoodies NEW - $109.00 0 May/17/13 Jul/09/13
Description: Swagelok 6LV-DAFR4BW4P-C Pneumatic Diaphragm Valve AMAT 3870-01628 1/4"VCR & BW
bobsgoodies Used - $1,450.00 0 May/17/13 Jul/09/13
Description: AMAT 0100-38042 Light Source Assembly, Laser Diode, Heat Sink, & Housing
bobsgoodies Used - $85.00 0 May/17/13 Jul/09/13
Description: AMAT 0629-01119 Harness & Grandville-Phillips 275-262 Convectron Gauge
bobsgoodies NEW - $425.00 0 May/24/13 Jul/09/13
Description: Applied Materials 0050-27999 Supply & Return Manifold 1/2" Tube Swagelok 316 SS
ptb-sales Used - $3,000.00 0 May/24/13 Jun/03/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies NEW - $235.00 0 May/24/13 Jun/25/13
Description: AMAT 0190-01449 Hoke 7387001R Valve & Flow Sensor FCS-G1/4A2-NA-H1141 & Amplifie
bobsgoodies Used - $425.00 1 May/28/13 May/30/13
Description: Applied Materials 0010-38027 BWCVD Throttle Valve Assy,100, 125 & 150M
bobsgoodies NEW - $185.00 0 May/29/13 Jul/09/13
Description: Applied Materials 0040-41728 Supply & Return Manifold 1/2" Tube Swagelok 316 SS
usedeqsales NEW - $256.13 1 Jun/03/13 Jun/03/13
Description: Hubbell HBL4100C9W Pin & Sleeve Connector AMAT No: 0720-04901 New
redlinemerch NEW - $175.00 1 Jun/04/13 Feb/07/14
Description: AMAT applied materials 3" x 1/2" round Quartz grd & polish disk 3350-01009 5000
athomemarket Used - $194.99 0 Jun/04/13 Jul/04/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
bobsgoodies NEW - $775.00 0 Jun/05/13 Jul/09/13
Description: AMAT 1200-01067 RELAY CNTOR ABB 300 Amp Contactor EH160-C-NL 24VAC Coil NIB
ptb-sales Used - $3,000.00 0 Jun/05/13 Jun/15/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yayais2012 Used - $220.00 0 Jun/05/13 Jul/05/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
athomemarket Used - $409.99 0 Jun/06/13 Jul/06/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
supertechshop Used - $299.00 0 Jun/06/13 Jul/06/13
Description: AMAT Flat & Notch Orienter Interlock XR80 Implanter PCB 0100-94035 for P5000
bobsgoodies NEW - $15.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0090-00427 Switch Assembly C&K 7101SD9ABE switch Amp connector
bobsgoodies NEW - $28.00 0 Jun/07/13 Jul/09/13
Description: AMAT 0680-02070 Cutler-Hammer Type BA 30 Amp 2 Pole BAB2030 Bolt-on Breaker 240V
athomemarket NEW - $39.99 0 Jun/08/13 Jul/08/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
athomemarket Used - $63.99 0 Jun/16/13 Jul/16/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
ptb-sales Used - $3,000.00 0 Jun/17/13 Jun/27/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies NEW - $325.00 0 Jun/18/13 Jul/09/13
Description: AMAT 0050-42145 IFS HP Tescom 74-241KT420 Regulator & 45400454 Veriflo Assembly
capitolareatech NEW - $1,150.00 0 Jun/19/13 Jul/15/13
Description: AMAT 0200-10160 LINER, CERAMIC AMP
capitolareatech NEW - $675.00 0 Jun/19/13 Sep/17/13
Description: AMAT 0100-76029 PCB CHBR TRAY INTC A & C CENTURA MCVD
capitolareatech NEW - $478.13 1 Jun/19/13 Jul/19/14
Description: LAM RESEARCH 810-017013-001 PCB,AMP I/L
capitolareatech NEW - $450.00 0 Jun/19/13 Sep/17/13
Description: APPLIED MATERIAL (AMAT) 0190-76000 LEAD SCREW & NUT STORAGE ELEVATOR
capitolareatech NEW - $240.50 1 Jun/19/13 Aug/16/13
Description: AMAT 0010-09292 ASSY, TC AMP HOUSING GENERIC
capitolareatech NEW - $38.25 0 Jun/21/13 Sep/14/14
Description: AMAT 0620-01231 CABLE ASSY EXTENSION 50FT PC/AT KYBD & VGA MONITOR
supertechshop NEW - $1,250.00 0 Jun/24/13 Jul/24/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
ptb-sales Used - $3,000.00 0 Jun/27/13 Jul/07/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech NEW - $75.00 0 Jun/28/13 Nov/18/13
Description: NOVELLUS SYSTEMS 10-155414-00 ASSY, TUBE V46A & V48A,STA1
athomemarket Used - $175.49 0 Jul/04/13 Aug/03/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
yayais2012 Used - $220.00 0 Jul/06/13 Aug/05/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
athomemarket Used - $368.99 0 Jul/06/13 Aug/05/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
ptb-sales Used - $3,000.00 0 Jul/08/13 Jul/18/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket NEW - $35.99 0 Jul/08/13 Aug/07/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
ptb-sales Used - $3,000.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $57.59 0 Jul/16/13 Aug/15/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
supertechshop NEW - $1,250.00 0 Jul/24/13 Aug/23/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
pohyh Used - $3,000.00 1 Jul/26/13 Aug/15/14
Description: 82 APPIED MATERIAL AMAT ASSY TC AMP PART NUMBER 0010-20138 REV 001
ptb-sales Used - $3,000.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $175.49 0 Aug/03/13 Sep/02/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
yayais2012 Used - $220.00 0 Aug/05/13 Sep/04/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
athomemarket Used - $368.99 0 Aug/05/13 Sep/04/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
allpart2013 Used - $120.00 10 Aug/06/13 May/18/15
Description: AMAT DIP294 DeviceNet I/O Block 0190-36511 With amp filter 0090-02703
athomemarket NEW - $35.99 0 Aug/07/13 Sep/06/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
ptb-sales Used - $3,000.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
farmoninc NEW - $350.00 0 Aug/09/13 Aug/02/21
Description: AMAT 0140-38485 harness sensor W/S AMP Producer
supertechshop NEW - $499.00 0 Aug/11/13 Sep/10/13
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
ntsurplus302 Used - $280.00 0 Aug/14/13 Jan/18/15
Description: 2825 Set of 2 Applied Materials P/N: 0020-62770 & 0020-62771. Screens
neilan1987 NEW - $1,499.99 0 Aug/14/13 Sep/13/13
Description: Applied Materials AMAT 0100-02955 Dual TC AMP PCB ASSY 300MM PC
athomemarket Used - $57.59 0 Aug/15/13 Sep/14/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
ptb-sales Used - $3,000.00 0 Aug/19/13 Aug/29/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bruce135 Used - $299.00 0 Aug/21/13 Sep/20/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
ptb-sales Used - $3,000.00 0 Aug/29/13 Sep/08/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
pohyh Used - $1,000.00 1 Aug/29/13 Oct/16/14
Description: 398 AMAT 0090-36276 P5000 & 5200 CVD DPA REACTOR ASSEMBLY
athomemarket Used - $175.49 0 Sep/02/13 Oct/02/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
athomemarket NEW - $35.99 0 Sep/06/13 Oct/06/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
yayais2012 Used - $220.00 0 Sep/05/13 Oct/05/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
athomemarket Used - $368.99 0 Sep/04/13 Oct/04/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
ptb-sales Used - $3,000.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
supertechshop NEW - $499.00 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
capitolareatech NEW - $109.97 1 Sep/12/13 Dec/20/13
Description: AMAT 0020-26588 SHIELD 8" PCII @C & D
capitolareatech NEW - $46.87 0 Sep/12/13 Oct/07/14
Description: AMAT 0050-61597 TUBE, PUMP OUTLET TO 3-WAY VALVE & PV19, 200MM MEG LDM
neilan1987 NEW - $1,499.99 0 Sep/13/13 Oct/25/13
Description: Applied Materials AMAT 0100-02955 Dual TC AMP PCB ASSY 300MM PC
athomemarket Used - $57.59 0 Sep/14/13 Oct/14/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
bobsgoodies NEW - $1,675.00 0 Sep/16/13 May/14/14
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
yayais2012 NEW - $240.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
ptb-sales Used - $3,000.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bruce135 Used - $299.00 0 Sep/24/13 Oct/24/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
supertechshop Used - $1,750.00 0 Sep/24/13 Oct/24/13
Description: AMAT 0190-07263 VAT 95238-PAGQ-ADH1 Combo 3" Gate Valve & Controller / Warranty
supertechshop NEW - $1,250.00 0 Sep/26/13 Oct/26/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
ultra_clean_warehouse NEW - $269.82 2 Sep/29/13 Dec/23/13
Description: APPLIED MATERIALS AMAT ENDURA 0020-17689 PUMPING PLATE FC & NCSR 300MM - REV 004
ptb-sales Used - $3,000.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
tdindustrial NEW - $395.00 2 Oct/02/13 Jun/15/17
Description: AMAT 0240-05641 (Kit,Transfer CH LCF Mainframe) Harn & Cable Assemblies, New
athomemarket Used - $194.99 0 Oct/02/13 Nov/01/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
athomemarket Used - $409.99 0 Oct/04/13 Nov/03/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
yayais2012 Used - $220.00 0 Oct/05/13 Nov/04/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
supertechshop NEW - $1,750.00 0 Oct/05/13 Nov/04/13
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop NEW - $1,750.00 0 Oct/05/13 Nov/04/13
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
athomemarket NEW - $39.99 0 Oct/07/13 Nov/06/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
sparepartssolution Used - $300.00 1 Oct/11/13 Aug/05/14
Description: AMAT APPLIED MATERIALS 0010-10061 ASSY, HINGE-POS A & D- UNILID USED
sparepartssolution Used - $500.00 0 Oct/10/13 Dec/01/14
Description: AMAT APPLIED MATERIALS 0010-09292 ASSY, TC AMP HOUSING GENERIC USED
ptb-sales Used - $3,000.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
supertechshop NEW - $499.00 0 Oct/12/13 Nov/11/13
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
athomemarket Used - $63.99 0 Oct/14/13 Nov/13/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
visionsemi NEW - $25.00 0 Oct/15/13 Nov/14/13
Description: LAM 842-092162-001 KIT REBUILD VLV-PAD & O-RING
bobsgoodies NEW - $125.00 0 Oct/18/13 Jun/17/14
Description: Applied Materials 0680-01124 Square D QDB 20 Amp Circuit Breaker 3 pole 240 VAC
yayais2012 NEW - $240.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
supertechshop Used - $395.00 0 Oct/22/13 Nov/21/13
Description: AMAT 0190-09900 Inficon Pirani Ion Vacuum Gauge & Sensor 354-491Combi / Warranty
neilan1987 NEW - $1,499.99 0 Oct/25/13 Jan/02/14
Description: Applied Materials AMAT 0100-02955 Dual TC AMP PCB ASSY 300MM PC
bruce135 Used - $299.00 0 Oct/25/13 Nov/24/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
comp24seven-2000 Used - $110.00 0 Oct/27/13 Feb/11/15
Description: AMAT DIP294 DeviceNet I/O Block 0190-36511 With amp filter 0090-02703
supertechshop Used - $1,750.00 0 Oct/28/13 Nov/26/13
Description: AMAT 0190-07263 VAT 95238-PAGQ-ADH1 Combo 3" Gate Valve & Controller / Warranty
supertechshop NEW - $1,250.00 0 Oct/28/13 Nov/26/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
visionsemi NEW - $25.00 0 Oct/28/13 Dec/27/13
Description: LAM 842-092162-001 KIT REBUILD VLV-PAD & O-RING
yayais2012 NEW - $105.00 0 Oct/28/13 Nov/27/13
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
keykorea NEW - $650.00 0 Oct/29/13 Jul/28/15
Description: AMAT 0190-01759 HEAD SWEEP BALL SCREW & NUT, NEW
keykorea NEW - $255.00 2 Oct/29/13 May/06/15
Description: AMAT 0100-77026 WRIST HOME, X AXIA HOME & LMIT SENSOR, NEW
athomemarket NEW - $99.99 0 Oct/30/13 Nov/06/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
prism_electronics7 Used - $229.99 1 Oct/30/13 Feb/12/16
Description: Power Architects Custom Power Supply PA-1292 LAM 660-027809-003 10 amp 700 Watt
ptb-sales Used - $3,000.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $194.99 0 Nov/01/13 Dec/01/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
athomemarket Used - $409.99 0 Nov/03/13 Dec/03/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
chunkysemiconductor Used - $500.00 1 Nov/04/13 Nov/20/13
Description: AMAT APPLIED MATERIALS 0100-20173 ASSY.PCB, STEPPER CONTROLLER 1.7 AMP DRIVER
yayais2012 Used - $220.00 0 Nov/04/13 Dec/04/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
supertechshop NEW - $1,750.00 0 Nov/04/13 Dec/04/13
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop NEW - $1,750.00 0 Nov/04/13 Dec/04/13
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
bobsgoodies NEW - $125.00 1 Nov/05/13 Jun/17/14
Description: Cutler-Hammer Circuit Breaker 3-Pole 80 Amp 240V QBHW3080H AMAT 0680-02127
athomemarket Used - $59.99 0 Nov/05/13 Nov/12/13
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket NEW - $39.99 0 Nov/06/13 Dec/06/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
sparepartssolution Used - $2,000.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0090-01432 ASSY TC AMP LOW TEMP BESC USED
sparepartssolution Used - $2,000.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-22158 0010-22158 TC AMP BOARD USED
athomemarket NEW - $90.99 0 Nov/07/13 Nov/14/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket Used - $63.99 0 Nov/13/13 Dec/13/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $54.99 0 Nov/13/13 Nov/20/13
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
supertechshop NEW - $249.00 0 Nov/14/13 Dec/14/13
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
bobsgoodies NEW - $37.50 0 Nov/15/13 Jun/17/14
Description: Square D QOB1155237 Bolt-on Circuit Breaker 15 Amp 1-Pole Sq D AMAT 0680-01207
athomemarket NEW - $90.99 0 Nov/15/13 Dec/15/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
mghaines NEW - $125.00 0 Nov/22/13 Apr/25/14
Description: APPLIED MATERIALS 0690-01038 CLAMP HINGED NW25 WING-NUT & SCR CLOSURE AL QTY 5
athomemarket Used - $54.99 0 Nov/21/13 Dec/21/13
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
ptb-sales Used - $3,000.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
keykorea Used - $1,000.00 0 Nov/21/13 May/31/17
Description: AMAT 3800-01147 & 0140-77491 REGULATOR, PRESSURE,ELECTRO-PNEUMATIC,CABLE,WORKING
bruce135 Used - $239.00 0 Nov/25/13 Dec/25/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
conquer_2011 Used - $400.00 2 Nov/25/13 Nov/26/13
Description: AMAT APPLIED MATERIALS 0010-20138 ASSY TC AMP HSNG
yayais2012 NEW - $105.00 0 Nov/27/13 Dec/27/13
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
mghaines NEW - $25.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 0690-01037 CLAMP HINGED NW50 WING-NUT & SCR-CLOSURE
mghaines NEW - $50.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 0690-01036 CLAMP HINGED NW40 WING-NUT & SCR-CLOSURE AL
supertechshop NEW - $1,250.00 0 Nov/28/13 Dec/28/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
athomemarket Used - $194.99 0 Dec/01/13 Dec/31/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
ptb-sales Used - $3,000.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies NEW - $500.00 0 Dec/02/13 Jun/17/14
Description: Applied Materials AMAT 3380-01034 HE2-PASS SSCFK Shell & Tube SS Heat Exchanger
bobsgoodies Used - $375.00 0 Dec/02/13 Jun/17/14
Description: Applied Materials AMAT 3380-01034 HE2-PASS SSCFK Shell & Tube SS Heat Exchanger
supertechshop Used - $1,750.00 0 Dec/02/13 Jan/01/14
Description: AMAT 0190-07263 VAT 95238-PAGQ-ADH1 Combo 3" Gate Valve & Controller / Warranty
athomemarket Used - $409.99 0 Dec/03/13 Jan/02/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
yayais2012 Used - $220.00 0 Dec/04/13 Jan/03/14
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
capitolareatech NEW - $6.00 0 Dec/06/13 Dec/12/13
Description: Applied Materials (AMAT) 0690-01038 NW-25CP Clamp Hinged NW25 Wing-Nut & SCR-Clo
capitolareatech Used - $93.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials 0100-09109 PCB, Assembly K-TYPE TC AMP
supertechshop NEW - $1,750.00 0 Dec/06/13 Jan/05/14
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop NEW - $1,750.00 0 Dec/06/13 Jan/05/14
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
capitolareatech NEW - $487.50 0 Dec/06/13 Oct/02/14
Description: LAM Research (LAM) 716-800951-300 Base, FCS, Ring, Shld, 200MM & 300 M
bobsgoodies NEW - $29.50 10 Dec/06/13 Apr/02/14
Description: Eaton NAM Circuit Breaker 30 Amp 2-Pole 250VAC AMAT 0680-01025
athomemarket NEW - $39.99 0 Dec/06/13 Jan/05/14
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
keykorea Used - $250.00 2 Dec/08/13 Sep/19/18
Description: AMAT 0140-77053 PLATEN 2 & 3, MP8-DVR 7& 8, WORKING
keykorea Used - $110.00 0 Dec/08/13 May/01/18
Description: AMAT 0140-04901 HARNESS PAD 2, POLISHER BKHD COND & SWP, WORKING
keykorea Used - $180.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78537 CABLE BRUSH & ROLLER MOTORS PWR SCRUBBER, WORKING
keykorea Used - $200.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78539 CABLE BRUSH & ROLLER MOTORS PWR SCRUB 2, WORKING
supertechshop NEW - $499.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
ptb-sales Used - $3,000.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $65.99 0 Dec/13/13 Jan/12/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
oka1298 Used - $329.99 0 Dec/14/13 Jun/05/14
Description: Pneumatic panel EASE for 8310 Series, Applied Material / 0100 00056 & 0190-00115
semipart Used - $3,000.00 0 Dec/14/13 Jan/13/14
Description: AMAT ENDURA ASSY HP ROBOT DRIVER UPPE/LOWER 0010-70264 & AMAT 0010-70149
athomemarket NEW - $97.99 0 Dec/15/13 Jan/14/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
semipart NEW - $400.00 0 Dec/19/13 Jan/18/14
Description: Applied Material TXZ lift, ASSY 5-Phase Motor & 5-Phase Driver AMAT# 0090-06774
yayais2012 NEW - $240.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
supertechshop NEW - $249.00 0 Dec/17/13 Jan/16/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
athomemarket Used - $61.99 0 Dec/21/13 Jan/20/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
ptb-sales Used - $3,000.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bruce135 Used - $239.00 0 Dec/26/13 Jan/25/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
dr.fantom NEW - $40.00 0 Dec/26/13 Feb/12/15
Description: Applied Materials / AMAT 0690-01037 Clamp Hinged NW50 Wing-NUT & SCR-CLOSURE AL
yayais2012 NEW - $105.00 0 Dec/28/13 Jan/27/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
semipart Used - $500.00 0 Dec/28/13 Jan/27/14
Description: VGA VIDEO CONTROLLER for AMAT Endura & Centura 0190-76050
mghaines NEW - $25.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 0690-01037 CLAMP HINGED NW50 WING-NUT & SCR-CLOSURE
mghaines NEW - $50.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 0690-01036 CLAMP HINGED NW40 WING-NUT & SCR-CLOSURE AL
athomemarket Used - $412.99 0 Jan/02/14 Feb/01/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
yayais2012 Used - $220.00 0 Jan/03/14 Feb/02/14
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
athomemarket NEW - $40.99 0 Jan/05/14 Feb/04/14
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
ptb-sales Used - $3,000.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $65.99 0 Jan/12/14 Feb/11/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
ultra_clean_warehouse NEW - $269.82 0 Jan/13/14 May/07/14
Description: ENDURA PUMPING PLATE FC & NCSR 300MM - REV 004 - AMAT 0020-17689
semipart Used - $2,200.00 0 Jan/14/14 Feb/13/14
Description: AMAT ENDURA ASSY HP ROBOT DRIVER UPPE/LOWER 0010-70264 & AMAT 0010-70149
capitolareatech NEW - $14.35 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0140-09331 Harness Heater Power, 7 Amp L.S. ENDCL
yayais2012 NEW - $240.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
ptb-sales Used - $3,000.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
supertechshop Used - $499.00 0 Jan/18/14 Feb/17/14
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
supertechshop Used - $499.00 0 Jan/18/14 Feb/17/14
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
semipart NEW - $250.00 0 Jan/18/14 Feb/17/14
Description: Applied Material TXZ lift, ASSY 5-Phase Motor & 5-Phase Driver AMAT# 0090-06774
athomemarket Used - $61.99 0 Jan/20/14 Feb/19/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
supertechshop NEW - $249.00 0 Jan/20/14 Feb/19/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
supertechshop Used - $395.00 2 Jan/25/14 Feb/24/14
Description: AMAT 0190-09900 Inficon Pirani Ion Vacuum Gauge & Sensor 354-491Combi / Warranty
capitolareatech NEW - $109.97 0 Jan/25/14 Apr/10/14
Description: AMAT 0020-26588 SHIELD 8" PCII @C & D
yayais2012 NEW - $105.00 0 Jan/27/14 Feb/26/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
semipart Used - $500.00 2 Jan/27/14 Feb/12/14
Description: VGA VIDEO CONTROLLER for AMAT Endura & Centura 0190-76050
ptb-sales Used - $3,000.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bruce135 Used - $219.00 0 Jan/28/14 Feb/27/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
athomemarket Used - $196.99 0 Jan/30/14 Mar/01/14
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
wideerp01 NEW - $99.99 1 Jan/31/14 Feb/02/14
Description: Applied Materials DXZ Liner,Junction Ceramic AMP 0200-10157
athomemarket Used - $412.99 0 Feb/01/14 Mar/03/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
yayais2012 Used - $220.00 0 Feb/03/14 Mar/05/14
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
athomemarket NEW - $40.99 0 Feb/04/14 Mar/06/14
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
supertechshop Used - $1,750.00 0 Feb/04/14 Mar/06/14
Description: AMAT 0190-07263 VAT 95238-PAGQ-ADH1 Combo 3" Gate Valve & Controller / Warranty
supertechshop NEW - $1,250.00 0 Feb/04/14 Mar/06/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
ptb-sales Used - $3,000.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
mghaines NEW - $25.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 0690-01037 CLAMP HINGED NW50 WING-NUT & SCR-CLOSURE
mghaines NEW - $50.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 0690-01036 CLAMP HINGED NW40 WING-NUT & SCR-CLOSURE AL
ace449parts2010 NEW - $2,500.00 1 Feb/11/14 Apr/28/14
Description: AMAT, 0040-99996 ESC ASSY, 145MM SEMI FLAT, R2 & MXP CHAM
athomemarket Used - $65.99 0 Feb/11/14 Mar/13/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
keykorea Used - $18.00 0 Feb/11/14 Jun/27/15
Description: AMAT 0690-01767 CLAMP SPLIT & SCREW 1/2 SHAFT SIZE .562, USED
semipart Used - $2,200.00 1 Feb/13/14 Mar/06/14
Description: AMAT ENDURA ASSY HP ROBOT DRIVER UPPE/LOWER 0010-70264 & AMAT 0010-70149
supertechshop NEW - $1,750.00 0 Feb/13/14 Mar/15/14
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop NEW - $1,750.00 0 Feb/13/14 Mar/15/14
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
athomemarket Used - $61.99 0 Feb/19/14 Mar/21/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
yayais2012 NEW - $240.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
bobsgoodies NEW - $225.00 0 Feb/18/14 Jun/17/14
Description: AMAT 0190-36146 Flow Switch & Meter 3/8" Hose Proteus 92015006S24P2K1 Set .8 GPM
ecomicron Used - $1,000.00 2 Feb/20/14 Aug/27/14
Description: AMAT ASSY.PCB, STEPPER CONTROLLER 1.7 AMP DRIVER, 0100-20173
supertechshop NEW - $249.00 0 Feb/20/14 Mar/22/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
jojoequip Used - $250.00 1 Feb/21/14 Feb/21/14
Description: APPLIED MATERIALS 0010-20138 TC AMP HOUSING
used1eqsales Used - $675.96 2 Feb/24/14 Mar/31/15
Description: AMAT AMP 0100-01439 FOLC Loop Controller CE LCVME Circuit Board AMAT Quantum X
ecomicron Used - $900.00 4 Feb/25/14 Nov/27/14
Description: AMAT ASSY, TC AMP & OVERTEMP INTERLOCK, 300XZ, 0090-36414
bobsgoodies NEW - $195.00 0 Feb/26/14 Jun/17/14
Description: AMAT 0010-00070 Stepper Motor Assembly With Brake & HEDS-6300 Encoder 2.25"
bobsgoodies Scrap, for parts - $2,200.00 0 Feb/26/14 Jun/17/14
Description: Applied Materials 0010-76800 Basic Indexer Assembly & Spare Motor Assembly
yayais2012 NEW - $105.00 0 Feb/27/14 Mar/29/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
alvin1462 Used - $5,555.00 1 Feb/27/14 Sep/29/14
Description: Applied Materials 0090-36276 P5000 & 5200 CVD , DPA Reactor Assembly
supertechshop Used - $395.00 0 Feb/27/14 Mar/29/14
Description: AMAT 0190-09900 Inficon Pirani Ion Vacuum Gauge & Sensor 354-491Combi / Warranty
bruce135 Used - $219.00 0 Feb/28/14 Mar/30/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
prism_electronics6 Used - $599.95 1 Feb/28/14 Jul/03/14
Description: Lam Research / mks 839-360247-004 Vacuum Piping & Heater Assembly
ptb-sales Used - $3,000.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies Used - $150.00 0 Mar/14/14 Jun/17/14
Description: MIRRA AMAT 0100-77026 Wrist Home & Axis Limit Sensor EESV3 Applied Materials
prism_electronics6 Used - $645.95 1 Mar/14/14 Jun/18/17
Description: LAM Research 810-017003-004 Drive IMPD & PH Board Rev. K, 810-017003R001-K
athomemarket Used - $65.99 0 Mar/14/14 Apr/13/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket NEW - $97.99 0 Mar/16/14 Apr/15/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
ptb-sales Used - $3,000.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yayais2012 NEW - $240.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
supertechshop NEW - $499.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop Used - $499.00 0 Mar/22/14 Apr/21/14
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
supertechshop Used - $499.00 0 Mar/22/14 Apr/21/14
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
athomemarket Used - $61.99 0 Mar/22/14 Apr/21/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
fablogic NEW - $1,000.00 0 Mar/22/14 Aug/19/14
Description: AMAT PVD 0010-20287 & 0010-20288 Handler, Assy. LT & RT (NEW; 1 pair)
supertechshop NEW - $249.00 0 Mar/26/14 Apr/25/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
yayais2012 NEW - $105.00 0 Mar/31/14 Apr/30/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
ptb-sales Used - $3,000.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
supertechshop Used - $395.00 1 Mar/31/14 Apr/10/14
Description: AMAT 0190-09900 Inficon Pirani Ion Vacuum Gauge & Sensor 354-491Combi / Warranty
bruce135 Used - $197.10 0 Apr/01/14 May/01/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
athomemarket Used - $196.99 1 Apr/01/14 Apr/09/14
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
systasemi NEW - $173.00 2 Apr/02/14 Sep/01/17
Description: AMAT 3400-01564 HOSE ASSY OUTLET/SUP & RETURN TO CATHOD
smtechkoreacom Used - $3,500.00 0 Apr/03/14 Jun/02/14
Description: AMAT 0010-01831 ASSY TC AMP LOW TEMP BESC
athomemarket Used - $412.99 0 Apr/03/14 May/03/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
capitolareatech NEW - $1.00 0 Aug/18/11 Oct/01/14
Description: 3690-04088 SCR CAP SKT HD 10-32X1/2L HEX SKT SST W/LW & FW
yayais2012 Used - $220.00 0 Apr/06/14 May/06/14
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
farmoninc NEW - $6,500.00 0 Apr/07/14 May/10/17
Description: AMAT 0010-03370 Rev. 001 w/ Alpha 5 Seasoning & Random Surface Finish
supertechshop NEW - $1,250.00 0 Apr/08/14 May/08/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
supertechshop Used - $1,750.00 0 Apr/08/14 May/08/14
Description: AMAT 0190-07263 VAT 95238-PAGQ-ADH1 Combo 3" Gate Valve & Controller / Warranty
ptb-sales Used - $3,000.00 0 Apr/11/14 Apr/21/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 1270-01783 E17W-H52 Pressure Switch, 1 Amp Resistive,
athomemarket Used - $65.99 0 Apr/13/14 May/13/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
bobsgoodies Used - $95.00 0 Apr/14/14 Apr/14/14
Description: Cuttler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
bobsgoodies Used - $110.00 0 Apr/14/14 Jun/17/14
Description: Cuttler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
supertechshop NEW - $299.00 0 Apr/15/14 May/15/14
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
athomemarket NEW - $97.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
supertechshop NEW - $1,750.00 0 Apr/17/14 May/17/14
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop NEW - $1,750.00 0 Apr/17/14 May/17/14
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
yayais2012 NEW - $240.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
athomemarket Used - $61.99 0 Apr/21/14 May/21/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
ptb-sales Used - $3,000.00 0 Apr/21/14 May/01/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
farmoninc NEW - $450.00 0 Apr/21/14 Apr/29/14
Description: AMAT 0090-91059 ITL Fuse & Thermistor Box, W/L Door , 0090-91059ITL
supertechshop NEW - $499.00 0 Apr/22/14 May/22/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop Used - $499.00 0 Apr/22/14 May/22/14
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
supertechshop Used - $499.00 0 Apr/22/14 May/22/14
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
keykorea Used - $190.00 0 Apr/25/14 Jan/05/18
Description: AMAT 0140-77007 H/A PAD 2, DVRS-CONT BLKHD COND & SWP, E, USED
keykorea Used - $200.00 0 Apr/27/14 Jan/05/18
Description: AMAT 0140-77041 H/A PAD1, DVRS-CONT BLKHD COND & SWP, EN, USED
supertechshop NEW - $249.00 0 Apr/28/14 May/28/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
yayais2012 NEW - $105.00 0 Apr/30/14 May/30/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
ptb-sales Used - $3,000.00 0 May/01/14 May/11/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $412.99 0 May/03/14 Jun/02/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
jabedow NEW - $50.00 0 May/17/14 May/24/14
Description: Cuttler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
athomemarket NEW - $97.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
supertechshop NEW - $299.00 0 May/20/14 Jun/19/14
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
supertechshop NEW - $1,750.00 0 May/20/14 Jun/19/14
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop NEW - $1,750.00 0 May/20/14 Jun/19/14
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
metrology123 Used - $1,199.00 1 May/20/14 May/27/14
Description: Applied materials 0100-00313 & 0100-01136
athomemarket Used - $61.99 0 May/21/14 Jun/20/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket Used - $114.99 0 May/21/14 Jun/20/14
Description: AMAT SACVD CVD 500 Chamber Clamp & Switch 0150-09099 NDM-A-145 NDM-B-115
yayais2012 NEW - $240.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
ptb-sales Used - $3,000.00 0 May/22/14 Jun/01/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
jabedow NEW - $50.00 0 May/24/14 May/31/14
Description: Cuttler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
harrington2howry NEW - $1,995.00 0 May/25/14 Jun/24/14
Description: AMAT 0010-70264 HP Robot Driver Upper & Lower BRAND NEW
keykorea Used - $20,000.00 0 May/26/14 Sep/03/15
Description: AMAT 0010-17445 & 0010-14606 DIRECT FEED BRUSH LDM FOR 300MM DESICA , USED
supertechshop NEW - $499.00 0 May/28/14 Jun/27/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop Used - $499.00 0 May/28/14 Jun/27/14
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
supertechshop Used - $499.00 0 May/28/14 Jun/27/14
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
dr.fantom NEW - $95.00 0 May/29/14 Feb/12/15
Description: Applied Materials AMAT 0090-04706 EMO SWITCH & INTER-CIRCUIT ASSY W/GUARD
yayais2012 NEW - $105.00 0 May/30/14 Jun/29/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
used1eqsales Used - $1,753.57 1 Jul/30/14 Jan/23/15
Description: AMAT Quantum X 9090-01385 62 Series Res & Voltage Strek Rev. B used working
bobsgoodies Used - $325.00 0 Jul/24/14 Aug/04/14
Description: Lintelle Engineering 02-051164-00 Novellus 2RK6GN-AUL & 2GN6KA Gear W/bracket
yayais2012 NEW - $105.00 0 Aug/01/14 Aug/31/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
athomemarket Used - $412.99 0 Aug/02/14 Sep/01/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
ptb-sales Used - $3,000.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
farmoninc NEW - $150.00 0 Aug/04/14 Jun/01/23
Description: AMAT 0680-00080 Circuit Breaker SPCL 3 Pole 480 VAC 50 Amp 50/60HZ K-Curve
farmoninc NEW - $150.00 1 Aug/04/14 Mar/23/21
Description: AMAT 0680-90278 ABB, Circuit Breaker PRO-8 3PH 25 Amp
farmoninc NEW - $100.00 0 Aug/04/14 Jun/01/23
Description: 2 AMAT 0680-01894 Circuit Board SPCL 2 Pole 20 Amp 240VAC C-Curve Ring-TE
velocity-semiconductor-equipment NEW - $650.00 0 Aug/04/14 Sep/03/14
Description: AMAT PCB ASSYTC AMP & INTERLOCK BDDXZ 0100-35160
farmoninc NEW - $75.00 0 Aug/05/14 Apr/24/22
Description: AMAT 0680-00034 Circuit Breaker, MAG Therm 2 Pole, 480VAC 7 Amp H-Curve 5KA
supertechshop NEW - $299.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
supertechshop NEW - $1,750.00 0 Aug/07/14 Sep/06/14
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop Used - $499.00 0 Aug/07/14 Sep/06/14
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
supertechshop Used - $499.00 0 Aug/07/14 Sep/06/14
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
supertechshop NEW - $499.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
athomemarket NEW - $97.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
ptb-sales Used - $3,000.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
supertechshop NEW - $249.00 0 Aug/11/14 Sep/10/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
athomemarket Used - $61.99 0 Aug/19/14 Sep/18/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
supertechshop Used - $1,750.00 0 Aug/19/14 Sep/18/14
Description: AMAT 0190-07263 VAT 95238-PAGQ-ADH1 Combo 3" Gate Valve & Controller / Warranty
supertechshop NEW - $1,250.00 0 Aug/19/14 Sep/18/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
athomemarket Used - $114.99 0 Aug/19/14 Sep/18/14
Description: AMAT SACVD CVD 500 Chamber Clamp & Switch 0150-09099 NDM-A-145 NDM-B-115
yayais2012 NEW - $240.00 0 Aug/24/14 Nov/17/15
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
ptb-sales Used - $3,000.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
supertechshop Used - $399.00 0 Aug/25/14 Sep/24/14
Description: AMAT 0010-09577 XBR-2310 AC Servo Motor & Encoder /Cable 1843623012 / Warranty
supertechshop NEW - $1,750.00 0 Aug/25/14 Sep/24/14
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
bobsgoodies Used - $1,200.00 0 Aug/27/14 Dec/16/14
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear & Supports
bobsgoodies Used - $150.00 2 Aug/27/14 Dec/23/14
Description: MIRRA AMAT 0100-77026 Wrist Home & Axis Limit Sensor EESV3 Applied Materials
bobsgoodies Used - $950.00 0 Aug/27/14 Nov/05/15
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear Spindle
bobsgoodies Used - $115.00 6 Aug/27/14 May/17/15
Description: Cuttler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
bobsgoodies NEW - $149.00 0 Aug/27/14 Nov/19/15
Description: Swagelok 6LV-DAFR4BW4P-C Pneumatic Diaphragm Valve AMAT 3870-01628 1/4"VCR & BW
bobsgoodies NEW - $689.00 18 Aug/27/14 Oct/25/14
Description: Yaskawa Electric SGDA-04AS AMAT 0870-01011 AC Servo Drive SGD Series UL & CUL
bobsgoodies NEW - $47.50 0 Aug/27/14 Apr/11/15
Description: Square D QOB1155237 Bolt-on Circuit Breaker 15 Amp 1-Pole Sq D AMAT 0680-01207
bobsgoodies Used - $325.00 1 Aug/27/14 Jan/31/15
Description: Lintelle Engineering 02-051164-00 Novellus 2RK6GN-AUL & 2GN6KA Gear W/bracket
athomemarket Used - $412.99 0 Sep/01/14 Oct/01/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
yayais2012 NEW - $105.00 0 Sep/02/14 Oct/02/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
bobsgoodies2 Used - $129.00 0 Sep/02/14 Nov/30/17
Description: AMAT 0190-75104 20 Amp 2-Pole Cutler-Hammer QC2020HS Shunt Trip Circuit Breaker
velocity-semiconductor-equipment NEW - $650.00 0 Sep/03/14 Oct/03/14
Description: AMAT PCB ASSYTC AMP & INTERLOCK BDDXZ 0100-35160
ptb-sales Used - $3,000.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
supertechshop NEW - $299.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
supertechshop NEW - $1,750.00 0 Sep/09/14 Oct/09/14
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop NEW - $499.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop Used - $499.00 0 Sep/09/14 Oct/09/14
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
supertechshop Used - $499.00 0 Sep/09/14 Oct/09/14
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
farmoninc Used - $1,750.00 0 Sep/09/14 Dec/03/15
Description: AMAT 0040-09098 AMAT 5000 Robot blade, 8 inch with cap sensor amp PCB 0100-00084
usedeqsales Used - $609.14 0 Sep/10/14 May/04/15
Description: AMAT Applied Materials 9090-01331 QX+ PFS & ARC PSU Chassis Centura-HDP Used
yayais2012 Used - $220.00 0 Sep/10/14 Oct/10/14
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
velocity-semiconductor-equipment NEW - $650.00 0 Oct/03/14 Oct/06/14
Description: AMAT PCB ASSYTC AMP & INTERLOCK BDDXZ 0100-35160
capitolareatech NEW - $6.70 0 Sep/30/14 Oct/09/14
Description: LAM RESEARCH (LAM) 839-190347-002 GORE & ASSOCIATES ASSY, GND, STRP, FL CA
athomemarket Used - $65.99 0 Oct/11/14 Nov/10/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
keykorea NEW - $250.00 0 Dec/03/14 Dec/08/15
Description: AMAT 0140-11613 HARNESS ASSY MEG MTR & BR, NEW
bobsgoodies NEW - $225.00 0 Dec/05/14 May/21/20
Description: AMAT 0015-09404 LEAD SCREW & NUT, 15 SLOT ELEVATOR
bobsgoodies Used - $225.00 1 Dec/05/14 Dec/08/14
Description: AMAT 0015-09404 LEAD SCREW & NUT Actuator, But shorter Overall length 13 1/2"
athomemarket Used - $70.99 0 Dec/10/14 Jan/09/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
zi-eta4 Used - $45.00 0 Dec/10/14 Jan/09/15
Description: APPLIED MATERIALS AMP FILTER 0090-02703
yayais2012 Used - $220.00 0 Dec/11/14 Jan/10/15
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
ptb-sales Used - $3,000.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
mercury_man Used - $850.00 1 Nov/23/14 Nov/26/14
Description: Applied Materials VGA VIDEO CONTROLLER for AMAT Endura & Centura, P/N:0190-76050
bobsgoodies Scrap, for parts - $1,400.00 4 Oct/31/14 Nov/02/14
Description: AMAT 1080-00036 NSK RZ0810FN507 MEGATORQUE MOTOR MIRRA & NSK DRIVE EP081AF3-05
athomemarket NEW - $99.99 0 Dec/13/14 Jan/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
supertechshop NEW - $249.00 0 Dec/17/14 Jan/16/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
supertechshop NEW - $299.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
supertechshop NEW - $1,750.00 0 Dec/17/14 Jan/16/15
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop Used - $499.00 0 Dec/17/14 Jan/16/15
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
supertechshop Used - $499.00 0 Dec/17/14 Jan/16/15
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
supertechshop NEW - $499.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop Used - $199.00 0 Dec/17/14 Jan/16/15
Description: AMAT 0190-04200 DeviceNet Pro 6U CompactPCI Interface & DNet Rear Transition
supertechshop Used - $199.00 0 Dec/17/14 Jan/16/15
Description: AMAT 0190-07749 MEI Motion Engineering XMP XPXI Board & Rear Transition Module
supertechshop Used - $1,950.00 0 Dec/17/14 Jan/16/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
gigabitpartsolutions Refurbished - $125.00 1 Dec/17/14 Jan/05/15
Description: PCB ASSY,TC AMP & INTERLOCK BD,DXZ 0100-35160 AMAT
benta09 NEW - $59.99 0 Dec/18/14 Jan/17/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
athomemarket Used - $116.99 0 Dec/18/14 Jan/17/15
Description: AMAT SACVD CVD 500 Chamber Clamp & Switch 0150-09099 NDM-A-145 NDM-B-115
athomemarket Used - $63.99 0 Dec/18/14 Jan/17/15
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
ptb-sales Used - $3,000.00 0 Dec/22/14 Jan/01/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech NEW - $8.31 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0020-98300 SHIM AM & WALLIS CHASSIS
capitolareatech NEW - $4,000.00 0 Dec/26/14 May/25/15
Description: APPLIED MATERIALS (AMAT) 0021-04921 COIL ELECTRA 1/4", COPPER OVER & UNDER
capitolareatech NEW - $437.40 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00180 AMPHENOL (AMP) CABLE, EXTENSION, CONTROL,
capitolareatech NEW - $3.09 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-01719 AMPHENOL (AMP) 1-499923-2 CONN HDR PC MTG 6
capitolareatech NEW - $4.20 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01882 AMPHENOL (AMP) 747043-3 CONNPLUG CA MTG 15
capitolareatech NEW - $4.97 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01888 AMPHENOL (AMP) 747308-2 Connector Plug CA M
capitolareatech NEW - $3.42 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-02052 AMPHENOL (AMP) 746192-7 CONN RCPT 26 POS CA
capitolareatech NEW - $20.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-02070 AMPHENOL (AMP) 746790-1 CONN PLUG CA MTG 50
capitolareatech NEW - $2.65 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02071 AMPHENOL (AMP) 746192-3 Connector RCPT RBN
capitolareatech NEW - $4.41 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-02089 THOMAS & BEETS H4R25ST29CS CONN RCPT PC MTG
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02148 AMPHENOL (AMP) 643814-8 CONNHSG PLUG 8 POS
capitolareatech NEW - $7.17 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-02173 AMPHENOL (AMP) 748676-5 Connector SHLD CA C
capitolareatech NEW - $9.71 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-02349 AMPHENOL (AMP) 203743-4 Connector Pin Hood
capitolareatech NEW - $2.32 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02357 AMPHENOL (AMP) 202173-1 CONN COR GUIDE PIN
capitolareatech NEW - $1.55 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02502 AMPHENOL (AMP) 1-480318-0 Connector SKT HSG
capitolareatech NEW - $20.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-02643 AMPHENOL (AMP) 747303-4 CONN CABLE MTG 9 PO
capitolareatech NEW - $2.45 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02723 AMPHENOL (AMP) 745185-7 Connector RCPT 15 P
capitolareatech NEW - $7.73 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-02724 AMPHENOL (AMP) 745189-7 CONN RCPT 37 POS D
capitolareatech NEW - $2.76 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02765 AMPHENOL (AMP) 745187-7 CONN RCPT 25 POS ST
capitolareatech NEW - $1.01 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02818 AMPHENOL (AMP) 640441-3 CONN RCPT 24AWG MTA
capitolareatech NEW - $1.50 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-03283 AMPHENOL (AMP) 350782-1 CONN SHG CAP FREE-H
capitolareatech NEW - $130.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-03418 AMPHENOL (AMP) 747786-6 CONNector RCPT PC M
capitolareatech NEW - $5.00 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-04081 AMPHENOL (AMP) 925486-1 Connector RCPT HSG
capitolareatech NEW - $62.63 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90616 RECEPTACLE-230 AMP B TYP
capitolareatech NEW - $38.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0720-90618 PLUG 125 AMP SP TYPE
capitolareatech NEW - $61.11 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90619 RCPT 125 AMP B TYPE
capitolareatech NEW - $6.20 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90677 SOURIAU UTG14AC Cable Connection Clamp & St
capitolareatech NEW - $5.54 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90689 AMP C091 3201 001 CONN FREE SKT 2PIN SOLD C
capitolareatech NEW - $9.71 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01013 THOMAS & BEETS 609-37P Connection Plug CA M
capitolareatech NEW - $11.32 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0720-01082 AMPHENOL (AMP) 9767-14-6 CONNCABLE CLAMP WA
capitolareatech NEW - $67.22 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-01151 AMPHENOL (AMP) 1-51692-1 CONN PLUG CA MTG 1
capitolareatech NEW - $45.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0720-01176 AMPHENOL (AMP) 207345-1 CONN SHLD CA Clamp
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01251 AMPHENOL (AMP) 1-350373-9 CONN CLAM SHELL S
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01354 AMPHENOL (AMP) 640497-2 CONN HDR PC MTG 1/1
capitolareatech NEW - $4.64 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01419 AMPHENOL (AMP) 206539-2 CONN HSG CA MTG 120
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01505 AMPHENOL (AMP) 520249-2 Connector Jack PC M
capitolareatech NEW - $2.21 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01510 AMPHENOL (AMP) 102321-9 CONN HDR PC MTG 40
capitolareatech NEW - $15.01 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-01564 AMPHENOL (AMP) 207216-2 CONN RCPT PNL MTG 1
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01702 AMPHENOL (AMP) 640441-5 CONN HSG CA MTG 5 P
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01708 AMPHENOL (AMP) 641191-5 CONN HSG CA MTG 5 P
capitolareatech NEW - $2.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01711 AMPHENOL (AMP) 102321-1 Connector HDR PC MT
capitolareatech NEW - $1.77 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01712 AMPHENOL (AMP) 102321-2 CONN HDR PC MTG 14
capitolareatech NEW - $1.43 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01714 AMPHENOL (AMP) 499923-4 CONN HDR PC MTG 20
capitolareatech NEW - $2.21 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01717 AMPHENOL (AMP) 102321-6 CONN HDR PC MTG 26
capitolareatech NEW - $8.06 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01759 AMPHENOL (AMP) 747052-1 CONN RCPT RBN CA MT
capitolareatech NEW - $7.40 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01761 AMPHENOL (AMP) 747043-1 Connection Plug CA
capitolareatech NEW - $4.75 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-01773 AMPHENOL (AMP) 747375-8 CONN PLUG PC MTG 37
capitolareatech NEW - $4.70 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01821 AMPHENOL (AMP) 103660-5 CONN RCPT CA MTG 6
capitolareatech NEW - $4.86 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01872 AMPHENOL (AMP) 747303-3 CONN RCPT CA MTG 15
capitolareatech NEW - $12.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0720-01880 AMPHENOL (AMP) 747052-4 CONN RCPT CA MTG 9
capitolareatech NEW - $6.40 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01936 AMPHENOL (AMP) 747305-2 CONN RCPT RBN CA MT
capitolareatech NEW - $3.20 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-02053 AMPHENOL (AMP) 1-746195-0 CONN RCPT RBN CA
capitolareatech NEW - $2.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02090 AMPHENOL (AMP) 2-747707-0 CONN 15 POS D-SHE
capitolareatech NEW - $17.77 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0226-09020 Potter & Brumfield KUP-11D15-24 Relay KUP S
capitolareatech NEW - $35.54 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-30202 POTTER & BRUMFIELD W92X-X112-20 CB MAG 2P 2
capitolareatech NEW - $6.73 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0226-31144 POTTER & BRUMFIELD 27E487 TE Connectivity R
capitolareatech NEW - $748.86 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-48885 CKT BRKR,3 POLE,250 AMP
capitolareatech NEW - $727.29 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-75059 Quality Transformer & Electronics 7389 XFMR
capitolareatech NEW - $450.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-76000 LEAD SCREW & NUT STORAGE ELEVATOR
capitolareatech NEW - $971.90 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-00082 SANYO DENKI P50B07030DCS00M DRVR SERVO AMP
capitolareatech NEW - $2.43 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0900-01037 AMPHENOL (AMP) 842448-2 FLTR RFI PCB Mount
capitolareatech NEW - $19.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0900-90001 FILTER, EMI 20 AMP
capitolareatech NEW - $21.87 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0900-90019 Filter 6A IEC Switched & Fused, 250V~50/6
capitolareatech NEW - $1.43 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-90023 BUSSMANN AAO10 FUSES, 10 AMP SIZE A2; 550VA
capitolareatech NEW - $1.15 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-98001 RESETABLE FUSE 1.85 AMP 30V
capitolareatech NEW - $805.84 0 Dec/27/14 Jan/21/16
Description: Applied Materials (AMAT) 3040-98002 Ball Screw & Bearing Assembly
capitolareatech NEW - $8.00 0 Dec/27/14 Jan/26/15
Description: APPLIED MATERIALS (AMAT) 0720-03614 AMPHENOL (AMP) 211770-2 CONNECTOR BULKHEAD
usedeqsales Used - $806.09 4 Jun/18/09 Dec/26/14
Description: AMAT XR80 Implant G2 Load & Protection PCB 0100-00520
bobsgoodies NEW - $125.00 1 Aug/27/14 Dec/26/14
Description: AMAT 0040-76530 Water Supply & Return 3/4" Manifold w/ Hoke valves (Lot of 2)
imca00 NEW - $249.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
athomemarket Used - $415.99 1 Dec/30/14 Jan/29/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
bornalliancecom NEW - $4,500.00 0 Dec/31/14 Jul/29/15
Description: AMAT PVD 0010-20287 & 0010-20288 Handler, Assy. LT & RT (NEW; 1 pair)
yayais2012 NEW - $105.00 0 Jan/01/15 Jan/31/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
supertechshop Used - $1,750.00 1 Jan/04/15 Jan/25/15
Description: AMAT 0190-07263 VAT 95238-PAGQ-ADH1 Combo 3" Gate Valve & Controller / Warranty
supertechshop NEW - $1,750.00 0 Jan/04/15 Feb/03/15
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop NEW - $1,250.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
supertechshop Used - $199.00 0 Jan/04/15 Feb/03/15
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
supertechshop Used - $399.00 0 Jan/04/15 Feb/03/15
Description: AMAT 0010-09577 XBR-2310 AC Servo Motor & Encoder /Cable 1843623012 / Warranty
tommy_chou Used - $4,999.99 0 Jan/04/15 Feb/04/15
Description: ASTEX MKS AX8403A Ozone Generator AMAT 0190-36233 WITH POWER CORD & WATER TUBE
imca00 NEW - $249.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
ptb-sales Used - $3,000.00 0 Jan/05/15 Jan/15/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
dr.fantom Used - $199.00 0 Jan/06/15 Feb/12/15
Description: SMC E/P Regulator ITV2031-31N3N4-X97 50-70 PSI w/ Sensor 01538 & AMAT 0021-78097
usedparts-pk Used - $9,499.99 1 Jan/07/15 Jan/17/15
Description: TRUST C-2030-D01 2AXIS SPINDLE CONTROLLER NOVELLUS P/N 02-276537-00 & CABLES
bobsgoodies2 Used - $429.00 1 Jan/07/15 Mar/31/22
Description: AMAT 1200-01067 RELAY CNTACTOR ABB 300 Amp 24VAC Coil EH160 NEW EH-160
bobsgoodies NEW - $375.00 0 Jan/07/15 Dec/03/15
Description: AMAT 1200-01275 RELAY CNTR AC 3p 600V 200 Amp 208VAC Coil Fuji 2NC4Q0222
athomemarket Used - $53.99 0 Jan/09/15 Feb/08/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
bobsgoodies NEW - $540.00 1 Aug/27/14 Jan/08/15
Description: AMAT 1270-01354 ABB 600 Amp Contactor EH 550 24VDC Coil EH-550
bobsgoodies Used - $575.00 1 Aug/27/14 Jan/06/15
Description: AMAT 6" BB Susceptor Assy T/C 0190-09062 BC0200-96062 ceramic cover & pin
powersell007 Used - $899.00 1 Jan/10/15 Nov/01/17
Description: APPLIED MATERIALS 0010-03057 300MM ENP SLIT VALVE ACT & NCDQ2B125-UIA970691 AMAT
yayais2012 Used - $220.00 0 Jan/10/15 Feb/09/15
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
zi-eta4 Used - $45.00 1 Jan/10/15 Jan/29/16
Description: APPLIED MATERIALS AMP FILTER 0090-02703
imca00 NEW - $249.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
athomemarket NEW - $88.99 0 Jan/12/15 Feb/11/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
ptb-sales Used - $3,000.00 0 Jan/15/15 Jan/25/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
benta09 NEW - $59.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
athomemarket Used - $52.99 0 Jan/17/15 Feb/16/15
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket Used - $102.99 0 Jan/17/15 Feb/16/15
Description: AMAT SACVD CVD 500 Chamber Clamp & Switch 0150-09099 NDM-A-145 NDM-B-115
ntsurplus302 Used - $280.00 0 Jan/18/15 Jul/12/16
Description: 2825 Set of 2 Applied Materials P/N: 0020-62770 & 0020-62771. Screens
supertechshop Used - $199.00 0 Jan/19/15 Feb/18/15
Description: AMAT 0190-07749 MEI Motion Engineering XMP XPXI Board & Rear Transition Module
supertechshop Used - $1,950.00 0 Jan/19/15 Feb/18/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
supertechshop Used - $199.00 0 Jan/19/15 Feb/18/15
Description: AMAT 0190-04200 DeviceNet Pro 6U CompactPCI Interface & DNet Rear Transition
supertechshop NEW - $1,750.00 0 Jan/19/15 Feb/18/15
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop NEW - $249.00 0 Jan/19/15 Feb/18/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
supertechshop Used - $499.00 0 Jan/19/15 Feb/18/15
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
supertechshop Used - $499.00 0 Jan/19/15 Feb/18/15
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
supertechshop NEW - $499.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $299.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
ptb-sales Used - $3,000.00 0 Jan/26/15 Feb/05/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
usedparts-pk Used - $9,499.99 1 Jan/27/15 Dec/16/15
Description: TRUST C-2030-D01 2AXIS SPINDLE CONTROLLER NOVELLUS P/N 02-276537-00 & CABLES
imca00 NEW - $249.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
athomemarket Used - $401.99 0 Jan/29/15 Feb/28/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
farmoninc NEW - $300.00 0 Jan/31/15 Jan/05/22
Description: 4 AMAT 1120-00482 Amp, Fiber Optic, Lvl Sensor, IR, FX-301H
yayais2012 NEW - $105.00 0 Feb/01/15 Mar/03/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
imca00 NEW - $249.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
supertechshop NEW - $1,750.00 0 Feb/05/15 Mar/07/15
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop NEW - $1,250.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
supertechshop Used - $399.00 0 Feb/05/15 Mar/07/15
Description: AMAT 0010-09577 XBR-2310 AC Servo Motor & Encoder /Cable 1843623012 / Warranty
supertechshop Used - $199.00 0 Feb/05/15 Mar/07/15
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
ptb-sales Used - $3,000.00 0 Feb/06/15 Feb/16/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $53.99 0 Feb/08/15 Mar/10/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
yayais2012 Used - $220.00 0 Feb/09/15 Mar/11/15
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
vrfan NEW - $170.00 1 Feb/09/15 Feb/16/15
Description: Applied Materials kit, SUPER-E & RPS 5200 esc control PCB per CHB 0242-00095
imca00 NEW - $249.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
athomemarket NEW - $88.99 0 Feb/11/15 Mar/13/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
dr.fantom Used - $199.00 4 Feb/12/15 Jul/10/17
Description: SMC E/P Regulator ITV2031-31N3N4-X97 50-70 PSI w/ Sensor 01538 & AMAT 0021-78097
athomemarket Used - $52.99 0 Feb/16/15 Mar/18/15
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket Used - $102.99 0 Feb/16/15 Mar/18/15
Description: AMAT SACVD CVD 500 Chamber Clamp & Switch 0150-09099 NDM-A-145 NDM-B-115
ptb-sales Used - $3,000.00 0 Feb/16/15 Feb/26/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
benta09 NEW - $59.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
supertechshop NEW - $1,750.00 0 Feb/19/15 Mar/21/15
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop Used - $499.00 0 Feb/19/15 Mar/21/15
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
supertechshop Used - $499.00 0 Feb/19/15 Mar/21/15
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
supertechshop NEW - $499.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $249.00 0 Feb/19/15 Mar/21/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
supertechshop NEW - $299.00 0 Feb/23/15 Mar/25/15
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
supertechshop Used - $199.00 0 Feb/23/15 Mar/25/15
Description: AMAT 0190-07749 MEI Motion Engineering XMP XPXI Board & Rear Transition Module
supertechshop Used - $1,950.00 0 Feb/23/15 Mar/25/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
supertechshop Used - $199.00 0 Feb/23/15 Mar/25/15
Description: AMAT 0190-04200 DeviceNet Pro 6U CompactPCI Interface & DNet Rear Transition
ptb-sales Used - $3,000.00 0 Feb/27/15 Mar/09/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $401.99 0 Feb/28/15 Mar/30/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
yayais2012 NEW - $105.00 0 Mar/05/15 Apr/04/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
bobsgoodies NEW - $375.00 3 Mar/05/15 Sep/21/15
Description: AMAT 0190-00533 Water Flow Switch Proteus 92061012S24P2K1 & Meter CUB4V Red Lion
bobsgoodies NEW - $215.00 1 Mar/07/15 Apr/16/15
Description: Cutler Hammer CE15FN3Y1TB Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01171
supertechshop Used - $399.00 0 Mar/08/15 Apr/07/15
Description: AMAT 0010-09577 XBR-2310 AC Servo Motor & Encoder /Cable 1843623012 / Warranty
supertechshop NEW - $1,250.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
semiconusa Used - $899.00 3 Nov/12/09 Mar/06/15
Description: VGA VIDEO CONTROLLER for AMAT Endura & Centura
csi.usa Used - $140.00 0 Mar/09/15 Jan/22/18
Description: LAM RESEARCH 833-016952-14 REV.A & 833-016952-008 CABLE
ptb-sales Used - $3,000.00 0 Mar/10/15 Mar/20/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $53.99 0 Mar/10/15 Apr/09/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
supertechshop NEW - $1,750.00 0 Mar/11/15 Apr/10/15
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop Used - $199.00 0 Mar/11/15 Apr/10/15
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
yayais2012 Used - $220.00 0 Mar/11/15 Apr/10/15
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
athomemarket NEW - $88.99 0 Mar/13/15 Apr/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
imca00 NEW - $249.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
ytyc2014 Used - $90.00 1 Mar/18/15 Feb/04/16
Description: AMAT DIP294 DeviceNet I/O Block 0190-36511 With amp filter 0090-02703
athomemarket Used - $52.99 0 Mar/18/15 Apr/17/15
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket Used - $102.99 0 Mar/18/15 Apr/17/15
Description: AMAT SACVD CVD 500 Chamber Clamp & Switch 0150-09099 NDM-A-145 NDM-B-115
benta09 NEW - $59.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
ptb-sales Used - $3,000.00 0 Mar/20/15 Mar/30/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies Used - $225.00 1 Mar/23/15 Mar/23/15
Description: AMAT 0021-37508 & 0021-37507 Arm, Right & Left, HP+ ENP Robot Frog-Leg
supertechshop NEW - $499.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $1,750.00 0 Mar/24/15 Apr/23/15
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop Used - $499.00 0 Mar/24/15 Apr/23/15
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
supertechshop Used - $499.00 0 Mar/24/15 Apr/23/15
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
supertechshop NEW - $249.00 0 Mar/24/15 Apr/23/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
kellansurplus NEW - $12.00 0 Mar/24/15 Jun/16/16
Description: *New* AMAT 0690-01037 (Mainframe) Clamp Hinged NW50 Wing-Nut & Screw-Closure AL
supertechshop NEW - $299.00 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
supertechshop Used - $199.00 0 Mar/28/15 Apr/27/15
Description: AMAT 0190-07749 MEI Motion Engineering XMP XPXI Board & Rear Transition Module
supertechshop Used - $1,950.00 0 Mar/28/15 Apr/27/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
supertechshop Used - $199.00 0 Mar/28/15 Apr/27/15
Description: AMAT 0190-04200 DeviceNet Pro 6U CompactPCI Interface & DNet Rear Transition
yayais2012 NEW - $105.00 0 Apr/04/15 May/04/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
imca00 NEW - $249.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
semiconusa Used - $1,000.00 0 Apr/07/15 Jul/07/20
Description: AMAT Pivot Assy 0020-70271 & 0020-70272
svcompucycle NEW - $349.95 1 Apr/08/15 May/08/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
gigabitpartsolutions Refurbished - $275.00 0 Apr/09/15 Jun/02/16
Description: OEM Part APPLIED MATERIAL (AMAT) 0020-03597 CARRIER 4,5,6 & 8 IN. (refurb)
xl-t_com NEW - $14.50 0 Apr/09/15 Aug/31/16
Description: APPLIED MATERIALS - 0690-01036 - CLAMP HINGED NW40 WING-NUT & SCR-CLOSURE
athomemarket Used - $53.99 0 Apr/09/15 May/09/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
svcompucycle Used - $249.00 0 Apr/09/15 May/09/15
Description: AMAT 0010-09577 XBR-2310 AC Servo Motor & Encoder /Cable 1843623012 / Warranty
yayais2012 Used - $220.00 0 Apr/10/15 May/10/15
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
gti-semi NEW - $1,668.00 0 Apr/13/15 Nov/26/19
Description: AMAT, PUMPING PLATE 13 HOLES, AMP, p/n 0020-30059
athomemarket NEW - $88.99 0 Apr/13/15 May/13/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
ptb-sales Used - $3,000.00 0 Apr/13/15 Apr/23/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcompucycle Used - $2,250.00 0 Apr/14/15 May/14/15
Description: AE Paramount 1513 RF Generator 1.5KW 13.56 MHz & Cord AMAT 0190-33823 / Warranty
athomemarket Used - $52.99 0 Apr/17/15 May/17/15
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket Used - $102.99 0 Apr/17/15 May/17/15
Description: AMAT SACVD CVD 500 Chamber Clamp & Switch 0150-09099 NDM-A-145 NDM-B-115
bobsgoodies Used - $525.00 0 Apr/23/15 Nov/19/15
Description: AMAT 0010-09120 Heat Sink And Motor Assembly, Throttle Valve Drive & Shaft
ptb-sales Used - $3,000.00 0 Apr/23/15 May/03/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
farmoninc Used - $250.00 0 Apr/24/15 Mar/02/23
Description: Novellus 03-028661-00 Assembly, Harness, Syscon per sgnl, Signal, Amp, 401499
svcompucycle NEW - $1,750.00 0 Apr/26/15 May/26/15
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
orcom2011 Scrap, for parts - $8,000.00 1 Apr/28/15 Apr/28/15
Description: NSK SSB014FN517 AMAT 0040-75989 0040-46995 MOTOR & DRIVER Robot
athomemarket Used - $401.99 0 Apr/30/15 May/30/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
ptb-sales Used - $3,000.00 0 May/04/15 May/14/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yayais2012 NEW - $105.00 0 May/05/15 Jun/04/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
svcompucycle NEW - $349.95 2 May/08/15 Jun/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
athomemarket Used - $53.99 0 May/10/15 Jun/09/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
yayais2012 Used - $220.00 0 May/10/15 Jun/09/15
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
svcompucycle Used - $249.00 0 May/12/15 Jun/11/15
Description: AMAT 0010-09577 XBR-2310 AC Servo Motor & Encoder /Cable 1843623012 / Warranty
athomemarket NEW - $88.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
ptb-sales Used - $3,000.00 0 May/14/15 May/24/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcompucycle NEW - $1,750.00 0 May/14/15 Jun/13/15
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
svcompucycle Used - $1,950.00 0 May/14/15 Jun/13/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svcompucycle Used - $2,250.00 0 May/15/15 Jun/14/15
Description: AE Paramount 1513 RF Generator 1.5KW 13.56 MHz & Cord AMAT 0190-33823 / Warranty
svcompucycle NEW - $499.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
athomemarket Used - $52.99 0 May/18/15 Jun/17/15
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
bobsgoodies Used - $115.00 9 May/18/15 May/26/15
Description: Cuttler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
athomemarket Used - $102.99 0 May/18/15 Jun/17/15
Description: AMAT SACVD CVD 500 Chamber Clamp & Switch 0150-09099 NDM-A-145 NDM-B-115
svcompucycle Used - $199.00 0 May/19/15 Jun/18/15
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
usedparts-pk Used - $2,499.90 1 Jan/12/15 Jul/16/15
Description: PFEIFFER TMH 261PXS TURBO PUMP & TC 750-E74 CONTROLLER & CABLES
usedparts-pk Used - $1,999.90 3 Jan/09/15 Oct/15/15
Description: Pfeiffer Turbo pump TMH 071P and Controller TC 750-E74 & Cables
svcompucycle NEW - $299.00 0 May/21/15 Jun/20/15
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
svcompucycle Used - $499.00 0 May/21/15 Jun/20/15
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
svcompucycle Used - $499.00 0 May/21/15 Jun/20/15
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
svcompucycle Used - $199.00 0 May/22/15 Jun/21/15
Description: AMAT 0190-07749 MEI Motion Engineering XMP XPXI Board & Rear Transition Module
svcompucycle Used - $199.00 0 May/22/15 Jun/21/15
Description: AMAT 0190-04200 DeviceNet Pro 6U CompactPCI Interface & DNet Rear Transition
ptb-sales Used - $3,000.00 0 May/26/15 Jun/05/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
used1eqsales Used - $752.57 0 May/27/15 Sep/30/16
Description: AMAT Endura 300mm 0170-76126 Main AC Unit & 0190-06937 Transformer used working
sparesllc09 Used - $1,850.00 1 May/27/15 Nov/09/15
Description: 27-053668-00 / CNTRLR,T-VLV,ACH,CE & UL TYLAN GEN AC-4/AC413C / NOVELLUS
svcompucycle NEW - $1,750.00 0 May/28/15 Jun/27/15
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
svcompucycle NEW - $249.00 0 May/28/15 Jun/27/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
athomemarket Used - $401.99 0 May/30/15 Jun/29/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
ptb-sales Used - $3,000.00 0 Jun/05/15 Jun/15/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yayais2012 NEW - $105.00 0 Jun/06/15 Jul/06/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
athomemarket Used - $372.00 0 Jun/08/15 Jul/08/15
Description: AMAT 0090-00330 PVD Chamber AL/TTN Interlock PCB Board w/ DIP180-4 & 0100-00404
athomemarket Used - $53.99 0 Jun/09/15 Jul/09/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
used1eqsales NEW - $178.07 0 Jun/09/15 Dec/06/15
Description: AMAT 0020-82418 Graphite Side Shield MRS Chamber & 9150-04690 new surplus
svcompucycle NEW - $349.95 2 Jun/10/15 Jun/10/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
bobsgoodies Used - $149.00 15 Jun/10/15 Jan/25/16
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
yayais2012 Used - $220.00 0 Jun/10/15 Jul/10/15
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
svcompucycle Used - $249.00 0 Jun/12/15 Jul/12/15
Description: AMAT 0010-09577 XBR-2310 AC Servo Motor & Encoder /Cable 1843623012 / Warranty
athomemarket NEW - $88.99 0 Jun/12/15 Jul/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcompucycle NEW - $349.95 0 Jun/13/15 Jul/13/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
ptb-sales Used - $3,000.00 0 Jun/15/15 Jun/25/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $52.99 4 Jun/17/15 Jul/04/16
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket Used - $102.99 1 Jun/17/15 Feb/21/17
Description: AMAT SACVD CVD 500 Chamber Clamp & Switch 0150-09099 NDM-A-145 NDM-B-115
svcompucycle NEW - $1,750.00 0 Jun/18/15 Jul/18/15
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
svcompucycle Used - $1,950.00 0 Jun/18/15 Jul/18/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svcompucycle Used - $2,250.00 0 Jun/18/15 Jul/18/15
Description: AE Paramount 1513 RF Generator 1.5KW 13.56 MHz & Cord AMAT 0190-33823 / Warranty
svcompucycle Used - $199.00 0 Jun/18/15 Jul/18/15
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
svcompucycle NEW - $499.00 0 Jun/18/15 Jul/18/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
svcompucycle NEW - $299.00 0 Jun/21/15 Jul/21/15
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
svcompucycle Used - $199.00 0 Jun/21/15 Jul/21/15
Description: AMAT 0190-07749 MEI Motion Engineering XMP XPXI Board & Rear Transition Module
svcompucycle Used - $199.00 0 Jun/21/15 Jul/21/15
Description: AMAT 0190-04200 DeviceNet Pro 6U CompactPCI Interface & DNet Rear Transition
svcompucycle Used - $499.00 0 Jun/21/15 Jul/21/15
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
svcompucycle Used - $499.00 0 Jun/21/15 Jul/21/15
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
weirdstuff-inc Refurbished - $127.08 1 Jun/23/15 Sep/03/16
Description: Factory Sealed Refurbished Lam Research A853-000577-001 Phase & Mag Detector
conquer_2011 Used - $2,999.00 0 May/25/15 Jun/24/15
Description: MKS Assy: 653B-3-80-1, Jalepeno Valve, Nupro, 5 ea TC's & MKS Htr Jackets, HTD
ptb-sales Used - $3,000.00 0 Jun/29/15 Jul/09/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $299.99 0 Jun/29/15 Jun/17/17
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
svcompucycle NEW - $1,750.00 0 Jun/29/15 Jul/29/15
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
svcompucycle NEW - $249.00 0 Jul/01/15 Jul/31/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
tcchie Used - $299.00 1 Jul/02/15 Jul/09/15
Description: Applied Materials Tc Amp Dxz 0100-35160
bobsgoodies Used - $2,900.00 1 Jul/07/15 Jul/28/15
Description: AMAT 0010-01711 & 0010-40309 HP Robot Drive Assy, HP+ Extended Reach
bobsgoodies Used - $1,800.00 1 Jul/08/15 Jul/28/15
Description: AMAT 0010-70264 & 0010-70261 HP Wafer Robot Driver Drive Assy, HP Upper & Lower
keykorea NEW - $310.00 0 Jul/08/15 Aug/24/20
Description: AMAT 0100-77026 WRIST HOME, X AXIA HOME & LMIT SENSOR , NEW
ptb-sales Used - $3,000.00 0 Jul/09/15 Jul/19/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yayais2012 NEW - $105.00 0 Jul/09/15 Aug/08/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
athomemarket Used - $41.99 0 Jul/09/15 Jun/27/17
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
yayais2012 Used - $220.00 0 Jul/11/15 Aug/10/15
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
athomemarket NEW - $88.99 0 Jul/12/15 Jun/30/17
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcompucycle NEW - $349.95 1 Jul/13/15 Jul/17/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
svcompucycle Used - $249.00 0 Jul/13/15 Aug/12/15
Description: AMAT 0010-09577 XBR-2310 AC Servo Motor & Encoder /Cable 1843623012 / Warranty
keykorea Used - $650.00 0 Jul/15/15 Dec/23/15
Description: AMAT 0190-01759 HEAD SWEEP BALL SCREW & NUT , USED
ptb-sales Used - $3,000.00 0 Jul/20/15 Jul/30/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcompucycle NEW - $1,750.00 0 Jul/28/15 Aug/27/15
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
svcompucycle Used - $199.00 0 Jul/29/15 Aug/28/15
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
ptb-sales Used - $3,000.00 0 Jul/30/15 Aug/09/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcompucycle NEW - $249.00 0 Aug/02/15 Sep/01/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcompucycle NEW - $1,750.00 0 Aug/02/15 Sep/01/15
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
svcompucycle Used - $2,250.00 0 Aug/04/15 Sep/03/15
Description: AE Paramount 1513 RF Generator 1.5KW 13.56 MHz & Cord AMAT 0190-33823 / Warranty
svcompucycle NEW - $499.00 0 Aug/04/15 Sep/03/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
svcompucycle Used - $1,950.00 0 Aug/04/15 Sep/03/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svcompucycle Used - $499.00 0 Aug/04/15 Sep/03/15
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
bobsgoodies Used - $295.00 1 Aug/07/15 Sep/16/15
Description: Applied Materials AMAT 3380-01034 HE2-PASS SSCFK Shell & Tube SS Heat Exchanger
bobsgoodies NEW - $375.00 1 Aug/07/15 Sep/16/15
Description: Applied Materials AMAT 3380-01034 HE2-PASS SSCFK Shell & Tube SS Heat Exchanger
ptb-sales Used - $3,000.00 0 Aug/10/15 Aug/20/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yayais2012 NEW - $105.00 0 Aug/12/15 Sep/11/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
svcompucycle Used - $249.00 0 Aug/12/15 Sep/11/15
Description: AMAT 0010-09577 XBR-2310 AC Servo Motor & Encoder /Cable 1843623012 / Warranty
yayais2012 Used - $220.00 0 Aug/12/15 Sep/11/15
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
svcompucycle Used - $199.00 0 Aug/13/15 Sep/12/15
Description: AMAT 0190-04200 DeviceNet Pro 6U CompactPCI Interface & DNet Rear Transition
svcompucycle Used - $199.00 0 Aug/17/15 Sep/16/15
Description: AMAT 0190-07749 MEI Motion Engineering XMP XPXI Board & Rear Transition Module
svcompucycle Used - $499.00 0 Aug/17/15 Sep/16/15
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
allpart2015 Used - $1,550.00 0 Aug/20/15 Nov/18/15
Description: AMAT Endura Shutter Assembly & Blade, 300mm, P/N 0010-06140 REV 04C sold AS-IS
getspares.com_sparesllc09 Used - $5,600.00 0 Aug/20/15 Jun/06/18
Description: 0090-01432 / ASSY TC AMP LOW TEMP BESC/ APPLIED MATERIALS
ptb-sales Used - $3,000.00 0 Aug/21/15 Aug/31/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
getspares.com_sparesllc09 Used - $6,350.00 0 Aug/27/15 Apr/16/18
Description: 0010-01831 / ASSY TC AMP LOW TEMP BESC / APPLIED MATERIALS
svcompucycle NEW - $1,750.00 0 Aug/27/15 Sep/26/15
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
intek22 Scrap, for parts - $99.99 0 Aug/28/15 Sep/27/15
Description: Lot of 3 Applied Materials Power Supplies - HF10-783 / 1140-00480 & 101294-01
svcompucycle Used - $199.00 0 Aug/28/15 Sep/27/15
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
ptb-sales Used - $3,000.00 0 Aug/31/15 Sep/10/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcompucycle NEW - $1,750.00 0 Sep/02/15 Oct/02/15
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
svcompucycle Used - $1,950.00 0 Sep/09/15 Oct/09/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svcompucycle Used - $2,250.00 0 Sep/09/15 Oct/09/15
Description: AE Paramount 1513 RF Generator 1.5KW 13.56 MHz & Cord AMAT 0190-33823 / Warranty
svcompucycle NEW - $499.00 0 Sep/09/15 Oct/09/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
svcompucycle Used - $499.00 0 Sep/09/15 Oct/09/15
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
auctionrus NEW - $950.00 0 Sep/10/15 Jan/11/22
Description: AMAT 0010-10945 Assy TC AMP 350Khz, 0020-10758, 0100-09033, 0100-09312, 409246
ptb-sales Used - $3,000.00 0 Sep/10/15 Sep/20/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcompucycle Used - $399.95 0 Sep/11/15 Oct/11/15
Description: Lam Research ESC 5KV Power Supply 810-190402-001 & Filter Board 810-190430-001
yayais2012 Used - $220.00 0 Sep/11/15 Oct/11/15
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 NEW - $105.00 0 Sep/11/15 Oct/11/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
svcompucycle Used - $249.00 0 Sep/12/15 Oct/12/15
Description: AMAT 0010-09577 XBR-2310 AC Servo Motor & Encoder /Cable 1843623012 / Warranty
svcompucycle Used - $199.00 0 Sep/12/15 Oct/12/15
Description: AMAT 0190-04200 DeviceNet Pro 6U CompactPCI Interface & DNet Rear Transition
bobsgoodies Used - $250.00 0 Sep/15/15 Nov/14/15
Description: AMAT 0020-23487 Bracket Mounting & UD2115A Vexta 2-Phase Driver Assembly
svcompucycle Used - $199.00 0 Sep/16/15 Oct/16/15
Description: AMAT 0190-07749 MEI Motion Engineering XMP XPXI Board & Rear Transition Module
ptb-sales Used - $3,000.00 0 Sep/21/15 Oct/01/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcompucycle Used - $499.00 0 Sep/21/15 Oct/21/15
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
extruderguy Used - $299.00 0 Sep/24/15 Nov/23/15
Description: Applied Materials Smoke & Water Leak Det Assy 0100-00611
bobsgoodies Used - $90.00 0 Sep/24/15 Nov/23/15
Description: Cutler-Hammer Quicklag Circuit Breaker 2-Pole 30 Amp 120/240V AMAT 0680-01508
frostliquidation NEW - $200.00 0 Sep/28/15 Nov/27/15
Description: APPLIED MATERIALS 0010-36556 ASSY HOSE, 1/STEELHD-1, SUP & RET 50N FT
intek22 Scrap, for parts - $49.99 1 Sep/28/15 May/31/17
Description: Lot of 3 Applied Materials Power Supplies - HF10-783 / 1140-00480 & 101294-01
svcompucycle NEW - $1,750.00 0 Sep/28/15 Oct/28/15
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
svcompucycle Used - $199.00 0 Sep/28/15 Oct/28/15
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
ptb-sales Used - $3,000.00 0 Oct/02/15 Oct/12/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcompucycle NEW - $1,750.00 0 Oct/02/15 Nov/01/15
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop Used - $395.00 0 Oct/11/15 Nov/10/15
Description: AMAT 0190-11134 & 0190-11135 CTI Cryo Helium Lines for Upper & Lower RPG Chamber
yayais2012 NEW - $105.00 0 Oct/12/15 Nov/11/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
yayais2012 Used - $220.00 0 Oct/12/15 Nov/11/15
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
svcompucycle Used - $199.00 0 Oct/12/15 Nov/11/15
Description: AMAT 0190-04200 DeviceNet Pro 6U CompactPCI Interface & DNet Rear Transition
svcompucycle NEW - $499.00 0 Oct/12/15 Nov/11/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
svcompucycle Used - $499.00 0 Oct/12/15 Nov/11/15
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
ptb-sales Used - $3,000.00 0 Oct/12/15 Oct/22/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcompucycle Used - $149.95 0 Oct/12/15 Nov/11/15
Description: AMAT 0010-09577 XBR-2310 AC Servo Motor & Encoder /Cable 1843623012 / Warranty
svcompucycle Used - $1,950.00 0 Oct/12/15 Nov/11/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svcompucycle Used - $2,250.00 0 Oct/12/15 Nov/11/15
Description: AE Paramount 1513 RF Generator 1.5KW 13.56 MHz & Cord AMAT 0190-33823 / Warranty
svcompucycle Used - $399.95 0 Oct/12/15 Nov/11/15
Description: Lam Research ESC 5KV Power Supply 810-190402-001 & Filter Board 810-190430-001
svcompucycle Used - $199.00 0 Oct/17/15 Nov/16/15
Description: AMAT 0190-07749 MEI Motion Engineering XMP XPXI Board & Rear Transition Module
usedeqsales Used - $510.15 1 Oct/22/15 Jul/04/17
Description: Lam Research 810-017013-001 Gap Drive Amp Interlock Board PCB Rev. F Used
ptb-sales Used - $3,000.00 0 Oct/22/15 Nov/01/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcompucycle Used - $499.00 0 Oct/23/15 Nov/22/15
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
surplustek.inc NEW - $485.00 1 Oct/23/15 Feb/16/16
Description: New AMAT Applied Materials TC amp housing generic assy 0010-09292 amplifier
neilan1987 NEW - $299.99 0 Oct/25/15 Apr/26/17
Description: Applied Materials AMAT 0100-02955 Dual TC Amp PCB 300mm PVD PRE-CLEAN
svcompucycle NEW - $1,750.00 0 Oct/29/15 Nov/28/15
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
svcompucycle Used - $199.00 0 Oct/29/15 Nov/28/15
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
ptb-sales Used - $3,000.00 0 Nov/02/15 Nov/12/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
sparesllc09 NEW - $5,600.00 0 Nov/05/15 Nov/15/16
Description: 0090-01432 / ASSY TC AMP LOW TEMP BESC/ APPLIED MATERIALS
ptb-sales Used - $3,000.00 0 Nov/12/15 Nov/22/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yayais2012 NEW - $105.00 0 Nov/12/15 Dec/12/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
yayais2012 Used - $220.00 0 Nov/12/15 Dec/12/15
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
svliquidate Used - $1,950.00 0 Nov/12/15 Dec/12/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svliquidate Used - $2,250.00 0 Nov/12/15 Dec/12/15
Description: AE Paramount 1513 RF Generator 1.5KW 13.56 MHz & Cord AMAT 0190-33823 / Warranty
svliquidate NEW - $499.00 0 Nov/12/15 Dec/12/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
svliquidate Used - $199.00 0 Nov/12/15 Dec/12/15
Description: AMAT 0190-04200 DeviceNet Pro 6U CompactPCI Interface & DNet Rear Transition
svliquidate Used - $499.00 0 Nov/12/15 Dec/12/15
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
svliquidate Used - $149.95 0 Nov/12/15 Nov/12/15
Description: AMAT 0010-09577 XBR-2310 AC Servo Motor & Encoder /Cable 1843623012 / Warranty
svliquidate Used - $399.95 0 Nov/12/15 Dec/12/15
Description: Lam Research ESC 5KV Power Supply 810-190402-001 & Filter Board 810-190430-001
svliquidate NEW - $299.00 0 Nov/13/15 Dec/13/15
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
supertechshop Used - $395.00 0 Nov/17/15 Dec/17/15
Description: AMAT 0190-11134 & 0190-11135 CTI Cryo Helium Lines for Upper & Lower RPG Chamber
surplusssam NEW - $999.99 0 Nov/19/15 Nov/29/15
Description: NEW APPLIED MATERIALS AMAT 0010-02171 RIGHT HAND ASSY PIVOT & BEARING
surplusssam NEW - $999.99 0 Nov/19/15 Nov/29/15
Description: NEW APPLIED MATERIALS AMAT 0010-02170 LEFT HAND ASSY PIVOT & BEARING
supertechshop NEW - $99.00 0 Nov/22/15 Dec/22/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
ptb-sales Used - $2,000.00 0 Nov/23/15 Dec/03/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svliquidate Used - $499.00 0 Nov/23/15 Dec/23/15
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
bobsgoodies2 Used - $550.00 0 Nov/23/15 Mar/31/22
Description: AMAT 0540-90014 INDRAMAT CONTROLLER TDM 1.2-050-300-W1-000 Spin Amp Controller
surplusssam NEW - $999.99 0 Nov/30/15 Dec/10/15
Description: NEW APPLIED MATERIALS AMAT 0010-02170 LEFT HAND ASSY PIVOT & BEARING
surplusssam NEW - $999.99 0 Nov/30/15 Dec/10/15
Description: NEW APPLIED MATERIALS AMAT 0010-02171 RIGHT HAND ASSY PIVOT & BEARING
svliquidate Used - $199.00 0 Nov/30/15 Dec/30/15
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
svliquidate NEW - $1,750.00 0 Nov/30/15 Dec/30/15
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
allpart2016 Used - $1,550.00 1 Dec/01/15 Jan/26/19
Description: AMAT Endura Shutter Assembly & Blade, 300mm, P/N 0010-06140 REV 04C sold AS-IS
ptb-sales Used - $2,000.00 0 Dec/03/15 Dec/13/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,000.00 0 Dec/28/15 Jan/07/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svliquidate Used - $399.95 0 Dec/16/15 Jan/15/16
Description: Lam Research ESC 5KV Power Supply 810-190402-001 & Filter Board 810-190430-001
supertechshop NEW - $99.00 0 Dec/23/15 Jan/22/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
adabldo NEW - $39.99 8 Dec/27/15 Dec/29/15
Description: NEW EATON Cutler-Hammer Series 100 amp 2-Pole CH2100CS Breaker 120/240 Type CH
bigshawhawki NEW - $17.00 4 Dec/29/15 Dec/29/15
Description: Eaton 100 AMP Circuit Breaker Type BR2100 Type C2100 120/240V 2 Pole Brand New
hollylyn65 NEW - $100.00 1 Dec/20/15 Dec/29/15
Description: 4 New Eaton/Cutler-Hammer 15 Amp Arc Fault Circuit Breakers
svliquidate Used - $124.95 0 Dec/30/15 Jan/29/16
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
svliquidate NEW - $475.00 0 Nov/30/15 Dec/30/15
Description: NEW AMAT Linear Actuator Screw Guide Carrier Positioning Stage & Brackets Hi-Res
svliquidate Used - $149.00 0 Nov/30/15 Dec/30/15
Description: Lot 2 AMAT / Agilent E7080-665 System Controller Interface PCI Card & Cables
svliquidate NEW - $99.95 0 Nov/30/15 Dec/30/15
Description: AMAT SMC E/P Electro Pneumatic Regulator & Teflon Chemical Valve DeviceNet LTV40
tdindustrial NEW - $75.00 0 Jan/02/16 Feb/01/20
Description: LAM Alliance Modular Gas Box Integration Drawings & Schematic, 406-240204-013
1_more_2go Used - $2,200.00 1 Dec/26/15 Dec/30/15
Description: Artos CS-336 Wire Cut & Strip Machine
athomemarket Scrap, for parts - $49.99 1 Aug/21/15 Dec/28/15
Description: Lot: 2 Hamamatsu C6080-55 Light Power Meters w/ 248nm Sensors & Cables
auctionrus NEW - $100.00 1 Jan/06/16 Dec/05/16
Description: 6 AMAT 0680-01202 CB K-Frame Plug Nut Load & Side 413064
svliquidate NEW - $1,750.00 0 Jan/06/16 Feb/05/16
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
ptb-sales Used - $2,000.00 0 Jan/07/16 Jan/17/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
retechtronics2 NEW - $150.00 0 Jan/09/16 Jan/18/16
Description: APPLIED MATERIALS 0010-36556 ASSY HOSE, 1/STEELHD-1, SUP & RET 50N FT
retechtronics2 NEW - $125.00 0 Jan/11/16 Feb/02/16
Description: APPLIED MATERIALS 0010-36556 ASSY HOSE, 1/STEELHD-1, SUP & RET 50N FT
supertechshop Used - $149.95 1 Dec/16/15 Jan/07/16
Description: AMAT 0010-09577 XBR-2310 AC Servo Motor & Encoder /Cable 1843623012 / Warranty
yayais2012 Used - $220.00 0 Jan/12/16 Feb/11/16
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 NEW - $105.00 0 Jan/12/16 Feb/11/16
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
tjtechseller NEW - $650.00 0 Jan/17/16 Jan/27/16
Description: 0090-39174 0021-09947 0021-09948 Applied Material AMAT TC AMP OVERTEMP INTERLOC
jeepblack Used - $900.00 0 Jan/14/16 Feb/13/16
Description: 0020-10527, AMAT, Applied Materials, AMP C 254 SPUTTER PIPE , Teflon
auctionrus NEW - $500.00 1 Jan/18/16 Mar/16/16
Description: AMAT 0140-02852 Harness Assy, Load Lock & XFE, 413455
ptb-sales Used - $2,000.00 0 Jan/18/16 Jan/28/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies NEW - $149.00 3 Jan/19/16 Jan/05/18
Description: AMAT 0680-01218 Square D QOB3405238 Circuit Breaker 40 Amp 3-Pole Bolt-on
ecomicron NEW - $1,500.00 0 Jan/21/16 May/17/17
Description: 0100-20173, Applied Materials, ASSY.PCB, STEPPER CONTROLLER 1.7 AMP DRI
farmoninc Used - $3,900.00 0 Jan/22/16 Feb/19/16
Description: Verity SD1024-2-S Spectrometer, 100533AT, AMAT 1400-00204, 24VDC, 2 Amp, 409761
capitolareatech NEW - $35.16 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3500-00002 BALL SCREW & ACTUATORS BN5010 Nut Ball Scre
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-01308 POTTER & BLUMFIELD CB-1038D-38 Time Delay R
capitolareatech NEW - $66.22 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-01129 SPRECHER & SCHUH CA3-16C-10-24D-RT RLY CNTO
capitolareatech NEW - $971.90 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-00082 SANYO DENKI P50B07030DCS00M DRVR SERVO AMP
capitolareatech NEW - $336.23 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02392 AMPHENOL (AMP) 443929-1 CABLE ASSY 22AWG SG
capitolareatech NEW - $25.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01962 POTTER & BRUMFILED W23-X1A1G-20 Circuit Bre
capitolareatech NEW - $26.08 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00244 Potter & Brumfield W58-XB1A4A-3 Circuit Bre
capitolareatech NEW - $311.26 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-90120 CARD ISO PGM & FEEDBACK AMP
capitolareatech NEW - $73.71 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-90022 WESTINGHOUSE HQP3030H CIRCUIT 3 POLE 30 AMP
capitolareatech NEW - $750.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-91095 PWBA PNEUMATICS CONTROL L & R
capitolareatech NEW - $54.61 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90495 CFA 24C.J1/24C.HV FB & 0V
bobsgoodies Used - $149.00 2 Jan/25/16 Mar/22/16
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
supertechshop NEW - $1,250.00 0 Jan/25/16 Feb/24/16
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop Used - $74.95 0 Jan/25/16 Feb/24/16
Description: AMAT 0190-07749 MEI Motion Engineering XMP XPXI Board & Rear Transition Module
supertechshop NEW - $99.00 0 Jan/25/16 Feb/24/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svliquidate Used - $1,950.00 0 Jan/25/16 Feb/24/16
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svliquidate NEW - $299.00 0 Jan/25/16 Feb/24/16
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
svliquidate NEW - $499.00 0 Jan/25/16 Feb/24/16
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
svliquidate Used - $199.00 0 Jan/25/16 Feb/24/16
Description: AMAT 0190-04200 DeviceNet Pro 6U CompactPCI Interface & DNet Rear Transition
svliquidate Used - $499.00 0 Jan/25/16 Feb/24/16
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
svliquidate Used - $499.00 0 Jan/25/16 Feb/24/16
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
svliquidate Used - $399.95 0 Jan/25/16 Feb/24/16
Description: Lam Research ESC 5KV Power Supply 810-190402-001 & Filter Board 810-190430-001
datacom2014 NEW - $20.00 1 Jan/27/16 Jun/02/20
Description: APPLIED MATERIALS 0690-01036 CLAMP HINGED NW40 WING-NUT & SCR-CLOSURE AL AMAT
bobsgoodies NEW - $50.00 0 Jan/28/16 Mar/22/16
Description: Square D QOB1105237 Bolt-on Circuit Breaker 10 Amp 1-Pole AMAT 0680-01258
bobsgoodies NEW - $50.00 0 Jan/28/16 Mar/22/16
Description: AMAT 0680-01207 Square D QOB1105237 Bolt-on Circuit Breaker 15 Amp 1-Pole
ptb-sales Used - $2,000.00 0 Jan/29/16 Feb/08/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
dtech751 Used - $1,199.00 0 Jan/30/16 Feb/29/16
Description: ASML Power Amp Motion Control
bobsgoodies Used - $3,450.00 1 Feb/01/16 Jul/15/16
Description: AMAT 0010-76061 & 0010-75533 Centura Endura HP Robot Mag Drive Upper & Lower
bobsgoodies Used - $3,450.00 0 Feb/01/16 Jul/15/16
Description: AMAT 0010-76061 & 0010-75533 Centura Endura HP Robot Mag Drive Upper/Lower R166
bobsgoodies NEW - $425.00 4 Feb/02/16 Mar/20/17
Description: Applied Materials 0050-27999 Supply & Return Manifold 1/2" Tube Swagelok 316 SS
bobsgoodies Used - $3,450.00 0 Feb/02/16 Jul/15/16
Description: AMAT 0010-70265 & 0010-75533 Centura Endura HP Robot Mag Drive Upper/Lower
tjtechseller NEW - $820.00 5 Feb/03/16 Sep/03/19
Description: 0090-39174 0021-09947 0021-09948 Applied Material AMAT TC AMP OVERTEMP INTERLOC
svliquidate Used - $124.95 0 Feb/05/16 Mar/06/16
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
svliquidate NEW - $1,750.00 0 Feb/06/16 Mar/07/16
Description: AMAT Chamber Lid Door Guard 0040-94481 & Mykrolis WG3D401R2 Chambergard Diffuser
ptb-sales Used - $2,000.00 0 Feb/08/16 Feb/18/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
tihuen0 NEW - $500.00 0 Feb/19/16 Mar/20/16
Description: AMAT 0010-20138 ASSY TC AMP HSNG
supertechshop Used - $395.00 0 Feb/21/16 Mar/22/16
Description: AMAT 0190-11134 & 0190-11135 CTI Cryo Helium Lines for Upper & Lower RPG Chamber
ptb-sales Used - $2,000.00 0 Feb/22/16 Mar/03/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ecomicron NEW - $300.00 0 Feb/24/16 Jul/18/17
Description: 0200-35290, 0200-35291, AMAT, HOUSING & PLUG GAS FEED, DPS MEC
capitolareatech NEW - $315.04 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-21740 Assembly. TC AMP 300MM
capitolareatech NEW - $45.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-09331 Harness Heater Power, 7 Amp L.S. ENDCL
capitolareatech NEW - $73.71 0 Feb/25/16 Jun/24/16
Description: AMAT 0680-90022 CIRCUIT 3 POLE 30 AMP
supertechshop Used - $74.95 0 Feb/25/16 Mar/26/16
Description: AMAT 0190-07749 MEI Motion Engineering XMP XPXI Board & Rear Transition Module
svliquidate Used - $399.95 0 Feb/25/16 Mar/26/16
Description: Lam Research ESC 5KV Power Supply 810-190402-001 & Filter Board 810-190430-001
supertechshop NEW - $99.00 0 Feb/25/16 Mar/26/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
capitolareatech NEW - $55.69 1 Feb/25/16 Mar/18/16
Description: LAM 853-495636-001 ASSY,AMP,LIFTER,UP SENSE
capitolareatech NEW - $106.25 0 Feb/25/16 Jun/24/16
Description: LAM 853-370393-001 Harness Assembly, Amp Sensor
capitolareatech NEW - $324.91 0 Feb/25/16 Jun/24/16
Description: AMAT 0020-01170 GAS DISTR 5 & 6" LINE & PLUG
capitolareatech NEW - $1,289.03 0 Feb/25/16 Jun/24/16
Description: AMAT 0010-40275 ASSY, CLAMP & LIFT FINGER, E-CHUCK
capitolareatech NEW - $140.82 0 Feb/25/16 Jun/24/16
Description: AMAT 0010-09183 ASSY HEAT SINK & RESISTOR NETWORK
capitolareatech NEW - $132.52 0 Feb/25/16 Jun/24/16
Description: AMAT 0020-94897 INTERMEDIATE & SUPPORT TUBE
capitolareatech NEW - $331.09 0 Feb/25/16 Jun/24/16
Description: AMAT 0020-44964 BLANKOFF, INDEPENDENT LL PUMP & VENT, RI
capitolareatech NEW - $157.97 0 Feb/25/16 Jun/24/16
Description: AMAT 0020-38273 FLOOR COVER CHMBR. "C" & "D" FRONT
capitolareatech NEW - $311.26 0 Feb/29/16 Jun/28/16
Description: AMAT 0660-90120 CARD ISO PGM & FEEDBACK AMP
capitolareatech NEW - $120.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0620-01231 CABLE ASSY EXTENSION 50FT PC/AT KYBD & VGA MONITOR
capitolareatech NEW - $47.68 0 Feb/29/16 Jun/28/16
Description: AMAT 0270-09212 TOOL,TURBO PUMP ORIENTOR POS A & B PHIIA
capitolareatech NEW - $627.56 0 Feb/29/16 Jun/28/16
Description: AMAT 0225-97968 INSULATION, HEATED, STRAIGHT W/ PORT & V
capitolareatech NEW - $450.00 0 Feb/29/16 Jun/28/16
Description: APPLIED MATERIAL (AMAT) 0190-76000 LEAD SCREW & NUT STORAGE ELEVATOR
capitolareatech NEW - $110.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0190-13563 Manifold, CHEM & DI Inlet, IB, NXT-11931, 062492-001
capitolareatech NEW - $4,926.66 0 Feb/29/16 Jun/28/16
Description: AMAT 0190-10680 BALL SCREW & RECIRCULATING BALL NUT REPL
capitolareatech NEW - $54.61 0 Feb/29/16 Jun/28/16
Description: AMAT 0150-90495 CFA 24C.J1/24C.HV FB & 0V
capitolareatech NEW - $111.36 0 Feb/29/16 Jun/28/16
Description: AMAT 0150-04721 CABLE ASSY, +24VDC FANS & INTERLOCK, ULT
capitolareatech NEW - $108.08 0 Feb/29/16 Jun/28/16
Description: AMAT 0150-03316 CABLE ASSY, VME FEPC VIDEO & LP DIST.
capitolareatech NEW - $154.51 0 Feb/29/16 Jun/28/16
Description: AMAT 0150-03315 Cable Assembly, VME FEPC Serial & Keyboard D
capitolareatech NEW - $82.23 0 Feb/29/16 Jun/28/16
Description: AMAT 0140-09547 HARNESS ASSY, EXHAUST & BACKPLANE INTERL
capitolareatech NEW - $119.19 0 Feb/29/16 Jun/28/16
Description: AMAT 0140-01977 Harness Assembly, AC Cover to PB & LTB, MIRR
capitolareatech NEW - $120.14 0 Feb/29/16 Jun/28/16
Description: AMAT 0140-01046 Harness Assembly, 24V PS 5V & +/- 12V MAIN J3
capitolareatech NEW - $750.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0100-91095 PWBA PNEUMATICS CONTROL L & R
capitolareatech NEW - $1,372.17 0 Feb/29/16 Jun/28/16
Description: AMAT 0090-20361 ELEC ASSY WIDE BODY, 3 & C HTESC
capitolareatech NEW - $125.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-61597 TUBE, PUMP OUTLET TO 3-WAY VALVE & PV19, 200MM MEG LDM
capitolareatech NEW - $470.62 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-44708 LINE, MFLD 2POS. & PP, 300MM CENTURA LPC
capitolareatech NEW - $313.43 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-39840 WELDMENT,INTER,CH. D,PRCS,2/5 & 3/5 PALL
capitolareatech NEW - $1,141.15 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-33003 WLDMNT L4 DV & L7 FV TO CH WXZ VERIFLO V
capitolareatech NEW - $2,529.51 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-32954 WLDMNT L6 & 7 & 8 PG WXZ VERIFLO VALVE
capitolareatech NEW - $721.77 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-30924 INSERT GAS FEED A & D R2 5000, FLEXABLE
capitolareatech NEW - $889.52 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-30453 WLDMNT,TEB MNFLD,CH. A,B & C/D,LIQ. INJ.
capitolareatech NEW - $427.32 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-02202 LINE N2 PURGE, CLDWN POS D/F & XFER CHAM
capitolareatech NEW - $44.59 0 Feb/29/16 Jun/28/16
Description: AMAT 0150-09572 CABLE ASSY COVER/TEMP SW INTERCONN 6" &
capitolareatech NEW - $85.64 0 Feb/29/16 Jun/28/16
Description: AMAT 0140-10948 HARN ASSY, EXHAUST, BKPNL & PRES INTRLK,
capitolareatech NEW - $794.61 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-30448 WLDMNT,TEPO INLET MNFLD,CH. A,B & C/D
capitolareatech NEW - $190.26 0 Feb/29/16 Jun/28/16
Description: AMAT 3400-01081 Hose Flexible 5/8 I.D TEFLON & CARBON SST Braided Inlet, 5 f
capitolareatech NEW - $805.84 0 Feb/29/16 Jun/28/16
Description: AMAT 3040-98002 Ball Screw & Bearing Assembly
capitolareatech NEW - $875.00 0 Feb/29/16 Jun/28/16
Description: AMAT CENTURA 512728 California Seal & Fast SIGE O-Ring Kit: 2) 3700-01156, 2
capitolareatech NEW - $650.00 0 Feb/29/16 Jun/28/16
Description: LAM 716-800951-300 Base, FCS, Ring, Shld, 200MM & 300 M
capitolareatech NEW - $85.00 0 Feb/29/16 Jun/28/16
Description: Novellus 801-62-08675 Plate, Mounting to Wall (Replaces 17-028729-00 & 17-02
capitolareatech Used - $40.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0150-35811 (SIX) 0150-35811 & (SIX) 0150-35812 WIRE HARNESS ASSEMBLY;
capitolareatech Used - $350.00 0 Feb/29/16 Jun/28/16
Description: AMAT MASS STORAGE MODULE MASS Storage Module 0190-75048 (hard-drive) & 0660-
tjtechseller NEW - $450.00 0 Mar/01/16 Sep/25/17
Description: 0100-76029 Applied Materials AMAT Endura Centua PCB CHBR TRAY INTC A & C CENTURA
cargolargo NEW - $99.99 0 Mar/01/16 Mar/06/16
Description: Applied Materials 0190-25290 End Effecxtor, AEG M10 w/Plunger & Mapper Assembly
dr.dantom NEW - $85.00 0 Mar/02/16 Feb/19/20
Description: AMAT Applied Materials 0242-30462 KIT 300MM EQUIPMENT RACK DC & RF PWR SPLY
capitolareatech Used - $125.00 0 Mar/02/16 Jun/30/16
Description: AMAT 0050-08318 MANIFOLD TOXIC CH A & CH B
capitolareatech Used - $125.00 0 Mar/02/16 Jun/30/16
Description: AMAT 0050-08317 MANIFOLD INERT CH A & CH B
capitolareatech Used - $132.00 0 Mar/02/16 Jun/30/16
Description: AMAT 0050-08316 MANIFOLD LFT PN PRG CH A & CH B
cargolargo NEW - $83.99 1 Mar/06/16 Mar/11/16
Description: Applied Materials 0190-25290 End Effecxtor, AEG M10 w/Plunger & Mapper Assembly
ptb-sales Used - $2,000.00 0 Mar/07/16 Mar/17/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svliquidate Used - $124.95 0 Mar/07/16 Apr/06/16
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
texassemicontech NEW - $1,890.00 0 Mar/09/16 Jun/21/17
Description: Lam Research OnTrak 810-017098-001 Rev. B GAP DRIVE AMP PCB
intek22 Used - $299.00 1 Mar/10/16 Jun/19/17
Description: Applied Materials Mirra® - Front Panel Display, Video & Keyboard 0010-05388 AMAT
be4049 NEW - $8.50 0 Mar/10/16 Jul/30/20
Description: AMAT P/N: 0690-01037 CLAMP HINGED NW50 WING-NUT & SCR-CLOSURE
dr.dantom NEW - $600.00 0 Mar/13/16 Feb/19/20
Description: Applied Materials 0041-24879 AI CAP ALD TAN LID 300MM BB TM & LPC
jeepblack Used - $600.00 0 Mar/14/16 Apr/13/16
Description: 0020-10527, AMAT, Applied Materials, AMP C 254 SPUTTER PIPE , Teflon
situmeijian Refurbished - $2,980.00 1 Mar/15/16 May/31/16
Description: AE Paramount 1513 RF Generator 1.5KW 13.56 MHz & Cord AMAT 0190-33823
capitolareatech NEW - $50.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0050-39846 WELDMENT, INTER,CH. C, PRCS,2/5 & 3/5 PALL
capitolareatech NEW - $50.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0020-22518 Buss Bar 400 Amp CB Line #3
capitolareatech NEW - $50.00 0 Mar/16/16 Mar/16/16
Description: AMAT 0020-22518 Buss Bar 400 Amp CB Line #3
ptb-sales Used - $2,000.00 0 Mar/18/16 Mar/28/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
supertechshop Used - $395.00 0 Mar/23/16 Apr/22/16
Description: AMAT 0190-11134 & 0190-11135 CTI Cryo Helium Lines for Upper & Lower RPG Chamber
keykorea NEW - $2,500.00 0 Mar/23/16 Mar/30/16
Description: AMAT 0190-77114 & 0020-79042 CROSS ROTATION MOTOR AND SHAFT ASSEMBLY , NEW
svliquidate Used - $499.00 0 Mar/26/16 Apr/25/16
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
svliquidate Used - $199.00 1 Mar/26/16 Mar/26/16
Description: AMAT 0190-04200 DeviceNet Pro 6U CompactPCI Interface & DNet Rear Transition
svliquidate Used - $499.00 1 Mar/26/16 Mar/26/16
Description: AMAT 0190-17094 Yamatake SDU10 Temperature Controller 6-Channel Input & Output
svliquidate Used - $399.95 0 Mar/26/16 Apr/25/16
Description: Lam Research ESC 5KV Power Supply 810-190402-001 & Filter Board 810-190430-001
svliquidate Used - $1,950.00 0 Mar/26/16 Apr/25/16
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
supertechshop Used - $74.95 1 Mar/26/16 Mar/28/16
Description: AMAT 0190-07749 MEI Motion Engineering XMP XPXI Board & Rear Transition Module
supertechshop NEW - $1,250.00 0 Mar/26/16 Apr/25/16
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
supertechshop NEW - $99.00 0 Mar/26/16 Apr/25/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
tihuen0 NEW - $420.00 1 Mar/27/16 Apr/12/16
Description: AMAT 0010-20138 ASSY TC AMP HSNG
ptb-sales Used - $2,000.00 0 Mar/28/16 Apr/07/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies Used - $149.00 0 Mar/29/16 Apr/20/16
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
svliquidate Used - $149.95 0 Mar/30/16 Apr/29/16
Description: AMAT Sanyo Denki PM Driver PM0D102 Amplifier & 0130-00537 Stepper Interface
cssurplus NEW - $3,295.55 0 Mar/31/16 Apr/30/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
capitolareatech NEW - $24.96 0 Apr/05/16 Jul/04/16
Description: AMAT 1200-01188 Potter & Brumfield ODC-24 38595 24VDC Solid-State Relay
capitolareatech NEW - $21.49 0 Apr/05/16 Jul/04/16
Description: AMAT 0680-90023 Circuit Breaker 1 POLE 30 AMP, HACR Type, 120/240 V.AC
capitolareatech NEW - $23.80 0 Apr/06/16 Jul/05/16
Description: AMAT 670-091765-050 CIRCUIT BREAKER ELL ARM 5A. 250 VAC, 1 POLE, 5 AMP, NO AUXIL
svliquidate Used - $124.95 0 Apr/07/16 May/07/16
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
ptb-sales Used - $2,000.00 0 Apr/08/16 Apr/18/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
sparesllc09 NEW - $434.00 0 Apr/08/16 Aug/02/19
Description: 1080-01264 /MOTOR SERVO BRUSHLESS 115VAC W/BRAKE & E /APPLIED MATERIALS
bobsgoodies NEW - $425.00 1 Apr/11/16 Mar/20/17
Description: AMAT 0050-42275 Supply & Return Manifold & Bracket 1/2" Tube Swagelok 316 SS
jeepblack Used - $600.00 0 Apr/14/16 May/14/16
Description: 0020-10527, AMAT, Applied Materials, AMP C 254 SPUTTER PIPE , Teflon
socotek1 NEW - $160.00 1 Apr/14/16 Apr/15/16
Description: AMAT 1200-01081 Cutler-Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V Coil
bobsgoodies Used - $175.00 2 Apr/15/16 Apr/18/18
Description: AMAT 0020-36123 BRACKET FAN MOUNTING & FAN WITH GURADS TNE2C
ptb-sales Used - $2,000.00 0 Apr/18/16 Apr/28/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech NEW - $19.31 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-10927 Bracket, Stopper, Wafer & SUSCEPTOR Lift
capitolareatech NEW - $10.00 0 Apr/20/16 Sep/15/16
Description: AMAT 1390-01389 WIRE STRD 24AWG BLU/WHT 30FT(25FT & 5FT) 150V 80C 7X32 P
capitolareatech NEW - $13.75 0 Apr/20/16 Sep/15/16
Description: AMAT 0950-90183 IC VLTG TO FRQ. & FRQ TO VLTG CNVRTR
capitolareatech NEW - $17.07 0 Apr/20/16 Sep/15/16
Description: AMAT 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
muchoyen Used - $299.99 0 Apr/20/16 Apr/27/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
capitolareatech NEW - $10.00 0 Apr/20/16 Sep/15/16
Description: AMAT 3960-00071 SOUTHCO LATCH LIFT & TURN CPRSN
jabedow Used - $800.00 1 Apr/22/16 Apr/22/16
Description: AMAT 0190-77526 & 0190-77527 PAD CONDITIONER PC SWEEP AND PC ROATION SET , USED
supertechshop Used - $395.00 0 Apr/22/16 May/19/16
Description: AMAT 0190-11134 & 0190-11135 CTI Cryo Helium Lines for Upper & Lower RPG Chamber
svliquidate Used - $499.00 0 Apr/25/16 May/19/16
Description: AMAT 0190-24681 Yamatake 5DU10 Temperature Controller 6-Channel Input & Output
conquer_2011 NEW - $924.99 0 Apr/25/16 May/25/16
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
svliquidate Used - $1,950.00 0 Apr/25/16 May/10/16
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svliquidate Used - $399.95 0 Apr/25/16 May/19/16
Description: Lam Research ESC 5KV Power Supply 810-190402-001 & Filter Board 810-190430-001
supertechshop NEW - $99.00 0 Apr/25/16 May/19/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
supertechshop NEW - $1,250.00 0 Apr/25/16 May/19/16
Description: AMAT 0040-94482 Chamber Door Lid Guard & Mykrolis WG3D401R2 Chambergard Diffuser
bobsgoodies Used - $80.00 13 Apr/26/16 Apr/07/18
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
bobsgoodies Used - $149.00 1 Apr/26/16 Jan/17/17
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
ptb-sales Used - $2,000.00 0 Apr/29/16 May/09/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ecomicron Used - $350.00 1 May/03/16 Mar/21/18
Description: 0100-09279, AMAT, PCB ASSY, TC & INTERLOCK
cssurplus NEW - $3,295.55 0 May/09/16 Jun/08/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
keykorea Used - $2,500.00 0 May/09/16 Mar/21/18
Description: AMAT 0190-77526 & 0190-77527 PAD CONDITIONER PC SWEEP AND PC ROATION SET
sammy_etek NEW - $250.00 1 May/10/16 Jul/15/16
Description: 0100-20243, Applied Materials, ASSY, PCB, VAC PUMP & RGB INTERLOCK
muchoyen Used - $299.99 0 May/12/16 May/19/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
sammy_etek NEW - $1,500.00 0 May/14/16 Feb/01/17
Description: 0020-40203, Applied Materials, BLADE, 6" & 8", ROBOT
jeepblack Used - $600.00 0 May/15/16 Jun/14/16
Description: 0020-10527, AMAT, Applied Materials, AMP C 254 SPUTTER PIPE , Teflon
spsglobal Used - $500.00 0 May/19/16 Jul/28/17
Description: AMAT APPLIED MATERIALS 0090-09145 ASSY, SUSCEPTOR TC & OVERTEMP INTERLOCK USED
conquer_2011 NEW - $924.99 0 May/25/16 Jun/24/16
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
powersell007 Used - $2,399.00 1 May/26/16 Oct/19/16
Description: ENI DOFBC2-075 RF MATCH NETWORK 2 & 27MHz 000-1103-088 660-002296-004 LAM 2300
jinhyucle_0 NEW - $200.00 2 May/27/16 Dec/18/17
Description: AMAT 0090-02138 PHOTOELECTRIC SENSOR AMP, 300MM L-DOOR, BRAND NEW
catalystparts Used - $400.00 4 May/31/16 Jun/12/16
Description: Applied Materials 0100-20012 Isolation Amp PCB
yericomfg NEW - $250.00 0 May/31/16 Aug/08/18
Description: Applied Materials; Kit,Common RPO/DPN blankoff & plug 0242-46528
muchoyen Used - $299.99 0 Jun/02/16 Jun/09/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
supertechshop Used - $1,950.00 0 Jun/05/16 Jul/05/16
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
supertechshop Used - $124.95 0 Jun/05/16 Jul/05/16
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
cssurplus NEW - $3,295.55 0 Jun/09/16 Jun/16/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
supertechshop Used - $285.00 0 Jun/11/16 Jul/11/16
Description: AMAT 0190-11134 & 0190-11135 CTI Cryo Helium Lines for Upper & Lower RPG Chamber
supertechshop Used - $145.00 0 Jun/12/16 Jul/12/16
Description: AMAT Sanyo Denki PM Driver PM0D102 Amplifier & 0130-00537 Stepper Interface
usedparts-pk Scrap, for parts - $999.99 1 Jun/12/16 May/09/17
Description: RADISYS LAM 685-092898-002 , EXM-24 & EXM-18 & EXM-23 & EPC-26A & EXM-MX
jeepblack Used - $200.00 0 Jun/14/16 Nov/18/20
Description: 0020-10527, AMAT, Applied Materials, AMP C 254 SPUTTER PIPE , Teflon
rolx1234 Used - $260.00 0 Jun/14/16 Jul/14/16
Description: AMAT APPLIED MATERIALS 0100-00192 SENSE AMP PCB ASSY
cssurplus NEW - $2,142.11 0 Jun/16/16 Jul/16/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
ptb-sales Used - $500.00 0 Jun/23/16 Jun/30/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
conquer_2011 NEW - $924.99 0 Jun/24/16 Jul/24/16
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
bobsgoodies NEW - $145.00 1 Jun/27/16 Jan/09/17
Description: AMAT 0540-90012 SKAN-A-MATIC T40300 Amplifier & Power Supply 115VAC
testeqe NEW - $449.99 0 Jun/29/16 Jul/24/17
Description: NEW Lam Research 853-001198-003 Gate & Linkage Assembly Delrin Inner
used1eqsales Used - $1,006.16 1 Jul/01/16 Mar/29/18
Description: AMAT 0100-20346 Smoke & Water Leak Detector 0130-20346 Rev 006 used working
used1eqsales Used - $1,006.16 0 Jul/01/16 Dec/21/17
Description: AMAT 0100-00611 Smoke & Water Leak Detector 0130-00611 Rev 005 used working
muchoyen Used - $299.99 0 Jul/06/16 Jul/13/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
ptb-sales Used - $500.00 0 Jul/06/16 Jul/13/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech NEW - $1,289.03 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-40275 ASSY, CLAMP & LIFT FINGER, E-CHUCK
capitolareatech NEW - $315.04 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-21740 Assembly. TC AMP 300MM
capitolareatech NEW - $140.82 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-09183 ASSY HEAT SINK & RESISTOR NETWORK
capitolareatech NEW - $132.52 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-94897 INTERMEDIATE & SUPPORT TUBE
capitolareatech NEW - $144.04 0 Jul/08/16 Sep/15/16
Description: AMAT 0100-00192 SENSE AMP BOARD ASSY
capitolareatech NEW - $1,372.17 0 Jul/08/16 Sep/15/16
Description: AMAT 0090-20361 ELEC ASSY WIDE BODY, 3 & C HTESC
capitolareatech NEW - $750.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0100-91095 PWBA PNEUMATICS CONTROL L & R
capitolareatech NEW - $45.83 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-97427 CA AMP PCB.P11/AMP2(SSA).PWR
capitolareatech NEW - $450.00 0 Jul/08/16 Sep/15/16
Description: APPLIED MATERIAL (AMAT) 0190-76000 LEAD SCREW & NUT STORAGE ELEVATOR
capitolareatech NEW - $748.86 0 Jul/08/16 Sep/15/16
Description: AMAT 0226-48885 CKT BRKR,3 POLE,250 AMP
capitolareatech NEW - $627.56 0 Jul/08/16 Sep/15/16
Description: AMAT 0225-97968 INSULATION, HEATED, STRAIGHT W/ PORT & V
capitolareatech NEW - $120.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0620-01231 CABLE ASSY EXTENSION 50FT PC/AT KYBD & VGA MONITOR
capitolareatech NEW - $20.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0910-90101 FUSE 8 AMP SLOW BLOW ***50 PACK***
capitolareatech NEW - $21.87 0 Jul/08/16 Sep/15/16
Description: AMAT 0900-90019 Filter 6A IEC Switched & Fused, 250V~50/60Hz
capitolareatech NEW - $28.36 0 Jul/08/16 Sep/15/16
Description: AMAT 0900-90001 FILTER, EMI 20 AMP
capitolareatech NEW - $225.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0820-00089 Flame Detector UV/IR with 2 LED, Input: 24VDC, Relays: 1.0 Amp a
capitolareatech NEW - $61.11 0 Jul/08/16 Sep/15/16
Description: AMAT 0720-90619 RCPT 125 AMP B TYPE
capitolareatech NEW - $38.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0720-90618 PLUG 125 AMP SP TYPE
capitolareatech NEW - $62.63 0 Jul/08/16 Sep/15/16
Description: AMAT 0720-90616 RECEPTACLE-230 AMP B TYP
capitolareatech NEW - $92.87 0 Jul/08/16 Sep/15/16
Description: AMAT 1270-00367 SW PRESS, ABS, 10TORR, 24VDC, AMP CONN
capitolareatech NEW - $58.27 0 Jul/08/16 Sep/15/16
Description: AMAT 1260-00013 Contact Band Strip, Male, AMP
capitolareatech NEW - $24.96 0 Jul/08/16 Sep/15/16
Description: AMAT 1200-01188 Potter & Brumfield ODC-24 38595 24VDC Solid-State Relay
capitolareatech NEW - $805.84 0 Jul/08/16 Sep/15/16
Description: AMAT 3040-98002 Ball Screw & Bearing Assembly
capitolareatech NEW - $875.00 0 Jul/09/16 Sep/15/16
Description: AMAT CENTURA 512728 California Seal & Fast SIGE O-Ring Kit: 2) 3700-01156, 2)002
capitolareatech NEW - $650.00 0 Jul/09/16 Sep/15/16
Description: LAM 716-800951-300 Base, FCS, Ring, Shld, 200MM & 300 M
capitolareatech NEW - $85.00 0 Jul/09/16 Sep/15/16
Description: Novellus 801-62-08675 Plate, Mounting to Wall (Replaces 17-028729-00 & 17-028730
capitolareatech NEW - $106.25 0 Jul/09/16 Sep/15/16
Description: LAM 853-370393-001 Harness Assembly, Amp Sensor
capitolareatech Used - $40.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0150-35811 (SIX) 0150-35811 & (SIX) 0150-35812 WIRE HARNESS ASSEMBLY; CABL
capitolareatech Used - $350.00 0 Jul/09/16 Sep/15/16
Description: AMAT MASS STORAGE MODULE MASS Storage Module 0190-75048 (hard-drive) & 0660-0165
capitolareatech Used - $125.00 0 Jul/10/16 Sep/15/16
Description: AMAT 0050-08318 MANIFOLD TOXIC CH A & CH B
capitolareatech Used - $125.00 0 Jul/10/16 Sep/15/16
Description: AMAT 0050-08317 MANIFOLD INERT CH A & CH B
capitolareatech Used - $132.00 0 Jul/10/16 Sep/15/16
Description: AMAT 0050-08316 MANIFOLD LFT PN PRG CH A & CH B
ntsurplus302 Used - $280.00 0 Jul/13/16 Apr/12/18
Description: 2825 Set of 2 Applied Materials P/N: 0020-62770 & 0020-62771. Screens
surplusseller13 Used - $225.98 1 Jul/14/16 Oct/27/17
Description: A & N Corporation 1539-S-N Vacuum Pump Actuator AMAT 0190-08958 Used
cssurplus NEW - $3,295.55 0 Jul/18/16 Aug/17/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
bobsgoodies Used - $1,450.00 1 Jul/19/16 Jul/26/16
Description: AMAT 0010-70265 & 0010-75533 Centura Endura HP Robot Mag Drive Upper/Lower
bobsgoodies Used - $1,450.00 1 Jul/19/16 Jul/25/16
Description: AMAT 0010-76061 & 0010-75533 Centura Endura HP Robot Mag Drive Upper/Lower R166
rolx1234 Used - $260.00 0 Jul/19/16 Aug/18/16
Description: AMAT APPLIED MATERIALS 0100-00192 SENSE AMP PCB ASSY
smartelektronikgmbh NEW - $120.00 0 Jul/20/16 Mar/12/18
Description: AMAT 0020-34617 // PLATE,MTG,T/C AMP
alamedaauction Used - $249.95 0 Jul/22/16 Aug/21/16
Description: AMAT 0190-26328 BPG Hot ion Pirani Gauge with BPG400 Sensor & DeviceNet
conquer_2011 NEW - $924.99 0 Jul/24/16 Aug/23/16
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
bornalliancecom Used - $650.00 0 Jul/25/16 Aug/19/17
Description: Applied Materials 0010-20036 PVD Lift, Assy. 5 & 6" Endura 5500 AMAT
ptb-sales Used - $300.00 0 Jul/26/16 Jul/29/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
muchoyen Used - $299.99 0 Jul/28/16 Aug/04/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
ptb-sales Used - $300.00 0 Aug/02/16 Aug/05/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
pohyh NEW - $500.00 0 Aug/03/16 Apr/25/17
Description: 5002 APPLIED MATERIAL KIT, JUNCTION BOX & BRACKET, PRODUCER S 0242-31860 REV 002
benta09 NEW - $124.99 0 Aug/03/16 Sep/02/16
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
benta09 NEW - $75.00 0 Aug/03/16 Sep/02/16
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
benta09 NEW - $500.00 3 Aug/03/16 Aug/03/16
Description: 0090-39174 0021-09947 0021-09948 Applied Material AMAT Centura DxZ TC AMP
pohyh Used - $150.00 0 Aug/04/16 Jan/22/19
Description: 5089 APPLIED MATERIAL BALL SCREW & SLPINE 0520-01044
pohyh Used - $1,000.00 0 Aug/05/16 Oct/29/17
Description: 5145 APPLIED MATERIAL P5000 & 5200 CVD DPA REACTOR ASSEMBLY 0090-36276
bobsgoodies Used - $1,650.00 0 Aug/05/16 May/15/17
Description: AMAT 0010-76061 & 0010-75533 Centura Endura HP Robot Mag Drive Upper & Lower
skus092 Used - $1,100.00 0 Aug/06/16 Aug/16/16
Description: 0100-20173, Applied Materials, ASSY.PCB, STEPPER CONTROLLER 1.7 AMP DRI
skus092 NEW - $2,500.00 0 Aug/13/16 Sep/12/16
Description: 0090-00935, AMAT PCB & HAR ASSY 200MM E-DTCU IR SENSOR
pohyh Used - $1,100.00 0 Aug/14/16 Nov/14/19
Description: 5680 APPLIED MATERIAL PCB - MCVD DUAL TC AMP INTERLOCK BOARD 0100-00426
skus092 Used - $1,200.00 0 Aug/16/16 Aug/26/16
Description: 0100-20173, Applied Materials, ASSY.PCB, STEPPER CONTROLLER 1.7 AMP DRI
gti-semi Used - $1,800.00 0 Aug/17/16 Nov/26/19
Description: Applied Materials, AMAT, PC Board, Chamber B & D, p/n 0100-76037
cssurplus NEW - $3,295.55 0 Aug/17/16 Sep/13/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
ptb-sales Used - $300.00 0 Aug/19/16 Aug/22/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
rolx1234 Used - $250.00 0 Aug/19/16 Sep/18/16
Description: AMAT APPLIED MATERIALS 0100-00192 SENSE AMP PCB ASSY
alamedaauction Used - $229.95 0 Aug/22/16 Sep/21/16
Description: AMAT 0190-26328 BPG Hot ion Pirani Gauge with BPG400 Sensor & DeviceNet
conquer_2011 NEW - $924.99 0 Aug/23/16 Sep/22/16
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
rolx1234 Used - $230.00 1 Aug/25/16 Dec/01/17
Description: AMAT APPLIED MATERIALS 0100-00192 SENSE AMP PCB ASSY
pohyh Used - $900.00 0 Aug/25/16 Nov/29/18
Description: 5960 APPLIED MATERIAL TC AMP HOUSING GENERIC 0020-10758
muchoyen Used - $299.99 0 Aug/25/16 Sep/01/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
sammy_etek NEW - $750.00 2 Aug/25/16 Sep/02/18
Description: 0020-35057, APPLIED MATERIALS, INSERT, LLA & LLB SLIT VALVE, ALUMINUM E
bornalliancecom NEW - $260.00 0 Aug/26/16 Jun/11/18
Description: Applied Materials 0200-00058 Gas Ring, Small Holes & Channel AMAT Etch
ptb-sales Used - $300.00 0 Aug/26/16 Aug/29/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $800.00 0 Aug/30/16 Sep/29/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
benta09 NEW - $75.00 0 Sep/03/16 Oct/03/16
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
benta09 NEW - $124.99 0 Sep/03/16 Oct/03/16
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
sammy_etek NEW - $1,120.00 2 Sep/06/16 Oct/21/18
Description: 0100-00426, Applied Materials, PCB ASSY, MCVD DUAL TC AMP INTERLOCK
cssurplus NEW - $3,295.55 0 Sep/13/16 Sep/30/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
solutions-on-silicon NEW - $9,950.00 2 Sep/15/16 Apr/20/20
Description: 0010-13624 ASSY ADAPTER/FEEDTHRU KIT VECTRA IMP REV 4.4 & 4.5
skus092 NEW - $2,200.00 1 Sep/19/16 Oct/04/16
Description: 0090-00935, AMAT PCB & HAR ASSY 200MM E-DTCU IR SENSOR
capitolareatech NEW - $105.80 0 Sep/19/16 Nov/18/16
Description: AMAT 0100-09109 PCB Assembly, K-Type, TC Amp
capitolareatech NEW - $144.04 0 Sep/19/16 Nov/18/16
Description: AMAT 0100-00192 SENSE AMP BOARD ASSY
capitolareatech NEW - $111.36 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-04721 CABLE ASSY, +24VDC FANS & INTERLOCK, ULT
capitolareatech NEW - $108.08 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-03316 CABLE ASSY, VME FEPC VIDEO & LP DIST.
capitolareatech NEW - $154.51 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-03315 Cable Assembly, VME FEPC Serial & Keyboard D
capitolareatech NEW - $450.00 0 Sep/19/16 Nov/18/16
Description: APPLIED MATERIAL (AMAT) 0190-76000 LEAD SCREW & NUT STORAGE ELEVATOR
capitolareatech NEW - $110.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-13563 Manifold, CHEM & DI Inlet, IB, NXT-11931, 062492-001
capitolareatech NEW - $4,926.66 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-10680 BALL SCREW & RECIRCULATING BALL NUT REPL
capitolareatech NEW - $120.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0620-01231 CABLE ASSY EXTENSION 50FT PC/AT KYBD & VGA MONITOR
capitolareatech NEW - $92.87 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-00367 SW PRESS, ABS, 10TORR, 24VDC, AMP CONN
capitolareatech NEW - $58.27 0 Sep/19/16 Nov/18/16
Description: AMAT 1260-00013 Contact Band Strip, Male, AMP
capitolareatech NEW - $10.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1390-01389 WIRE STRD 24AWG BLU/WHT 30FT(25FT & 5FT) 150V 80C 7X32 P
capitolareatech NEW - $10.00 0 Sep/20/16 Nov/19/16
Description: AMAT 3960-00071 SOUTHCO LATCH LIFT & TURN CPRSN
capitolareatech NEW - $106.25 0 Sep/20/16 Nov/19/16
Description: LAM 853-370393-001 Harness Assembly, Amp Sensor
capitolareatech Used - $350.00 0 Sep/20/16 Nov/19/16
Description: AMAT MASS STORAGE MODULE MASS Storage Module 0190-75048 (hard-drive) & 0660-0165
capitolareatech NEW - $65.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0680-01201 CB K-FRAME PLUG NUT LINE & SIDE
capitolareatech NEW - $85.00 0 Sep/20/16 Nov/19/16
Description: Novellus 801-62-08675 Plate, Mounting to Wall (Replaces 17-028729-00 & 17-028730
conquer_2011 NEW - $924.99 0 Sep/22/16 Oct/22/16
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
alamedaauction Used - $74.95 0 Sep/23/16 Oct/23/16
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 100 SCCM SF6 & Cable
muchoyen Used - $299.99 0 Sep/29/16 Oct/06/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
cssurplus NEW - $2,097.17 0 Oct/01/16 Oct/31/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
ptb-sales Used - $800.00 0 Oct/03/16 Nov/02/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
metrology123 Used - $899.00 1 Oct/03/16 Oct/30/16
Description: APPLIED MATERIALS 0100-00313 & 0100-01136
benta09 NEW - $124.99 0 Oct/06/16 Nov/05/16
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
benta09 NEW - $75.00 0 Oct/06/16 Nov/05/16
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
alamedaauction Used - $195.00 0 Oct/12/16 Nov/11/16
Description: AMAT 0190-26328 BPG Hot Ion Pirani Gauge 750 Torr BPG400 Sensor & DeviceNet
farmoninc NEW - $10.00 0 Oct/12/16 Aug/10/20
Description: AMAT 3700-01054, Pillar Seals & Gaskets Worthing, BS260 O-Ring. 417172
pohyh Used - $120.00 0 Oct/13/16 Sep/18/17
Description: 2899 CTI/AMAT SS FLEXIBLE HOSE,1/2" FEMALE ELBOW & 3/4" 8043435G060 / 3400-00329
pohyh Used - $120.00 0 Oct/13/16 Sep/18/17
Description: 2937 AMAT SS FLEXIBLE HOSE,3/4" MALE ELBOW & 1/2" FEMALE FITTING,5FT 3400-00328
jericotagl NEW - $50.00 0 Oct/19/16 May/12/17
Description: AMAT 3300-00253 QUICK COUPLINGS & FITTINGS FOR PLASTIC TUBING - LOT 75
farmoninc NEW - $295.00 1 Oct/20/16 Apr/14/17
Description: AMAT 0020-09316 Rev.F, Plate, Blocker, 150mm, AMP 464, Gas Cover, CVD. 417450
farmoninc NEW - $295.00 1 Oct/20/16 Apr/03/19
Description: AMAT 0020-09123 Rev.F, Quartz Window A, Cover, AMP 329. 416235
pohyh Used - $5,500.00 0 Oct/21/16 Mar/19/19
Description: 7320 APPLIED MATERIAL 5000, 5200 & 5500 CVD TXZ DRIVE ASSEMBLY 0010-39306 REV A
conquer_2011 NEW - $924.99 0 Oct/22/16 Nov/21/16
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
alamedaauction Used - $125.00 0 Oct/24/16 Nov/22/16
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 100 SCCM SF6 & Cable
spsglobal NEW - $300.00 0 Oct/24/16 Dec/09/21
Description: 346-0302// AMAT APPLIED 0020-10758 HOUSING, TC AMP NEW
cssurplus NEW - $2,097.17 0 Nov/01/16 Dec/01/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
ptb-sales Used - $800.00 0 Nov/02/16 Dec/02/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
oldmodernco NEW - $74.50 0 Nov/02/16 Dec/02/16
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
muchoyen Used - $299.99 0 Nov/02/16 Nov/09/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
svtsurplus Used - $520.00 1 Nov/07/16 Nov/17/16
Description: LAM Research 810-495659-304 & 810-006490-304 BICEP ESC Power Supply & Filter
benta09 NEW - $75.00 0 Nov/09/16 Dec/09/16
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
benta09 NEW - $124.99 1 Nov/09/16 Nov/11/16
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
be4049 NEW - $1,800.00 0 Nov/10/16 Aug/10/20
Description: 0200-35785 AMAT RING,CERAMIC WAFER LIFT,100,125 & 150MM
benta09 NEW - $124.99 0 Nov/14/16 Dec/14/16
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
alamedaauction Used - $195.00 0 Nov/16/16 Dec/16/16
Description: AMAT 0190-26328 BPG Hot Ion Pirani Gauge 750 Torr BPG400 Sensor & DeviceNet
farmoninc Used - $450.00 0 Nov/22/16 Oct/04/21
Description: AMAT 0020-04277 Rev.B, AMP 037, Insulating Flange, Tapered, Ardel. 419145
j316gallery Used - $612.27 0 Nov/20/16 Jun/17/21
Description: 5769 APPLIED MATERIALS ASSY TC AMP, ENDURA, 0100-09033 & 0100-20062 0010-20138
skus092 NEW - $2,200.00 0 Nov/19/16 Dec/19/16
Description: 0090-00935, AMAT PCB & HAR ASSY 200MM E-DTCU IR SENSOR
conquer_2011 NEW - $647.49 0 Nov/21/16 Dec/21/16
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
pohyh Used - $2,500.00 0 Nov/29/16 Jan/14/18
Description: 7446 APPLIED MATERIAL VHP + ROBOT ARM 0040-21014 & 0040-21013 0020-25769
fa-parts Used - $539.99 1 Nov/29/16 May/30/17
Description: LAM NODE BOARD 810-800256-005 REV: A & MB NODE 2 PM HE 810-802902-019 REV:A
fa-parts Used - $599.99 1 Nov/29/16 Jun/12/17
Description: LAM NODE BOARD 810-800256-004 REV: F & MB NODE 2 PM HE 810-802902-017 REV:B
cssurplus NEW - $2,142.11 0 Dec/01/16 Dec/31/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
visionsemi NEW - $95.00 1 Dec/01/16 Dec/02/16
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V Coil AMAT 1200-01081
alamedaauction Used - $75.00 0 Dec/03/16 Jan/02/17
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 100 SCCM SF6 & Cable
j316gallery Used - $400.80 4 Dec/05/16 Jan/04/22
Description: 7700 APPLIED MATERIALS ASSY TC AMP PRECISION 5000, 0100-09279 0090-09145
qrecycle Used - $399.00 0 Dec/05/16 Dec/08/16
Description: applied material tc amp iso amp 0130-20318
oldmodernco NEW - $74.50 0 Dec/05/16 Jan/04/17
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
muchoyen Used - $299.99 0 Dec/06/16 Dec/13/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
ptb-sales Used - $800.00 0 Dec/09/16 Jan/08/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
qrecycle Refurbished - $299.00 0 Dec/12/16 Dec/15/16
Description: applied material tc amp iso amp 0130-20318
qrecycle Used - $399.00 0 Dec/16/16 Dec/19/16
Description: applied material tc amp iso amp 0130-20318
qrecycle Refurbished - $299.00 0 Dec/16/16 Dec/19/16
Description: applied material tc amp iso amp 0130-20318
alamedaauction Used - $125.00 0 Dec/17/16 Jan/16/17
Description: AMAT 0190-26328 BPG Hot Ion Pirani Gauge 750 Torr BPG400 Sensor & DeviceNet
vizko2017 Used - $250.00 0 Dec/17/16 Dec/09/18
Description: APPLIED MATERIALS TC AMP 300MM 0010-21740 REV 003
capitolareatech NEW - $125.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-61597 TUBE, PUMP OUTLET TO 3-WAY VALVE & PV19, 200MM MEG LDM
capitolareatech NEW - $470.62 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-44708 LINE, MFLD 2POS. & PP, 300MM CENTURA LPC
capitolareatech NEW - $50.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-39846 WELDMENT, INTER,CH. C, PRCS,2/5 & 3/5 PALL
capitolareatech NEW - $313.43 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-39840 WELDMENT,INTER,CH. D,PRCS,2/5 & 3/5 PALL
capitolareatech NEW - $85.64 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-10948 HARN ASSY, EXHAUST, BKPNL & PRES INTRLK,
capitolareatech NEW - $82.23 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-09547 HARNESS ASSY, EXHAUST & BACKPLANE INTERL
capitolareatech NEW - $45.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-09331 Harness Heater Power, 7 Amp L.S. ENDCL
capitolareatech NEW - $54.61 0 Dec/19/16 Jun/19/20
Description: AMAT 0150-90495 CFA 24C.J1/24C.HV FB & 0V
capitolareatech NEW - $627.56 0 Dec/19/16 Jun/19/20
Description: AMAT 0225-97968 INSULATION, HEATED, STRAIGHT W/ PORT & V
capitolareatech NEW - $120.00 0 Dec/19/16 Feb/23/17
Description: AMAT 0620-01231 CABLE ASSY EXTENSION 50FT PC/AT KYBD & VGA MONITOR
capitolareatech NEW - $13.75 0 Dec/19/16 Jun/19/20
Description: AMAT 0950-90183 IC VLTG TO FRQ. & FRQ TO VLTG CNVRTR
capitolareatech NEW - $21.87 0 Dec/19/16 Jun/19/20
Description: AMAT 0900-90019 Filter 6A IEC Switched & Fused, 250V~50/60Hz
capitolareatech NEW - $28.36 0 Dec/19/16 Jun/19/20
Description: AMAT 0900-90001 FILTER, EMI 20 AMP
capitolareatech NEW - $225.00 0 Dec/19/16 Mar/14/18
Description: AMAT 0820-00089 Flame Detector UV/IR with 2 LED, Input: 24VDC, Relays: 1.0 Amp a
capitolareatech NEW - $61.11 0 Dec/19/16 Jun/19/20
Description: AMAT 0720-90619 RCPT 125 AMP B TYPE
capitolareatech NEW - $38.00 0 Dec/19/16 Oct/19/19
Description: AMAT 0720-90618 PLUG 125 AMP SP TYPE
capitolareatech NEW - $62.63 0 Dec/19/16 Jun/19/20
Description: AMAT 0720-90616 RECEPTACLE-230 AMP B TYP
capitolareatech NEW - $92.87 0 Dec/19/16 Jun/19/20
Description: AMAT 1270-00367 SW PRESS, ABS, 10TORR, 24VDC, AMP CONN
capitolareatech NEW - $58.27 0 Dec/19/16 Jun/19/20
Description: AMAT 1260-00013 Contact Band Strip, Male, AMP
capitolareatech NEW - $10.00 0 Dec/19/16 Sep/19/19
Description: AMAT 1390-01389 WIRE STRD 24AWG BLU/WHT 30FT(25FT & 5FT) 150V 80C 7X32 P
capitolareatech NEW - $805.84 0 Dec/20/16 Jun/20/20
Description: AMAT 3040-98002 Ball Screw & Bearing Assembly
capitolareatech NEW - $10.00 0 Dec/20/16 Jun/20/20
Description: AMAT 3960-00071 SOUTHCO LATCH LIFT & TURN CPRSN
capitolareatech NEW - $875.00 0 Dec/20/16 Jun/20/20
Description: AMAT CENTURA 512728 California Seal & Fast SIGE O-Ring Kit: 2) 3700-01156, 2)002
capitolareatech NEW - $23.80 0 Dec/20/16 Jun/20/20
Description: AMAT 670-091765-050 CIRCUIT BREAKER ELL ARM 5A. 250 VAC, 1 POLE, 5 AMP, NO AUXIL
capitolareatech NEW - $106.25 0 Dec/20/16 Jun/20/20
Description: LAM 853-370393-001 Harness Assembly, Amp Sensor
capitolareatech NEW - $85.00 0 Dec/20/16 Jun/20/20
Description: Novellus 801-62-08675 Plate, Mounting to Wall (Replaces 17-028729-00 & 17-028730
capitolareatech Used - $40.00 0 Dec/20/16 Jun/06/17
Description: AMAT 0150-35811 (SIX) 0150-35811 & (SIX) 0150-35812 WIRE HARNESS ASSEMBLY; CABL
capitolareatech Used - $350.00 2 Dec/20/16 Feb/27/17
Description: AMAT MASS STORAGE MODULE MASS Storage Module 0190-75048 (hard-drive) & 0660-0165
capitolareatech Used - $125.00 0 Dec/20/16 Jun/06/17
Description: AMAT 0050-08318 MANIFOLD TOXIC CH A & CH B
capitolareatech Used - $125.00 0 Dec/20/16 Jun/06/17
Description: AMAT 0050-08317 MANIFOLD INERT CH A & CH B
capitolareatech Used - $132.00 0 Dec/20/16 Jun/06/17
Description: AMAT 0050-08316 MANIFOLD LFT PN PRG CH A & CH B
skus092 NEW - $2,200.00 0 Dec/20/16 Jan/19/17
Description: 0090-00935, AMAT PCB & HAR ASSY 200MM E-DTCU IR SENSOR
capitolareatech NEW - $65.00 6 Dec/20/16 Nov/01/18
Description: AMAT 0680-01201 CB K-FRAME PLUG NUT LINE & SIDE
conquer_2011 NEW - $924.99 0 Dec/21/16 Jan/20/17
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
alamedaauction NEW - $149.95 0 Dec/22/16 Jan/21/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
allforsale555 Used - $349.00 1 Dec/23/16 Mar/06/17
Description: APPLIED MATERIALS 0100-35217 TO AMP INTERLOCK BD.
benta09 NEW - $75.00 0 Dec/28/16 Jan/27/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
benta09 NEW - $124.99 0 Dec/28/16 Jan/27/17
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
uam_126 NEW - $800.00 2 Dec/29/16 Jan/02/17
Description: 0100-20173, Applied Materials, ASSY.PCB, STEPPER CONTROLLER 1.7 AMP DRI
cssurplus NEW - $2,142.11 0 Dec/31/16 Jan/30/17
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
johbedo_0 Used - $500.00 1 Jan/02/17 Jan/27/17
Description: AMAT 0190-77526 & 0190-77527 PAD CONDITIONER PC SWEEP AND PC ROATION SET, USED
20041014625pm Refurbished - $750.00 0 Jan/02/17 Jan/13/17
Description: Lam Research Manometer 685-008160-001 CMLA-11S02 Millipore & Vacuum General
alamedaauction Used - $55.00 0 Jan/03/17 Feb/02/17
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 100 SCCM SF6 & Cable
qrecycle Refurbished - $299.00 0 Jan/03/17 Jan/06/17
Description: applied material tc amp iso amp 0130-20318
qrecycle Used - $399.00 0 Jan/03/17 Jan/03/17
Description: applied material tc amp iso amp 0130-20318
muchoyen Used - $299.99 0 Jan/04/17 Jan/11/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
20041014625pm Used - $1,795.00 0 Jan/07/17 Mar/14/17
Description: Lam Research 854-011153-001 & 002 Set Of 4 Lead Screws Gap Drive Assembly
uam_126 Used - $1,000.00 0 Jan/07/17 Jan/10/17
Description: 0100-20173, Applied Materials, ASSY.PCB, STEPPER CONTROLLER 1.7 AMP DRI
ptb-sales Used - $800.00 0 Jan/09/17 Feb/08/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
oldmodernco NEW - $74.50 0 Jan/09/17 Feb/08/17
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
20041014625pm Refurbished - $750.00 0 Jan/13/17 Jan/20/17
Description: Lam Research Manometer 685-008160-001 CMLA-11S02 Millipore & Vacuum General
bobsgoodies Used - $149.00 0 Jan/17/17 Jan/19/17
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
alamedaauction Used - $125.00 0 Jan/17/17 Feb/16/17
Description: AMAT 0190-26328 BPG Hot Ion Pirani Gauge 750 Torr BPG400 Sensor & DeviceNet
uam_126 Used - $1,000.00 0 Jan/20/17 Feb/22/17
Description: 0100-20173, Applied Materials, ASSY.PCB, STEPPER CONTROLLER 1.7 AMP DRI
conquer_2011 NEW - $924.99 0 Jan/20/17 Feb/19/17
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
20041014625pm Refurbished - $300.00 0 Jan/20/17 Mar/24/17
Description: Lam Research Manometer 685-008160-001 CMLA-11S02 Millipore & Vacuum General
alamedaauction NEW - $149.95 0 Jan/21/17 Feb/20/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
levmucciacciar0 Used - $1,000.00 2 Jan/21/17 Jan/22/17
Description: 0100-20173, Applied Materials, ASSY.PCB, STEPPER CONTROLLER 1.7 AMP DRI
qrecycle Refurbished - $299.00 1 Jan/24/17 Jan/27/17
Description: applied material tc amp iso amp 0130-20318
jinhyucle_0 NEW - $1,600.00 0 Jan/25/17 Dec/18/17
Description: AMAT 1080-00279 DRVR SERVO AMP 200V 15A 400W SERCOS PV S, PV2A015WMT1A00
alameda_electronics Used - $1,450.00 1 Jan/26/17 Feb/01/17
Description: NSK ELA-B014CF1-02 Servo Driver Dual Axis & Cables 300mm Robot 0190-02133 AMAT
vizko2017 Refurbished - $1,600.00 0 Jan/28/17 Mar/29/17
Description: AMAT APPLIED MATERIALS 0020-60810 005 SHIELD INNER BB & TWAS KIT CLEANED 300MM
vizko2017 Refurbished - $560.00 0 Jan/28/17 Nov/28/18
Description: AMAT APPLIED MATERIALS 0020-60810 005 SHIELD INNER BB & TWAS KIT CLEANED 300MM
alamedaauction Used - $55.00 0 Feb/03/17 Mar/05/17
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 100 SCCM SF6 & Cable
cssurplus NEW - $3,295.55 0 Feb/04/17 Mar/06/17
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
benta09 NEW - $124.99 1 Feb/08/17 Mar/10/17
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
benta09 NEW - $75.00 0 Feb/08/17 Mar/10/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
ptb-sales Used - $800.00 0 Feb/09/17 Mar/11/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
muchoyen Used - $299.99 0 Feb/09/17 Feb/16/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
20041014625pm Scrap, for parts - $525.00 1 Feb/11/17 Feb/23/17
Description: Lam Research Lifter Spinner 853-012525-001 & 853-012525-002 Assembly
oldmodernco NEW - $74.50 0 Feb/13/17 Mar/15/17
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
gemrkim1109 Used - $250.00 0 Feb/15/17 Apr/04/19
Description: DSQUARE D AMAT 0660-01516 & 0660-01195
cubit001 Used - $800.00 0 Feb/17/17 Mar/19/17
Description: AMAT 0050-32174 WELDMENT, PMV1 & PMV2 TO FORELINE DIVERT
alamedaauction Used - $125.00 1 Feb/17/17 Mar/06/17
Description: AMAT 0190-26328 BPG Hot Ion Pirani Gauge 750 Torr BPG400 Sensor & DeviceNet
conquer_2011 NEW - $758.49 0 Feb/19/17 Mar/21/17
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
dr.dantom Used - $3,500.00 0 Feb/20/17 Mar/03/20
Description: SMC ITV2031-31N3N4-X97 & AMAT 0010-14714 0100-01222 0040-46895 0020-16859-002
alamedaauction NEW - $149.95 0 Feb/21/17 Mar/23/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
allforsale555 Used - $499.00 0 Feb/21/17 Mar/02/17
Description: Applied Materials 0010-38027 BWCVD Throttle Valve Assy,100, 125 & 150M
alan266153 Scrap, for parts - $2,000.00 0 Feb/23/17 Mar/25/17
Description: AMAT 0010-70149 & 0010-70264 Centura / Endura HP Robot Mag Drives ( 4 ) total
allforsale555 Used - $349.00 1 Feb/26/17 Jul/06/18
Description: Applied Materials 0100-20012 Isolation Amp PCB
farmoninc Used - $4,500.00 0 Mar/01/17 Dec/11/21
Description: Brooks 110884 Arm, ATR7, Rear Tube Map, Omron Amp, Novellus 63-266699-00, 321212
spsglobal NEW - $780.00 0 Mar/03/17 Mar/06/18
Description: AMAT APPLIED MATERIALS 0010-20138 TC AMP BOARD 2ND SOURCE NEW
alamedaauction Used - $55.00 0 Mar/06/17 Apr/05/17
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 100 SCCM SF6 & Cable
allforsale555 Used - $399.00 1 Mar/07/17 May/09/19
Description: APPLIED MATERIALS 0100-18058 PCB ASSY., TC AMP INTERLOCK, ULTIMA
cssurplus NEW - $2,306.89 0 Mar/07/17 Apr/06/17
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
johbedo_0 Used - $900.00 1 Mar/08/17 Mar/18/17
Description: AMAT 0190-77016 & 0190-77015 PAD CONDITIONER PC SWEEP AND PC ROATION SET, USED
muchoyen Used - $299.99 0 Mar/08/17 Mar/15/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
benta09 NEW - $75.00 0 Mar/11/17 Apr/10/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
20041014625pm Refurbished - $995.00 1 Mar/14/17 May/19/17
Description: 854-011153-001 & 854-011153-002 Lam Research Refurbished Gap Drive Assembly
ptb-sales Used - $800.00 0 Mar/15/17 Apr/14/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
oldmodernco NEW - $74.50 0 Mar/16/17 Apr/15/17
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
bobsgoodies NEW - $425.00 2 Mar/20/17 Jun/13/18
Description: Applied Materials 0050-27999 Supply & Return Manifold 1/2" Tube Swagelok 316 SS
gesemiconductor NEW - $640.00 0 Mar/20/17 Dec/19/17
Description: LAM RESEARCH 810-017003-002 Drive IMPD & PH BD
conquer_2011 NEW - $924.99 0 Mar/21/17 Apr/20/17
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
alamedaauction NEW - $149.95 0 Mar/23/17 Apr/22/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
20041014625pm Refurbished - $150.00 0 Mar/24/17 Mar/31/17
Description: Lam Research Manometer 685-008160-001 CMLA-11S02 Millipore & Vacuum General
20041014625pm Refurbished - $150.00 0 Mar/31/17 Apr/07/17
Description: Lam Research Manometer 685-008160-001 CMLA-11S02 Millipore & Vacuum General
20041014625pm Refurbished - $2,995.00 0 Apr/02/17 Apr/03/17
Description: Lam Research +24 40 AMP Power Supply, 660-007612-001 Pioneer Magnetic PM2500A-1
alan266153 Scrap, for parts - $1,500.00 1 Apr/04/17 Apr/05/17
Description: AMAT 0010-70149 & 0010-70264 Centura / Endura HP Robot Mag Drives ( 4 ) total
20041014625pm Refurbished - $2,495.00 1 Apr/05/17 Mar/07/18
Description: Lam Research +24 40 AMP Power Supply, 660-007612-001 Pioneer Magnetic PM2500A-1
muchoyen Used - $299.99 0 Apr/05/17 Apr/12/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
j316gallery Used - $855.47 0 Apr/05/17 Nov/03/20
Description: 8151 APPLIED MATERIAL TOOL KIT, 200MM, HEATER LEVELING & CENTERING 0270-35184
alamedaauction Used - $55.00 0 Apr/06/17 May/06/17
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 100 SCCM SF6 & Cable
bobsgoodies Used - $149.00 8 Apr/07/17 Apr/10/18
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
cssurplus NEW - $3,295.55 2 Apr/07/17 Apr/15/17
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
cubit001 Used - $150.00 0 Apr/10/17 May/10/17
Description: AMAT 0150-09913 CABLE ASSY MFC & 5000 SYS. , USED
vizko2017 Used - $100.00 1 Apr/11/17 Mar/07/18
Description: APPLIED MATERIALS 0010-29435 REV 001 TC AMP 300MM W/BUFFER 0100-20339 REV 004
ptb-sales Used - $800.00 0 Apr/17/17 May/17/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
gigabitpartsolutions NEW - $13.20 0 Apr/19/17 Feb/14/19
Description: (AMAT) 0720-00454 CONN Y-SPLITTER PS/2 KEYBOARD & MOUSE MI
conquer_2011 NEW - $924.99 0 Apr/20/17 May/20/17
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
bobsgoodies Used - $165.00 1 Apr/21/17 Nov/14/17
Description: Cutler-Hammer 3-pole, 50 Amp, 240 VAC BAB3050HT Circuit Breaker AMAT 0680-01219
alamedaauction NEW - $149.95 0 Apr/22/17 May/22/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
tmh_inc NEW - $1,080.00 5 Apr/26/17 May/07/17
Description: AMAT 3690-03269, BEARING, LEADSCREW & NUT ASSY
cssurplus NEW - $3,295.55 2 Apr/27/17 May/26/17
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
muchoyen Used - $299.99 0 Apr/27/17 May/04/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
benta09 NEW - $75.00 0 Apr/29/17 May/29/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
labstd Used - $680.00 1 May/01/17 May/08/17
Description: PCM-9576 rev. A1 PCM9576FV7002E-T & Novellus systems P/N: 03-315252-00 rev. A
j316gallery Used - $1,000.00 0 May/01/17 Dec/14/18
Description: 8518 APPLIED MATERIAL PCB WATER LEAK DETECTOR 0100-20097 W/ TC AMP IN 0100-18058
alamedaauction Used - $55.00 0 May/07/17 Jun/06/17
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 100 SCCM SF6 & Cable
tmh_inc NEW - $1,080.00 5 May/07/17 May/07/17
Description: AMAT 3690-03269, BEARING, LEADSCREW & NUT ASSY
20041014625pm Used - $1,395.00 0 May/09/17 Jun/08/17
Description: Lam Research AC-2 & AC-2S06 796-006238-002 Controller Lot Of 6 Units Assembly
katiil3 Used - $399.00 1 May/15/17 Aug/07/18
Description: APPLIED MATERIALS 0100-18058 PCB ASSY., TC AMP INTERLOCK, ULTIMA
ptb-sales Used - $800.00 0 May/17/17 Jun/16/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
lasar Used - $999.95 1 May/17/17 Jun/21/17
Description: AMAT APPLIED MATERIALS GAS PANEL H2 SENSOR ASSEMBLY 009035042 & 0100-35079
conquer_2011 NEW - $924.99 0 May/20/17 Jun/19/17
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
20041014625pm Used - $495.00 0 May/21/17 Nov/12/17
Description: 854-011153-001 & 854-011153-002 Lam Research Gap Drive Assembly
alamedaauction NEW - $149.95 0 May/23/17 Jun/22/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
muchoyen Used - $299.99 0 May/23/17 May/30/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
df-sales NEW - $349.99 0 May/24/17 May/11/21
Description: 810-017008-013 INTANDEM INC PCB ASSEMBLY, LAM RESEARCH, TEMPERATURE SENSOR, AMP,
used1eqsales Used - $3,505.15 0 Jun/02/17 Mar/29/18
Description: AMAT 9090-01144 PROCR & LL SENSOR CHASSIS Rev A AMAT Quantum X PRA Rack working
usedsemiequipment Refurbished - $4,775.00 1 Jun/03/17 Nov/22/17
Description: LAM Switching Power Supply, PN: 660-091820-001, 24V / 25 amp
usedsemiequipment Refurbished - $4,995.00 0 Jun/03/17 Jan/30/18
Description: LAM Deltron Switching Power Supply, PN: 660-091821-001, 24V / 25 amp
techshop7777 Used - $459.99 0 Jun/04/17 Jul/04/17
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
alamedaauction Used - $55.00 0 Jun/06/17 Jul/06/17
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 100 SCCM SF6 & Cable
part_miner NEW - $399.99 0 Jun/06/17 Jul/06/17
Description: Applied Materials 0050-27999 Supply & Return Manifold 1/2" Tube Swagelok 316 SS
20041014625pm Used - $1,395.00 0 Jun/08/17 Jul/08/17
Description: Lam Research AC-2 & AC-2S06 796-006238-002 Controller Lot Of 6 Units Assembly
benta09 NEW - $75.00 0 Jun/08/17 Jul/08/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
logansemi NEW - $550.00 1 Jun/08/17 Jun/08/17
Description: AMAT Applied MaterialS DXZ TC AMP INTERLOCK PCB, 0100-35160, NOS
logansemi NEW - $650.00 1 Jun/08/17 Jun/08/17
Description: AMAT Applied MaterialS TC AMP INTERLOCK, 0100-00241 REV 006, NOS
j316gallery Used - $1,430.00 0 Jun/08/17 Apr/22/21
Description: 8354 LAM RESEARCH GAS & LIQUID PANEL, 853-024002-006 785-016037-001
used1eqsales NEW - $356.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0020-82418 Graphite Side Shield MRS Chamber & 9150-04690 new surplus
jericotagl NEW - $50.00 0 Jun/12/17 Jul/07/17
Description: AMAT 3300-00253 QUICK COUPLINGS & FITTINGS FOR PLASTIC TUBING - LOT 75
solanotraders Used - $64.00 0 Jun/17/17 Jul/17/17
Description: @@ VEXTA STEPPING MOTOR MODEL PK543AW-A80 & VALVE SETUP (BQ)
solanotraders Used - $64.00 0 Jun/17/17 Jul/17/17
Description: @@ VEXTA STEPPING MOTOR MODEL PK543AW-A80 & VALVE SETUP (DQ)
athomemarket Used - $75.00 1 Jun/17/17 Oct/30/17
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
conquer_2011 NEW - $665.99 0 Jun/19/17 Jul/19/17
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
alamedaauction NEW - $65.00 0 Jun/22/17 Jul/22/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
muchoyen Used - $299.99 0 Jun/22/17 Jun/29/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
lasar Used - $999.95 2 Jun/23/17 Mar/06/18
Description: AMAT APPLIED MATERIALS GAS PANEL H2 SENSOR ASSEMBLY 009035042 & 0100-35079
athomemarket Used - $41.99 0 Jun/27/17 Oct/19/18
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
etechsolution Used - $10,000.00 0 Jun/26/17 Feb/23/21
Description: AMAT ASSY, INNER, ROTATION UPPER & LOWER BEARING, 0020-39360 & 0020-39361
testeqe NEW - $449.99 0 Jun/28/17 Aug/27/17
Description: NEW Lam Research 853-001198-003 Gate & Linkage Assembly Delrin Inner
ptb-sales Used - $800.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket NEW - $88.99 0 Jun/30/17 Oct/22/18
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
qrecycle Refurbished - $499.00 0 Jul/05/17 Jul/12/17
Description: Applied material BESC TC AMP MODULE 0100-00401 0100-20259
alamedaauction NEW - $2,995.00 0 Jul/05/17 Aug/04/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
alamedaauction Used - $124.00 0 Jul/05/17 Aug/04/17
Description: AMAT 0190-27878 Aera FCPIDN980C-ABA Mass Flow Controller 1000 SCCM & Cable MFC
oldmodernco NEW - $74.50 0 Jul/05/17 Aug/04/17
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
techshop7777 Used - $459.99 0 Jul/05/17 Aug/04/17
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
lonestar_tech Refurbished - $800.00 0 Jul/09/17 Aug/08/17
Description: Lam Research P/N: 853-009231-002 / Power Supply +/-5 & 15 VDC AutoEtch
20041014625pm Used - $1,395.00 0 Jul/08/17 Aug/07/17
Description: Lam Research AC-2 & AC-2S06 796-006238-002 Controller Lot Of 6 Units Assembly
lonestar_tech Refurbished - $2,700.00 0 Jul/07/17 Aug/06/17
Description: Lam Research P/N: 660-091821-001 +/-5, 12, 15 VDC & 24 VDC Power Supply Assembly
the.bestdeal-0 Used - $49.99 0 Jul/14/17 Oct/11/17
Description: Lam Research 810-017008-003 Temperature Temp Sense Amp Board/Card 710-17008-1
sammy_etek NEW - $1,090.00 1 Jul/16/17 Jul/30/19
Description: 0100-76030, AMAT CHAMBER TRAY INTERCONNECT PCB MCVD B & D
qrecycle Used - $499.00 0 Jul/17/17 Jul/20/17
Description: Applied material BESC TC AMP MODULE 0100-00401 and 0100-20259
conquer_2011 NEW - $724.99 0 Jul/19/17 Aug/18/17
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
exper-tech NEW - $75.00 0 Jul/20/17 Oct/30/18
Description: AMAT 0500-39752 Vacuum Tube, 90 Elbow 7.5"x10", NW50 & 2 Leak Check Ports, New
alamedaauction NEW - $25.00 0 Jul/23/17 Aug/22/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
qrecycle Refurbished - $499.00 0 Jul/23/17 Jul/26/17
Description: Applied material BESC TC AMP MODULE 0100-00401 0100-20259
benta09 NEW - $75.00 0 Jul/26/17 Aug/25/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
spsglobal Used - $600.00 2 Jul/28/17 Jun/07/23
Description: 130-0202// AMAT APPLIED 0100-09179 wASSY PWB,L.S.DIST.,7 AMP USED
qrecycle Refurbished - $499.00 0 Jul/28/17 Aug/04/17
Description: Applied material BESC TC AMP MODULE 0100-00401 0100-20259
ptb-sales Used - $800.00 0 Jul/31/17 Aug/30/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
alamedaauction NEW - $2,995.00 0 Aug/04/17 Sep/03/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
oldmodernco NEW - $74.50 0 Aug/05/17 Sep/04/17
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
lonestar_tech Refurbished - $2,700.00 0 Aug/06/17 Sep/05/17
Description: Lam Research P/N: 660-091821-001 +/-5, 12, 15 VDC & 24 VDC Power Supply Assembly
techshop7777 Used - $459.99 0 Aug/07/17 Sep/06/17
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
20041014625pm Used - $1,250.00 0 Aug/07/17 Sep/06/17
Description: Lam Research AC-2 & AC-2S06 796-006238-002 Controller Lot Of 6 Units Assembly
lonestar_tech Refurbished - $800.00 0 Aug/08/17 Sep/07/17
Description: Lam Research P/N: 853-009231-002 / Power Supply +/-5 & 15 VDC AutoEtch
caps86 Used - $500.00 0 Aug/10/17 Nov/08/17
Description: APPLIED MATERIALS 0200-09072, Ceramic Plate Ring Ceramic SHIELD 200MM & BLOCKER
part_miner NEW - $399.99 1 Aug/11/17 Sep/02/17
Description: Applied Materials 0050-27999 Supply & Return Manifold 1/2" Tube Swagelok 316 SS
conquer_2011 NEW - $724.99 0 Aug/18/17 Sep/16/17
Description: NEW AMAT 3870-01805 MDC Pneumatic Angle Isolation Valve AV-150M-P03 & Ion Gauge
gesemiconductor NEW - $75.00 0 Aug/21/17 Dec/19/17
Description: Applied Material 4020-01315 FLTR Cotton 25Micron Bleached & Washed
gesemiconductor NEW - $40.00 0 Aug/21/17 Dec/19/17
Description: APPLIED MATERIALS 0090-00423 EMO Switch & Inter-Circuit ASSY w/Guard
alamedaauction NEW - $19.95 0 Aug/22/17 Sep/21/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
asmtk Refurbished - $7,000.00 0 Aug/24/17 Jun/06/21
Description: APPLIED MATERIALS 0190-03744, 0190-03745 VHP ROBOT DRIVER UPPER & LOWER SET AMAT
lonestar_tech Refurbished - $3,489.00 1 Aug/29/17 Apr/02/18
Description: Lam Research / P/N: 660-007613-001 / +/-15 & +5 VDC POWER SUPPLY / REFURBISHED
testeqe NEW - $449.99 2 Aug/29/17 Oct/25/18
Description: NEW Lam Research 853-001198-003 Gate & Linkage Assembly Delrin Inner
ebusinessliquidation Used - $879.95 0 Aug/30/17 Sep/29/17
Description: AMAT SMC NP420-DN1 VV5Q11-ULB970095 Pneumatic Manifold & Valves 4060-01101
asmtk Used - $2,800.00 0 Aug/30/17 Feb/01/21
Description: APPLIED MATERIALS 0100-20173 ASSY.PCB, STEPPER CONTROLLER 1.7 AMP DRI AMAT
alamedaauction NEW - $2,995.00 0 Sep/05/17 Oct/05/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
johbedo_0 NEW - $650.00 0 Sep/05/17 Sep/06/17
Description: AMAT APPLIED MATERIALS 0010-13439 MCA2 TC AMP BOX, NEW
lonestar_tech Refurbished - $2,700.00 0 Sep/05/17 Sep/14/17
Description: Lam Research P/N: 660-091821-001 +/-5, 12, 15 VDC & 24 VDC Power Supply Assembly
oldmodernco NEW - $74.50 0 Sep/05/17 Oct/05/17
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
ptb-sales Used - $800.00 0 Sep/06/17 Oct/06/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
20041014625pm Used - $1,495.00 0 Sep/06/17 Sep/28/17
Description: Lam Research AC-2 & AC-2S06 796-006238-002 Controller Lot Of 6 Units Assembly
allforsale555 Used - $449.00 1 Sep/07/17 Sep/20/17
Description: APPLIED MATERIALS 0100-35217 TC AMP INTERLOCK BD.
lonestar_tech Refurbished - $800.00 0 Sep/07/17 Oct/07/17
Description: Lam Research P/N: 853-009231-002 / Power Supply +/-5 & 15 VDC AutoEtch
benta09 NEW - $75.00 0 Sep/08/17 Oct/08/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
lonestar_tech Refurbished - $2,695.00 1 Sep/17/17 Oct/24/17
Description: Lam Research P/N: 660-091821-001 / +/-5, +12, +15 & 24 VDC POWER SUPPLY
alamedaauction NEW - $19.95 0 Sep/21/17 Oct/21/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
bobsgoodies Used - $87.00 0 Oct/04/17 Oct/13/18
Description: AMAT 1080-01152 MOTOR DC 24VDC 32RPM 1.25 AMP W/PLAMETAR 100:1
alamedaauction NEW - $2,995.00 0 Oct/05/17 Nov/04/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
ebusinessliquidation Used - $849.95 0 Oct/05/17 Nov/04/17
Description: AMAT SMC NP420-DN1 VV5Q11-ULB970095 Pneumatic Manifold & Valves 4060-01101
techshop7777 Used - $459.99 0 Oct/09/17 Nov/08/17
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
allforsale555 Used - $400.00 0 Oct/15/17 Feb/21/18
Description: AMAT/Applied Materials 0100-20012 Isolation Amp PCB ( LOT OF 3 )
lonestar_tech Refurbished - $800.00 0 Oct/15/17 Nov/14/17
Description: Lam Research P/N: 853-009231-002 / Power Supply +/-5 & 15 VDC AutoEtch
spsglobal NEW - $50.00 0 Oct/16/17 Aug/23/18
Description: 202-0102// AMAT APPLIED 0020-25317 NUT PLATE CONN AMP SHELL 11 NEW
ptb-sales Used - $800.00 0 Oct/18/17 Nov/17/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
the.bestdeal-0 Used - $49.99 0 Oct/19/17 Jul/15/18
Description: Lam Research 810-017008-003 Temperature Temp Sense Amp Board/Card 710-17008-1
alamedaauction NEW - $9.95 0 Oct/21/17 Nov/20/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
20041014625pm Used - $195.00 0 Oct/24/17 Nov/12/17
Description: Lam Research 2 PCB TEMP SENSOR AMP 810-17008-001 & 810-017008-001 Assembly
allforsale555 Used - $399.00 1 Nov/01/17 Jun/12/19
Description: Applied Materials Tc Amp Dxz 0100-35160
alamedaauction NEW - $2,995.00 0 Nov/04/17 Dec/04/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
benta09 NEW - $75.00 0 Nov/06/17 Dec/06/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
j316gallery NEW - $250.00 0 Nov/07/17 May/10/18
Description: 10038 APPLIED MATERIAL ASSY, SLIT VALVE PARKER DOOR & MOUNT (NEW) 0010-22209
j316gallery Used - $700.00 0 Nov/07/17 Mar/21/18
Description: 10031 APPLIED MATERIAL PCB ASSY, TC AMP INTERLOCK 300XZ 0100-00241
j316gallery Used - $400.00 1 Nov/08/17 Sep/20/18
Description: 10009 APPLIED MATERIAL PCB ASSY,TC AMP & INTERLOCK BD, DXZ 0100-35160
j316gallery Used - $220.00 2 Nov/08/17 Oct/10/19
Description: 9591 NOVELLUS ROBOT BLADE (LEFT & RIGHT) 15-256077-01 / 15-256077-02
techshop7777 Used - $459.99 0 Nov/11/17 Dec/11/17
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
vizko2017 NEW - $80.00 0 Nov/13/17 Nov/03/19
Description: APPLIED MATERIALS 0140-06316 HARNESS ASSY, DC FANS & INTERLOCKS, CHAMBER
caps86 Used - $400.00 1 Nov/13/17 Dec/06/18
Description: APPLIED MATERIALS 0200-09072, Ceramic Plate Ring Ceramic SHIELD 200MM & BLOCKER
ptb-sales Used - $800.00 0 Nov/22/17 Dec/22/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
j316gallery Used - $132.00 0 Dec/06/17 Apr/04/19
Description: 2899 CTI/AMAT SS FLEXIBLE HOSE,1/2" FEMALE ELBOW & 3/4" 8043435G060 / 3400-00329
lonestar_tech Refurbished - $800.00 0 Dec/03/17 Jan/02/18
Description: Lam Research P/N: 853-009231-002 / Power Supply +/-5 & 15 VDC AutoEtch
alamedaauction NEW - $2,995.00 0 Dec/05/17 Jan/04/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
bobsgoodies NEW - $29.00 1 Dec/11/17 Jan/26/18
Description: AMAT 3700-01352 KF-25 NW-25 vacuum center Ring & "O" Ring (lot of 12 pcs)
techshop7777 Used - $459.99 0 Dec/14/17 Jan/13/18
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
intek22 Used - $65.00 0 Dec/18/17 Jun/19/18
Description: MKS 750B33TCB2GC Range 3000 Torr Baratron Pressure Transducer & AMAT 0050-61608
usedeqsales NEW - $640.00 0 Dec/20/17 Jan/24/18
Description: LAM RESEARCH 810-017003-002 Drive IMPD & PH BD
usedeqsales NEW - $40.00 0 Dec/20/17 Jan/02/18
Description: APPLIED MATERIALS 0090-00423 EMO Switch & Inter-Circuit ASSY w/Guard
usedeqsales NEW - $75.00 0 Dec/20/17 Jan/02/18
Description: Applied Material 4020-01315 FLTR Cotton 25Micron Bleached & Washed
ptb-sales Used - $800.00 0 Dec/26/17 Jan/25/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
spsglobal Used - $2,000.00 0 Dec/27/17 Jan/09/18
Description: AMAT APPLIED MATERIALS 0240-70602 KIT, SB & WC CHAMBER BAKEOUT USED
valincorporation NEW - $900.00 0 Nov/13/17 Jul/23/19
Description: Novellus 02-278581-00 (light curtin), TAKEX SS20-TL40 & SS20-TR40 Set
oldmodernco NEW - $74.50 0 Jan/01/18 Jan/31/18
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
lonestar_tech Refurbished - $800.00 1 Jan/03/18 Jan/28/18
Description: Lam Research P/N: 853-009231-002 / Power Supply +/-5 & 15 VDC AutoEtch
alamedaauction NEW - $2,995.00 0 Jan/04/18 Feb/03/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
farmoninc NEW - $650.00 0 Jan/05/18 Mar/31/20
Description: AMAT 0010-36564 Assy, Slit Valve Door & M, 424078
techshop7777 Used - $459.99 0 Jan/14/18 Feb/13/18
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
spsglobal Used - $20.00 0 Jan/21/18 Mar/03/22
Description: 105-0401// AMAT APPLIED 1200-01176 RLY SOL STATE 120VAC 10 AMP NO NEW
usedeqsales Used - $151.18 3 Jan/24/18 Jan/10/19
Description: AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used
bobsgoodies NEW - $227.50 1 Jan/24/18 Feb/12/18
Description: AMAT 0110-00241 Assy TC AMP INTLK
capitolareatech NEW - $27.25 0 Jan/26/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-39344 CLAMP, TOP, SINGLE GAS LINE & PALLET
ptb-sales Used - $800.00 0 Jan/26/18 Feb/25/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech NEW - $575.00 0 Jan/30/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-36563 ASSY,SLIT VALVE DOOR & MOUNT,SST/VITON
capitolareatech NEW - $495.00 1 Jan/30/18 Mar/26/18
Description: Applied Materials (AMAT) 0010-76429 ASSY, PVD SLIT VALVE DOOR & MOUNT
capitolareatech NEW - $895.00 0 Jan/30/18 Sep/10/19
Description: Applied Materials (AMAT) 0010-21566 ASSY, SLIT VALVE VAT DOOR & MOUNT
usedsemiequipment Refurbished - $4,450.00 0 Jan/30/18 Apr/06/20
Description: LAM Switching Power Supply, PN: 660-091820-001, 24V / 25 amp
northbaycontact Used - $695.00 0 Feb/02/18 Mar/04/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
oldmodernco NEW - $74.50 0 Feb/02/18 Mar/04/18
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
alamedaauction NEW - $2,995.00 0 Feb/03/18 Mar/05/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
northbaycontact Used - $249.00 0 Feb/05/18 Mar/07/18
Description: AMAT 0150-09918 CABLE ASSY OZONATOR PCB & 5000
bobsgoodies2 Used - $24.50 1 Feb/10/18 Mar/31/22
Description: AMAT 0910-01393 Gould Shawmut ATQR4 4 Amp Trap 600VAC Time Delay Fuse (Lot of 6
bobsgoodies2 Used - $48.00 2 Feb/10/18 Mar/31/22
Description: AMAT 0910-01394 Gould Shawmut ATQR5 Amp Trap 600VAC Time Delay Fuse (Lot of 6)
bobsgoodies NEW - $9.99 16 Feb/10/18 May/28/18
Description: AMAT 0910-01138 LITTLEFUSE 252010 PICO FUSE 10 AMP
bobsgoodies2 Used - $11.00 0 Feb/10/18 Mar/31/22
Description: AMAT 0910-01276 LITTLEFUSE 251.250 PICO FUSE .25 AMP (Lot of 6)
bobsgoodies2 Used - $21.00 12 Feb/10/18 Mar/31/22
Description: AMAT 0910-01083 LITTLEFUSE 251002 PICO FUSE 2 AMP (Lot of 30)
bobsgoodies2 Used - $25.00 1 Feb/10/18 Mar/31/22
Description: AMAT 0910-01018 LITTLEFUSE 251004 PICO FUSE 4 AMP (Lot of 50)
bobsgoodies2 Used - $11.00 1 Feb/10/18 Mar/31/22
Description: AMAT 0910-01017 LITTLEFUSE 251.500 PICO FUSE 1.2 AMP (Lot of 3)
bobsgoodies2 Used - $49.00 3 Feb/10/18 Mar/31/22
Description: AMAT 0970-01052 Littelfuse 314020 Ceramic Fuse 20 amp 250 volt (Lot of 10)
starbit11 Used - $500.00 0 Feb/13/18 Sep/02/19
Description: AMAT TRANSFER INTERLOCK 0100-20458 W/ 0090-00354 Ö·& 0190-00285
starbit11 Used - $500.00 0 Feb/13/18 Sep/02/19
Description: AMAT BUFFER INTERLOCK 0100-20458 W/ 0090-00353 Ö·& 0190-00285
starbit11 Used - $500.00 0 Feb/13/18 Sep/02/19
Description: AMAT PRECLEAN CHAMBER INTER.. 0100-20458 0090-00458 Ö·& 0190-00285 & 0100-00516
techshop7777 Used - $459.99 0 Feb/15/18 Mar/17/18
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
20041014625pm Refurbished - $1,895.00 0 Feb/16/18 Mar/18/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
waste-not-recycling Scrap, for parts - $99.99 0 Feb/19/18 Feb/26/18
Description: Lam Research 853-012525-001-E-MDFT Lifter Spinner Assembly & 853-017633-005 +
qrecycle Used - $399.99 0 Feb/21/18 Feb/24/18
Description: Applied Materials TC amp Interlock board 0100-09225
qrecycle Used - $399.99 0 Feb/24/18 Feb/27/18
Description: Applied Materials TC amp Interlock board 0100-09225
waste-not-recycling Scrap, for parts - $59.99 1 Feb/27/18 Mar/06/18
Description: Lam Research 853-012525-001-E-MDFT Lifter Spinner Assembly & 853-017633-005 +
qrecycle Used - $399.99 0 Feb/27/18 Mar/02/18
Description: Applied Materials TC amp Interlock board 0100-09225
qrecycle Used - $399.99 0 Mar/04/18 Mar/09/18
Description: Applied Materials TC amp Interlock board 0100-09225
northbaycontact Used - $695.00 0 Mar/05/18 Mar/26/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
oldmodernco NEW - $74.50 0 Mar/05/18 Apr/04/18
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
alamedaauction NEW - $2,995.00 0 Mar/06/18 Apr/05/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
capitolareatech Used - $115.00 0 Mar/06/18 Aug/02/19
Description: Applied Materials (AMAT) 0200-10286 RING, FINGER LIFT PLATE 6" & 8", DxZ
20041014625pm Refurbished - $2,495.00 0 Mar/07/18 Jul/31/18
Description: Lam Research +24 40 AMP Power Supply, 660-007612-001 Pioneer Magnetic PM2500A-1
ptb-sales Used - $800.00 0 Mar/07/18 Apr/06/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
northbaycontact Used - $249.00 0 Mar/07/18 Mar/26/18
Description: AMAT 0150-09918 CABLE ASSY OZONATOR PCB & 5000
capitolareatech NEW - $8.95 0 Mar/07/18 Aug/09/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 0 Mar/07/18 Aug/09/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 0 Mar/07/18 Mar/27/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 0 Mar/08/18 Mar/08/18
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 0 Mar/08/18 Mar/27/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 0 Mar/07/18 Aug/09/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 2 Mar/08/18 Aug/09/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 0 Mar/08/18 Aug/09/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 0 Mar/07/18 Mar/27/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $395.00 0 Mar/09/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-27999 Supply & Return Manifold 1/2" Tube Swagelok
alamedaauction Used - $75.00 1 Mar/11/18 Mar/26/18
Description: Sanyo Denki PV2A015SMT1P50 Servo Amplifier Drive 200V & Cables / 0190-12138 AMAT
qrecycle Used - $399.99 0 Mar/12/18 Mar/15/18
Description: Applied Materials TC amp Interlock board 0100-09225
capitolareatech NEW - $9.95 0 Mar/13/18 Aug/24/18
Description: Applied Materials (AMAT) 0690-01036 CLAMP HINGED NW40 WING-NUT & SCR-CLOSURE
capitolareatech NEW - $9.95 0 Mar/13/18 Mar/13/18
Description: Applied Materials (AMAT) 0690-01036 CLAMP HINGED NW40 WING-NUT & SCR-CLOSURE
capitolareatech NEW - $39.95 0 Mar/14/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-39345 CLAMP,BOTTOM,SINGLE GAS LINE & PALLET
qrecycle Used - $399.99 0 Mar/15/18 Mar/22/18
Description: Applied Materials TC amp Interlock board 0100-09225
spsglobal Used - $650.00 1 Mar/16/18 Dec/11/19
Description: 308-0101// AMAT APPLIED 0040-20033 ADAPTOR,CONV,VENT,& CRYO XFR LLC USED
techshop7777 Used - $459.99 0 Mar/18/18 Apr/17/18
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
20041014625pm Refurbished - $1,395.00 0 Mar/18/18 Apr/17/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
capitolareatech NEW - $295.00 0 Mar/21/18 Nov/20/19
Description: Applied Materials (AMAT) 0200-00058 GAS RING,SMALL HOLES & CHANNELS
usedeqsales Used - $1,006.16 2 Mar/21/18 Jul/05/18
Description: AMAT Applied Materials 0100-20346 Smoke & Water Leak Detector 0130-20346 Used
qrecycle Used - $399.99 0 Mar/22/18 Mar/29/18
Description: Applied Materials TC amp Interlock board 0100-09225
usedeqsales Used - $603.18 1 Mar/27/18 Jan/27/22
Description: AMAT Applied Materials 0090-09145 TC AMP Assembly Precision 5000 P5000 Used
qrecycle Used - $399.99 0 Apr/01/18 Apr/06/18
Description: Applied Materials TC amp Interlock board 0100-09225
lonestar_tech Refurbished - $3,489.00 1 Apr/03/18 Sep/21/18
Description: Lam Research / P/N: 660-007613-001 / +/-15 & +5 VDC POWER SUPPLY / REFURBISHED
oldmodernco NEW - $74.50 0 Apr/04/18 May/04/18
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
capitolareatech NEW - $344.95 0 Apr/05/18 Apr/05/18
Description: Applied Materials (AMAT) 0100-00084 PCB ASSY CAPACITIVE SENSOR AMP
capitolareatech NEW - $344.95 0 Apr/05/18 Sep/19/19
Description: Applied Materials (AMAT) 0100-00084 PCB ASSY CAPACITIVE SENSOR AMP
capitolareatech NEW - $675.00 0 Apr/05/18 Apr/05/18
Description: Applied Materials (AMAT) 0100-76029 PCB CHBR TRAY INTC A & C CENTURA MCVD
capitolareatech NEW - $675.00 1 Apr/05/18 May/09/18
Description: Applied Materials (AMAT) 0100-76029 PCB CHBR TRAY INTC A & C CENTURA MCVD
alamedaauction NEW - $1,495.00 0 Apr/06/18 May/06/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
qrecycle Used - $399.99 0 Apr/08/18 Apr/13/18
Description: Applied Materials TC amp Interlock board 0100-09225
20041014625pm Used - $499.00 0 Apr/08/18 Apr/15/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
bobsgoodies Used - $149.00 4 Apr/10/18 Sep/06/18
Description: Cutler Hammer CE15FN3Y1 Contactor 32 Amp 3-Pole 110V coil AMAT 1200-01081
bobsgoodies2 Used - $97.00 0 Apr/10/18 Mar/31/22
Description: AMAT 0680-01375 30 Amp 2-Pole Fuji Earth Leakage Circuit Breaker 30ma EG32F 30
capitolareatech NEW - $674.95 0 Apr/11/18 Apr/11/18
Description: Applied Materials (AMAT) 0100-76029 PCB CHBR TRAY INTC A & C CENTURA MCVD
ntsurplus302 Used - $280.00 0 Apr/12/18 Sep/01/19
Description: 2825 Set of 2 Applied Materials P/N: 0020-62770 & 0020-62771. Screens
ptb-sales Used - $800.00 0 Apr/12/18 May/12/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
qrecycle Used - $399.99 0 Apr/15/18 Apr/20/18
Description: Applied Materials TC amp Interlock board 0100-09225
20041014625pm Used - $799.00 0 Apr/15/18 May/15/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
20041014625pm Refurbished - $2,895.00 0 Apr/16/18 May/16/18
Description: Lam Research Gap Motor 853-011142-001 QMC 41-M1683 & M2901 Assembly Lot Of 2
sparesllc09 Used - $5,500.00 0 Apr/16/18 Sep/30/19
Description: 0010-01831 / ASSY TC AMP LOW TEMP BESC / APPLIED MATERIALS
techshop7777 Used - $459.99 0 Apr/18/18 May/18/18
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
20041014625pm Refurbished - $1,395.00 0 Apr/18/18 May/18/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
qrecycle Used - $399.99 0 Apr/20/18 Apr/25/18
Description: Applied Materials TC amp Interlock board 0100-09225
bobsgoodies NEW - $545.00 1 Apr/24/18 Sep/14/18
Description: AMAT 0010-76087 WAFER VALVE ASSY CH 2,4,& D Applied Materials 5500 PVD MAINFRAME
qrecycle Used - $399.99 0 Apr/25/18 May/02/18
Description: Applied Materials TC amp Interlock board 0100-09225
brad2000 Refurbished - $1,695.00 0 Apr/29/18 May/29/18
Description: Lam Research Gap Motor 853-011142-001 QMC 41-M1683 & M2901 Assembly
bobsgoodies NEW - $50.00 0 May/03/18 Apr/13/21
Description: AMAT 0050-42145 IFS HP Tescom 60 PSI Regulator & 955MSJ11PM Veriflo Assembly
qrecycle Used - $399.99 0 May/03/18 May/08/18
Description: Applied Materials TC amp Interlock board 0100-09225
northbaycontact Used - $695.00 0 May/02/18 Jun/01/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $249.00 0 May/02/18 Jun/01/18
Description: AMAT 0150-09918 CABLE ASSY OZONATOR PCB & 5000
spsglobal NEW - $20.00 0 May/03/18 Mar/26/21
Description: 311-0302// AMAT APPLIED 0680-01201 (2EA) CB K-FRAME PLUG NUT LINE & SIDE NEW
storemanager-2009 NEW - $400.00 0 Mar/07/18 Jun/18/18
Description: ASSY., TC AMP HOUSING
oldmodernco NEW - $74.50 0 May/05/18 Jun/04/18
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
alamedaauction NEW - $1,495.00 0 May/07/18 Jun/06/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
qrecycle Used - $199.99 0 May/08/18 May/11/18
Description: Applied Materials TC amp Interlock board 0100-09225
qrecycle Used - $99.00 0 May/11/18 May/14/18
Description: Applied Materials TC amp Interlock board 0100-09225
capitolareatech NEW - $155.00 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0140-21031 HARNESS ASSY, HTESC, STD BODY, POS 2 & 3
qrecycle Used - $199.99 0 May/14/18 May/17/18
Description: Applied Materials TC amp Interlock board 0100-09225
20041014625pm Used - $700.00 0 May/15/18 Jun/14/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
capitolareatech NEW - $695.00 1 May/16/18 Oct/11/18
Description: Applied Materials (AMAT) 0010-20138 ASSY TC AMP HSNG
capitolareatech NEW - $165.95 1 May/16/18 Aug/12/19
Description: Applied Materials (AMAT) 0021-09947 TC AMP Housing
capitolareatech NEW - $125.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-13405 S & S SHAFT
capitolareatech NEW - $255.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-04076 PLATE,GAS DISTRIBUTION(SMALL HOLES & CHA
20041014625pm Refurbished - $2,895.00 0 May/17/18 May/30/18
Description: Lam Research Gap Motor 853-011142-001 QMC 41-M1683 & M2901 Assembly Lot Of 2
qrecycle Used - $199.99 0 May/17/18 May/22/18
Description: Applied Materials TC amp Interlock board 0100-09225
20041014625pm Refurbished - $1,395.00 0 May/18/18 Jun/17/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
ptb-sales Used - $800.00 0 May/18/18 Jun/17/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech NEW - $245.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0021-08974 Flange, Drain, CMP 300MM (Alpha &)
capitolareatech NEW - $44.95 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0020-39346 CLAMP, TOP, FIVE GAS LINES & PALLET
sparesllc09 Used - $5,600.00 0 May/22/18 Aug/29/18
Description: 0090-01432 /ASSY TC AMP LOW TEMP BESC /APPLIED MATERIALS, AMAT
qrecycle Used - $199.99 0 May/23/18 May/28/18
Description: Applied Materials TC amp Interlock board 0100-09225
techshop7777 Used - $459.99 0 May/23/18 Jun/22/18
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
qrecycle Used - $199.99 0 Jun/07/18 Jun/12/18
Description: Applied Materials TC amp Interlock board 0100-09225
alamedaauction NEW - $1,295.00 0 Jun/06/18 Jul/06/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
qrecycle Used - $199.99 0 Jun/15/18 Jun/17/18
Description: Applied Materials TC amp Interlock board 0100-09225
capitolareatech NEW - $249.95 2 Jun/14/18 Jul/28/18
Description: Applied Materials (AMAT) 4020-01060 FLTR IN-LN GAS 3000PSIG 9/19-MFC M-VCR & F-1
20041014625pm Used - $700.00 0 Jun/15/18 Jul/05/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
20041014625pm Refurbished - $1,395.00 0 Jun/17/18 Jul/16/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
ptb-sales Used - $800.00 0 Jun/20/18 Jul/20/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
sparesllc09 NEW - $8,330.00 0 Jun/20/18 Sep/24/19
Description: 0040-87047 / BONDED SIC & LOWER TOP SOURCE 300/ APPLIED MATERIALS
techshop7777 Used - $459.99 0 Jun/24/18 Jul/24/18
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
northbaycontact Used - $695.00 0 Jul/03/18 Aug/02/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $249.00 0 Jul/03/18 Aug/02/18
Description: AMAT 0150-09918 CABLE ASSY OZONATOR PCB & 5000
20041014625pm Used - $250.00 0 Jul/05/18 Jul/12/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
alamedaauction NEW - $1,295.00 0 Jul/06/18 Aug/05/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
alvin1462 Used - $688.00 1 Jul/06/18 Mar/23/22
Description: AMAT Applied Materials 0010-09340 SUSCEPTOR LIFT without 0090-09145 ASSY TC AMP
alvin1462 Used - $888.00 2 Jul/06/18 Jan/27/22
Description: AMAT Applied Materials 0010-09340 SUSCEPTOR LIFT with 0090-09145 ASSY TC AMP
intek22 Used - $50.00 0 Jul/06/18 May/11/20
Description: Lot of 2 * Novellus H2O ION Source & Return Cables 11-100285-06 11-100285-05
oldmodernco NEW - $74.50 0 Jul/08/18 Aug/07/18
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
j316gallery Used - $6,587.12 1 Jul/08/18 May/17/21
Description: 11200 APPLIED MATERIAL PILLOW BLK ASSY W/SPACER & 0040-76073,P136AR03 0240-75129
20041014625pm Used - $550.00 0 Jul/12/18 Jul/17/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
20041014625pm Used - $1,125.00 0 Jul/17/18 Aug/15/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
20041014625pm Refurbished - $1,695.00 0 Jul/16/18 Jul/20/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
bobsgoodies NEW - $399.00 2 Jul/18/18 Jun/10/19
Description: Turck: FCS-G1/4A4ARX/D118 0.3M Flow Switch Use in AMAT 0190-01186 & 0190-00544
brad2000 Refurbished - $3,095.00 0 Jul/20/18 Aug/15/18
Description: Lam Research Gap Motor 853-011142-001 QMC 41-M1683 & M2901 Assembly Lot Of 2
brad2000 Refurbished - $2,295.00 0 Jul/20/18 Aug/15/18
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
ptb-sales Used - $800.00 0 Jul/23/18 Aug/22/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
techshop7777 Used - $459.99 0 Jul/24/18 Aug/23/18
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
20041014625pm Refurbished - $2,195.00 0 Jul/31/18 Aug/14/18
Description: Lam Research +24 40 AMP Power Supply, 660-007612-001 Pioneer Magnetic PM2500A-1
20041014625pm Used - $795.00 0 Aug/01/18 Aug/31/18
Description: Lam Research 853-015759-102 AMP Motor Assembly
alamedaauction NEW - $1,295.00 0 Aug/05/18 Sep/04/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
northbaycontact Used - $695.00 0 Aug/06/18 Sep/05/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $249.00 0 Aug/06/18 Sep/05/18
Description: AMAT 0150-09918 CABLE ASSY OZONATOR PCB & 5000
metrology123 Used - $379.00 1 Aug/07/18 Jul/06/21
Description: Applied materials 0100-02955 rev 01 Dual TC AMP 300mm PVD PRE-CLEAN PCB
yericomfg NEW - $25.00 0 Aug/07/18 Aug/08/18
Description: AMAT P/N: 0690-01037 CLAMP HINGED NW50 WING-NUT & SCR-CLOSURE
oldmodernco NEW - $74.50 0 Aug/09/18 Sep/08/18
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
yericomfg NEW - $25.00 0 Aug/08/18 Aug/27/19
Description: AMAT P/N: 0690-01037 CLAMP HINGED NW50 WING-NUT & SCR-CLOSURE
yericomfg NEW - $250.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials; Kit,Common RPO/DPN blankoff & plug 0242-46528
engin-15 Refurbished - $900.00 0 Aug/11/18 Sep/10/18
Description: PCB WATER LEAK DETECTOR PN 0100-20097 W/ TC AMP IN 0100-18058
bobsgoodies NEW - $125.00 2 Aug/14/18 Nov/22/18
Description: AMAT 3870-02783 REGULATOR & VALVE ASSEMBLY VTA301-02-B NAR2060-N02-X33US
maxisemi1349 NEW - $550.00 0 Aug/14/18 Sep/13/18
Description: 0020-04076 GAS DISTR. PLATE SM. HOLES & CHANNELS
20041014625pm Refurbished - $2,995.00 0 Aug/15/18 Sep/03/18
Description: Lam Research Gap Motor 853-011142-001 QMC 41-M1683 & M2901 Assembly Lot Of 2
20041014625pm Refurbished - $2,495.00 0 Aug/15/18 Sep/14/18
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Used - $625.00 0 Aug/15/18 Aug/22/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
wyse_avenue NEW - $50.00 0 Aug/18/18 Sep/17/18
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
j316gallery Used - $1,100.00 1 Aug/20/18 Apr/08/19
Description: 1641 APPLIED MATERIAL ASSY,REACTOR,DPA,P5000 & 5200 CVD,0190-35867 0090-36276
capitolareatech NEW - $9.95 0 Aug/22/18 Aug/24/18
Description: Applied Materials (AMAT) 0910-00024 Bussmann Fuse Fast Acting 15 Amp 600 V 13/32
20041014625pm Used - $895.00 0 Aug/22/18 Sep/03/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
capitolareatech Used - $79.95 0 Aug/23/18 Jun/23/20
Description: LAM RESEARCH (LAM) 853-001341-001 Assy. Manifold & Valve - Gate
capitolareatech NEW - $229.95 0 Aug/23/18 Jun/23/20
Description: LAM RESEARCH (LAM) 715-024349-100 PLATE, SUPPORT MFC & N2 CONT
techshop7777 Used - $459.99 0 Aug/24/18 Sep/23/18
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
falcor88 NEW - $49.99 0 Aug/24/18 Sep/23/18
Description: Applied Materials 3700-03274 Specification NK Extended Calibration AMP Cord
ptb-sales Used - $800.00 0 Aug/27/18 Sep/26/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
falcor88 Used - $500.00 0 Aug/29/18 Sep/28/18
Description: Quality Transformer & Electronics 8210 AMAT 1360-00116 XFMR 5KVA 208V 50/60HZ
20041014625pm Used - $795.00 0 Sep/01/18 Sep/03/18
Description: Lam Research 853-015759-102 AMP Motor Assembly
capitolareatech NEW - $395.95 0 Sep/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-38429 BLANK-OFF GAS LINE,S & R, T.M.P.O.,SRP 299-0
20041014625pm Used - $595.00 0 Sep/03/18 Oct/02/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
20041014625pm Used - $595.00 0 Sep/03/18 Oct/03/18
Description: Lam Research 853-015759-102 AMP Motor Assembly
alamedaauction NEW - $1,295.00 0 Sep/05/18 Oct/05/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
northbaycontact Used - $695.00 0 Sep/06/18 Oct/06/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $249.00 0 Sep/06/18 Oct/06/18
Description: AMAT 0150-09918 CABLE ASSY OZONATOR PCB & 5000
prism_electronics7 Used - $2,999.00 1 Sep/07/18 Sep/07/18
Description: AMAT APPLIED MATERIALS 0190-04099 ASYST 9700-5384-11 FA VERSAPORT STD AMP
prism_electronics7 Used - $6,500.01 1 Sep/08/18 Sep/11/18
Description: AMAT APPLIED MATERIALS 0190-04099 ASYST 9700-5384-11 FA VERSAPORT STD AMP
tchaban88 Used - $265.00 1 Sep/09/18 Sep/14/18
Description: AMAT APPLIED MATERIALS 0190-07562 CONTROL PANAL , MKS 2X CDN 491 & 496 , 1X 497
oldmodernco NEW - $74.50 0 Sep/10/18 Oct/10/18
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
capitolareatech NEW - $995.95 0 Sep/10/18 Feb/20/20
Description: Applied Materials (AMAT) 0100-76030 CHAMBER TRAY INTERCONNECT PCB MCVD B & D
bobsgoodies Used - $180.00 0 Sep/11/18 Oct/11/18
Description: AMAT 0090-20036 Motor Rotation Orienter & 0020-70343 Bracket, Mounting
20041014625pm Refurbished - $2,495.00 0 Sep/14/18 Oct/09/18
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
farmoninc Used - $395.00 1 Sep/19/18 Apr/26/23
Description: AMAT 0010-21740 Assy TC Amp 300mm, PCB, 450097
farmoninc Used - $395.00 0 Sep/19/18 Jun/10/21
Description: AMAT 0010-21740 Assy TC Amp 300mm, PCB, 450098
wyse_avenue NEW - $50.00 0 Sep/20/18 Oct/20/18
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
engin-15 Refurbished - $900.00 0 Sep/22/18 Oct/22/18
Description: PCB WATER LEAK DETECTOR PN 0100-20097 W/ TC AMP IN 0100-18058
techshop7777 Used - $459.99 0 Sep/24/18 Oct/24/18
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
prism_electronics5 Used - $425.00 0 Sep/27/18 Aug/16/22
Description: APPLIED MATERIALS AMAT 0040-23557 BASE TC ISOLATION AMP, HT BES
falcor88 Used - $500.00 0 Sep/30/18 Oct/30/18
Description: Quality Transformer & Electronics 8210 AMAT 1360-00116 XFMR 5KVA 208V 50/60HZ
falcor88 NEW - $49.99 0 Sep/30/18 Oct/30/18
Description: Applied Materials 3700-03274 Specification NK Extended Calibration AMP Cord
lpe484 NEW - $250.00 0 Sep/30/18 Oct/07/18
Description: AMAT 0010-38626 Hose Assy, Sup & Rtn 75', Stl Head
20041014625pm Used - $995.00 0 Oct/02/18 Nov/01/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
sparesllc09 Refurbished - $9,500.00 0 Oct/03/18 Oct/09/18
Description: 9090-01331 / CHASSIS , QX+PFS & ARC PSU / AMAT
farmoninc NEW - $20.00 1 Oct/03/18 Jan/07/19
Description: AMAT 3880-01007 & 3880-01024 Washer 3500-01027 Nut 3690-01086 Screw Cap, 450389
20041014625pm Used - $595.00 0 Oct/04/18 Nov/03/18
Description: Lam Research 853-015759-102 AMP Motor Assembly
alamedaauction NEW - $1,295.00 0 Oct/05/18 Nov/04/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
lpe484 NEW - $225.00 0 Oct/07/18 Oct/14/18
Description: AMAT 0010-38626 Hose Assy, Sup & Rtn 75', Stl Head
northbaycontact Used - $695.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $249.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0150-09918 CABLE ASSY OZONATOR PCB & 5000
20041014625pm Refurbished - $1,995.00 0 Oct/09/18 Nov/01/18
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
prism_electronics5 Used - $85.00 0 Oct/10/18 Aug/26/22
Description: APPLIED MATERIALS AMAT 0910-01200 50 AMP JJS-50
prism_electronics10 Used - $36.43 0 Oct/10/18 Mar/16/22
Description: 0150-10530 Applied Materials AMAT Used, CA TC Amp Interlock Inte
tys_top_sales Used - $333.99 0 Oct/10/18 Apr/17/19
Description: APPLIED MATERIALS 0100-00007 INTERFACE CONTROLLER w Fans & Analog Power Supply
oldmodernco NEW - $74.50 0 Oct/10/18 Nov/09/18
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
prism_electronics5 Used - $833.00 0 Oct/11/18 Aug/26/22
Description: APPLIED MATERIALS AMAT 0100-20318 PCB ASSY TC ISOLATION AMP
prism_electronics5 Used - $150.00 2 Oct/16/18 Apr/22/19
Description: APPLIED MATERIALS AMAT 0140-76979 HARNESS MOTOR & SENSOR SBR CABLE
ptb-sales Used - $800.00 0 Oct/18/18 Nov/17/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $25.19 2 Oct/19/18 May/18/20
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
wyse_avenue NEW - $50.00 0 Oct/20/18 Nov/19/18
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
autoquip7 NEW - $1,120.00 2 Oct/21/18 Feb/01/21
Description: 0100-00426, Applied Materials, PCB ASSY, MCVD DUAL TC AMP INTERLOCK
bobsgoodies NEW - $75.00 2 Oct/22/18 Sep/30/20
Description: AMAT 3250-01008 CNTRDI-TANK 1/2MPT ADPTER W/TANK ADPTR & LOCKRNG (Lot of 2)
athomemarket NEW - $63.89 0 Oct/22/18 Jan/20/20
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
qrecycle NEW - $899.00 0 Oct/23/18 Oct/30/18
Description: Applied Material Liquid Injector bd 0100-09210 and tc amp 0100-09225
techshop7777 Used - $459.99 0 Oct/24/18 Nov/23/18
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
bobsgoodies Used - $125.00 1 Nov/01/18 Dec/04/18
Description: AMAT 3870-02224, Furon 1103893 & PV-3-1334 Fluid Handling Valve Assembly
lonestar_tech Refurbished - $4,300.00 1 Nov/02/18 Feb/05/19
Description: Lam Research / P/N: 660-091821-001 / +/-15 & +5 VDC POWER SUPPLY / REFURBISHED
20041014625pm Refurbished - $1,995.00 0 Nov/01/18 Dec/01/18
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Used - $500.00 0 Nov/01/18 Dec/01/18
Description: Lead Screws For A Lam Research Gap Drive 854-011153-001 & 854-011153-002
20041014625pm Used - $250.00 0 Nov/03/18 Dec/03/18
Description: Lam Research 853-015759-102 AMP Motor Assembly
lonestar_tech Refurbished - $6,300.00 0 Nov/04/18 Jul/06/20
Description: Lam Research / P/N: 660-007613-001 / +/-15 & +5 VDC POWER SUPPLY / REFURBISHED
engin-15 Refurbished - $860.00 0 Nov/04/18 Dec/04/18
Description: PCB WATER LEAK DETECTOR PN 0100-20097 W/ TC AMP IN 0100-18058
alamedaauction NEW - $1,295.00 0 Nov/05/18 Dec/05/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
ja-8328 NEW - $80.00 0 Nov/07/18 Dec/07/18
Description: AMAT 0020-70525 SCREW LIFT HOOP HAYNES / PRODUCER 200 & 300mm
northbaycontact Used - $495.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $249.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0150-09918 CABLE ASSY OZONATOR PCB & 5000
oldmodernco NEW - $74.50 0 Nov/09/18 Dec/09/18
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
benad24 Used - $92.56 0 Nov/16/18 Jan/22/20
Description: Amat Applied Materials Akt 0100-71331 Rev.1 Dc Power Distribution & Interlock
wyse_avenue NEW - $50.00 0 Nov/19/18 Dec/19/18
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
techshop7777 Used - $459.99 0 Nov/23/18 Dec/23/18
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
bobsgoodies NEW - $125.00 0 Nov/26/18 Nov/30/18
Description: AMAT 3870-02783 REGULATOR & VALVE ASSEMBLY VTA301-02-B NAR2060-N02-X33US
kak_7919 Used - $13,000.00 0 Nov/27/18 Sep/15/20
Description: 3870-02561 AMAT VALVE GATE HEATED & SHIELDED 208VAC 300W
ptb-sales Used - $800.00 0 Nov/28/18 Dec/28/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
20041014625pm Refurbished - $1,395.00 0 Dec/01/18 Dec/19/18
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
falcor88 NEW - $49.99 0 Dec/04/18 Jan/03/19
Description: Applied Materials 3700-03274 Specification NK Extended Calibration AMP Cord
falcor88 Used - $500.00 0 Dec/04/18 Jan/03/19
Description: Quality Transformer & Electronics 8210 AMAT 1360-00116 XFMR 5KVA 208V 50/60HZ
storemanager-2009 NEW - $56.25 0 Dec/05/18 Nov/12/20
Description: AMAT 0050-31381 SYNCROVAC WELDMENT, NUPRO 6LV-BNB-W4 VALVE & PIPING
alamedaauction NEW - $995.00 0 Dec/06/18 Jan/05/19
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
northbaycontact Used - $249.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0150-09918 CABLE ASSY OZONATOR PCB & 5000
northbaycontact Used - $495.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
oldmodernco NEW - $74.50 0 Dec/10/18 Jan/09/19
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
20041014625pm Refurbished - $1,395.00 0 Dec/19/18 Jan/01/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
techshop7777 Used - $459.99 0 Dec/27/18 Jan/26/19
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
20041014625pm Refurbished - $1,195.00 0 Jan/01/19 Jan/31/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
ptb-sales Used - $800.00 0 Jan/04/19 Feb/03/19
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
alamedaauction NEW - $895.00 0 Jan/05/19 Feb/04/19
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
falcor88 NEW - $49.99 1 Jan/07/19 Feb/06/19
Description: Applied Materials 3700-03274 Specification NK Extended Calibration AMP Cord
falcor88 Used - $500.00 0 Jan/07/19 Feb/06/19
Description: Quality Transformer & Electronics 8210 AMAT 1360-00116 XFMR 5KVA 208V 50/60HZ
engin-15 Refurbished - $860.00 0 Jan/08/19 Feb/07/19
Description: PCB WATER LEAK DETECTOR PN 0100-20097 W/ TC AMP IN 0100-18058
getspares.com_sparesllc09 Used - $5,600.00 0 Jan/08/19 May/26/22
Description: 0090-01432 /ASSY TC AMP LOW TEMP BESC / APPLIED MATERIALS AMAT
northbaycontact Used - $495.00 0 Jan/09/19 Jan/21/19
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $249.00 0 Jan/09/19 Jan/21/19
Description: AMAT 0150-09918 CABLE ASSY OZONATOR PCB & 5000
usedeqsales Used - $251.18 4 Jan/10/19 Apr/18/22
Description: AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Working
oldmodernco NEW - $74.50 0 Jan/13/19 Feb/12/19
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
wyse_avenue NEW - $50.00 0 Jan/18/19 Feb/17/19
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
northbaycontact Used - $17.50 1 Jan/21/19 Jan/31/19
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $0.99 0 Jan/21/19 Jan/31/19
Description: AMAT 0150-09918 CABLE ASSY OZONATOR PCB & 5000
techshop7777 Used - $459.99 0 Jan/28/19 Feb/27/19
Description: General Micro Systems GMSSRPX-01-B & GMSV36-01-E 0660-01593 HDP-CVD VME MF Board
20041014625pm Refurbished - $1,195.00 0 Jan/31/19 Mar/02/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
ptb-sales Used - $800.00 0 Feb/04/19 Mar/06/19
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
alamedaauction NEW - $895.00 0 Feb/04/19 Mar/06/19
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
smartelektronikgmbh Used - $2,500.00 1 Feb/05/19 Jun/11/19
Description: 0190-35875 AMAT / Heater Driver / 208 VAC, 1 Phase, 50/60 HZ, 30 Amp. max.
engin-15 Refurbished - $860.00 0 Feb/07/19 Mar/09/19
Description: PCB WATER LEAK DETECTOR PN 0100-20097 W/ TC AMP IN 0100-18058
intek22 Used - $1,000.00 1 Feb/07/19 Mar/11/19
Description: Lot of 2 * AMAT Applied Materials 0040-87219 & 0040-79912 EMax CT Wall Liners
csi.usa NEW - $649.99 1 Feb/08/19 May/29/19
Description: NEW AMAT APPLIED MATERIALS 0200-40126 THIN ROBOT BLADE 6" & 8" W/O POCKET
csi.usa NEW - $199.99 1 Feb/08/19 Mar/27/19
Description: NEW AMAT APPLIED MATERIALS 0190-09151 AVALON 56/VITON RING GREENE & TWEED
lonestar_tech Refurbished - $4,300.00 1 Feb/12/19 Oct/08/19
Description: Lam Research / P/N: 660-091821-001 / +/-15 & +5 VDC POWER SUPPLY / REFURBISHED
oldmodernco NEW - $74.50 0 Feb/12/19 Mar/14/19
Description: LAM Compass Components Wiring Harness 853-494796-003 - New & Sealed
wyse_avenue NEW - $50.00 0 Feb/17/19 Mar/19/19
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
brad2000 Used - $695.00 0 Feb/17/19 Mar/19/19
Description: Lam Research 810-017013-001 PCB AMP Interlock Board Assembly
20041014625pm Refurbished - $3,495.00 0 Feb/17/19 Mar/12/19
Description: Lam Research 660-091821-001 +/-15 & +5 VDC Power Supply Assembly REFURBISHED
alamedaauction NEW - $895.00 0 Mar/07/19 Apr/06/19
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
20041014625pm Refurbished - $995.00 0 Mar/12/19 Mar/25/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $3,495.00 0 Mar/12/19 Mar/25/19
Description: Lam Research 660-091821-001 +/-15 & +5 VDC Power Supply Assembly REFURBISHED
orapma12012 Used - $899.00 0 Mar/19/19 Feb/28/20
Description: AMAT 0010-10945 Assy TC AMP 350Khz, 0020-10758, 0100-0933, 0100-09312, 409246
wyse_avenue NEW - $50.00 0 Mar/19/19 May/03/19
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
brad2000 Used - $695.00 0 Mar/19/19 Mar/24/19
Description: Lam Research 810-017013-001 PCB AMP Interlock Board Assembly
focusonline Refurbished - $10,000.00 0 Mar/21/19 Oct/31/19
Description: Refurbished & Unused: 0021-01421 / 0040-02544 DPS Upper Chamber Body, Metal Etch
ptb-sales Used - $800.00 0 Mar/22/19 Oct/25/19
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
brad2000 Used - $99.00 0 Mar/24/19 Mar/31/19
Description: Lam Research 810-017013-001 PCB AMP Interlock Board Assembly
20041014625pm Refurbished - $2,595.00 1 Mar/25/19 May/03/19
Description: Lam Research 660-091821-001 +/-15 & +5 VDC Power Supply Assembly REFURBISHED
20041014625pm Refurbished - $995.00 0 Mar/25/19 Apr/14/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
katiil3 Used - $1,999.00 0 Mar/28/19 May/18/19
Description: AMAT 0190-35875 Heater Driver 208 VAC, 1 Phase, 50/60 HZ, 30 Amp. max.
brad2000 Used - $99.00 1 Apr/01/19 Apr/08/19
Description: Lam Research 810-017013-001 PCB AMP Interlock Board Assembly
alamedaauction NEW - $895.00 2 Apr/06/19 May/01/19
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
semicon2001 NEW - $700.00 5 Apr/05/19 Apr/12/19
Description: 3690-03269 AMAT Leadscrew & Nut Assy
focusonline NEW - $10,000.00 0 Apr/10/19 Oct/31/19
Description: New & Unused: A-Coat 0040-02544 / 0040-52662 DPS Upper Chamber Body, Metal Etch
tystylecoop Used - $333.99 0 Apr/17/19 Feb/01/20
Description: APPLIED MATERIALS 0100-00007 INTERFACE CONTROLLER w Fans & Analog Power Supply
falcor88 Used - $400.00 1 Apr/11/19 Oct/27/19
Description: Quality Transformer & Electronics 8210 AMAT 1360-00116 XFMR 5KVA 208V 50/60HZ
spsglobal NEW - $700.00 0 Apr/24/19 Mar/03/20
Description: 320-0303// AMAT APPLIED 0010-20138 ASSY TC AMP HSNG 2ND SOURCE NEW
20041014625pm Used - $595.00 0 May/06/19 May/13/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Used - $1,995.00 0 May/06/19 May/24/19
Description: Lam Research 660-091821-001 +/-15 & +5 VDC Power Supply Assembly
happy_1 Refurbished - $100.00 0 May/12/19 Oct/01/20
Description: AMAT 0150-22043 (CABLE ASSY, MFC TO CONTR BOX & SYST. CON)NEW
j316gallery Used - $414.80 1 May/12/19 Aug/31/20
Description: 5584 SANYO DENKI BL SUPER SERVO AMP W/DEVICENET,AMAT 0190-12138 PV2A015SMT1P50-1
20041014625pm Used - $995.00 0 May/13/19 Jun/01/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
eboards NEW - $325.00 1 May/19/19 Dec/22/20
Description: AMAT 0010-02171 right hand pivot & bearing endura centura robot hand
partskorea1 Used - $1,000.00 1 May/22/19 Jan/27/21
Description: AMAT 0100-00426 MCVD DUAL TC AMP INTERLOCK BD
20041014625pm Used - $995.00 0 Jun/01/19 Jul/07/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
surplustechmart Used - $202.50 1 Jun/13/19 Jan/29/21
Description: AMAT P/N 0010-21740 REV.04 ASSY TC AMP 300MM
wyse_avenue NEW - $50.00 0 Jun/25/19 Jul/09/19
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
zindchau15 NEW - $70.00 0 Jul/05/19 Oct/23/21
Description: APPLIED MATERIALS 0090-02138 PHOTOELECTRIC SENSOR AMP 300MM L-D00R
20041014625pm Used - $875.00 0 Jul/07/19 Jul/23/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Used - $875.00 0 Jul/23/19 Jul/26/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
smartelektronikgmbh Used - $650.00 1 Jul/23/19 Jan/30/20
Description: ASSY, PEDESTAL LIFT 4 & 5, 02-047200-03
j316gallery Used - $800.00 0 Jul/30/19 Jul/30/19
Description: 14395 APPLIED MATERIALS CVD RF MATCH W/O SIDE CONNECTOR, COVER & FAN 0010-09750
spsglobal Used - $150.00 0 Jul/29/19 Jun/29/23
Description: 345-0101// AMAT APPLIED 0950-01401 IC HIGH POWER OPER AMP 15A 100 NEW
20041014625pm Used - $875.00 0 Jul/26/19 Aug/05/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
j316gallery Used - $622.20 0 Jul/26/19 Jul/16/20
Description: 14430 APPLIED MATERIALS ASSY,BWCVD THROTTLE VALVE 100,125 & 150M 0010-38027
bt_store1 Used - $270.00 0 Jul/31/19 Aug/10/20
Description: AMAT 0100-35230 AMP INTERLOCK PCB
factoryautomations Used - $199.00 0 Jul/31/19 Jan/03/21
Description: Lam research assy 810-028296-171 rev B & 810-028295-171 rev C
20041014625pm Used - $875.00 0 Aug/05/19 Aug/07/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
autoquip7 NEW - $1,090.00 0 Aug/02/19 Sep/13/19
Description: 0100-76030, AMAT CHAMBER TRAY INTERCONNECT PCB MCVD B & D
20041014625pm Used - $875.00 0 Aug/07/19 Aug/11/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Used - $450.00 0 Aug/11/19 Aug/12/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Used - $895.00 0 Aug/12/19 Nov/03/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
getspares.com_sparesllc09 Used - $1,500.00 1 Aug/19/19 Aug/21/19
Description: 810-495659-304 / BICEP ESC PWR SUPPLY W HEATER FILTER & ESC FILTER BII / LAM
j316gallery Used - $24.84 0 Aug/28/19 Aug/11/22
Description: 15359 CORCOM FLTR RFI POWER LINE 6 AMP SERIES F7247, AMAT 0900-01015 6VS1
semigooods NEW - $650.00 0 Aug/28/19 May/26/21
Description: AMAT 0190-02208 MOTOR, 5PH STEPPER, W/20:1 GEAR & 4FLAT - OEM NEW
capitolareatech Used - $129.95 0 Aug/29/19 Aug/13/20
Description: Applied Materials (AMAT) 0100-00084 PCB, CAP SENSOR AMP
roundtable1 Used - $599.00 1 Sep/01/19 Feb/01/21
Description: Applied Materials 0240-44801 & 0100-77033 PCB ASSEMBLY, WAFER LOSS BOARD
zindchau15 Used - $400.00 0 Sep/03/19 Oct/23/21
Description: AMAT APPLIED MATERIALS 0010-21740 TC AMP 300mm
capitolareatech Used - $699.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-31874 PANEL SHIELD A & C POSITION SYSTEM CONTROL
capitolareatech Used - $350.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 1080-00132 Driver Servo Amp SIGMA MINI 20W 24VDC DIGI
capitolareatech Used - $695.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0010-20138 ASSY TC AMP HSNG
capitolareatech Used - $315.04 0 Sep/05/19 Sep/20/19
Description: Applied Materials AMAT 0010-21740 Assembly TC AMP 300MM
getspares.com_sparesllc09 Used - $739.67 1 Sep/12/19 Jun/29/20
Description: 0010-20138 / ASSY TC AMP HSNG / APPLIED MATERIALS AMAT
smartelektronikgmbh Used - $500.00 0 Sep/18/19 Nov/10/20
Description: APPLIED MATERIALS ASSY TC AMP PRECISION 5000, 0100-09279 0090-09145 REV A
pdcsystems NEW - $225.00 0 Sep/23/19 Jun/07/20
Description: Applied Materials AMAT 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
j316gallery Used - $2,000.00 0 Sep/24/19 Oct/01/19
Description: 7353 APPLIED MATERIALS ROTATION UPPER AND LOWER BEARING 0020-39360 & 0020-39361
getspares.com_sparesllc09 Used - $1,500.00 0 Sep/26/19 Dec/03/19
Description: 810-495659-304 / BICEP ESC PWR SUPPLY W HEATER FILTER & ESC FILTER BII / LAM
getspares.com_sparesllc09 Used - $5,500.00 0 Oct/03/19 Nov/18/20
Description: 0010-01831 / ASSY TC AMP LOW TEMP BESC / APPLIED MATERIALS
j316gallery Used - $2,000.00 1 Oct/03/19 Apr/03/20
Description: 16244 APPLIED MATERIALS ASSY BWCVD THROT VLV 100 125 & 150MM 0010-09035
bobsgoodies2 Used - $199.00 1 Oct/09/19 Aug/28/20
Description: AMAT 0090-20036, 0020-70343 Vexta PX245M-02AA 2Ø Stepping Motor & Bracket
getspares.com_sparesllc09 Used - $144.95 0 Oct/10/19 Sep/08/22
Description: 0100-09109 / PCB ASSEMBLY K-TYPE TC AMP (AD565CQ-ND)/ APPLIED MATERIALS AMAT
sx-space Used - $5,366.98 0 Oct/21/19 May/31/21
Description: Lam RESEARCH 810-099175-011 Used & Tested with warranty Free DHL or EMS
maxisemi1349 NEW - $395.00 3 Oct/23/19 Apr/01/21
Description: 0045-09003 LEAD SCREW & NUT, WAFER & SUSCEPTOR LIFT
spsglobal Used - $20.00 0 Oct/24/19 Sep/06/20
Description: 142-0702// AMAT APPLIED 0150-20583 CABLE ASSY, MTR LIFT CH 1 & 2 USED
ptb-sales Used - $720.00 0 Oct/25/19 Nov/14/19
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
20041014625pm Used - $795.00 0 Nov/03/19 Dec/01/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
ptb-sales Used - $720.00 0 Nov/14/19 Dec/03/19
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
skus092 Used - $1,200.00 0 Nov/16/19 Jan/07/20
Description: APPLIED MATERIALS PCB WATER LEAK DETECTOR 0100-20097 W/ TC AMP INTLOCK 0100-1805
cosplity Used - $1,800.00 2 Nov/19/19 Feb/25/20
Description: AMAT MIRRA CMP 2PHASE DRIVER ASSY ( 0870-01008 x3 & 0020-75428 x1 )
spsglobal NEW - $1,300.00 1 Nov/24/19 Feb/13/20
Description: 308-0101// AMAT APPLIED 0040-20033 ADAPTOR,CONV,VENT,& CRYO XFR 2ND SOURCE NEW
gesemiconductor Used - $125.00 1 Nov/25/19 Sep/08/20
Description: LAM Research 810-017008-001 PCB Temperature Sensor AMP Board
spsglobal Used - $80.00 2 Nov/28/19 Mar/27/20
Description: 125-0103// AMAT APPLIED 0020-21468 CLAMP SHIELD 5 & 6" USED
20041014625pm Used - $795.00 0 Dec/01/19 Dec/20/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
ptb-sales Used - $720.00 0 Dec/03/19 Feb/13/20
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
dom0808 Used - $82.50 0 Dec/04/19 Feb/11/22
Description: Lam Research Cable 853-177447-011 CA AMP VLV POSN MONITOR 853-177447-011
capitolareatech Used - $344.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0100-00084 PCB ASSY CAPACITIVE SENSOR AMP
capitolareatech Used - $895.00 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0010-21566 ASSY, SLIT VALVE VAT DOOR & MOUNT
capitolareatech Used - $999.95 0 Dec/07/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-21748 HOOP, PRECLEAN 5" & 6"
capitolareatech Used - $759.95 0 Dec/07/19 Aug/13/20
Description: Applied Materials (AMAT) 0500-01014 HENRY ELECTRONICS 9600-0200 LINEAR AMP
dom0808 Used - $152.90 0 Dec/09/19 Feb/11/22
Description: Lam Research Cable 853-177447-010 CA,SIG,AMP VL69A,VL167, POSN MONITOR
dom0808 Used - $152.90 0 Dec/10/19 Feb/11/22
Description: Lam Research Cable 853-177447-008 CA,SIG,AMP VL55,VL89,POSN MONITOR
capitolareatech Used - $299.95 1 Dec/20/19 Jun/20/20
Description: Applied Materials (AMAT) 0100-09007 Phase & Magnitude Detector PCB
20041014625pm Used - $795.00 0 Dec/20/19 Dec/24/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Used - $99.00 0 Dec/24/19 Dec/31/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
capitolareatech Used - $379.95 0 Dec/30/19 Aug/13/20
Description: Applied Materials (AMAT) 3620-90100 PUMP CRYO JUNCTION BOX & CONN'
jollyg00d Used - $32.00 1 Jan/01/20 Apr/27/21
Description: SWAGELOK NUPRO 6LV-DAFR4-P-C VALVE & BRACKET AMAT APPLIED MATERIALS 3870-01734
20041014625pm Refurbished - $1,395.00 0 Jan/03/20 Jan/12/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
capitolareatech Used - $39.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials (AMAT) 0010-09550 ASSY,LAMP MODULE HOSE SUPPLY & RETURN
capitolareatech Used - $399.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials (AMAT) 0010-00215 PHASE & MAGNITUDE DETECTOR ASSY.
capitolareatech NEW - $1,995.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials (AMAT) 0010-37266 PLASMA CHUCK ASSY 200MM, THICK CABLE & T/C
20041014625pm Refurbished - $1,395.00 0 Jan/12/20 Jan/22/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
capitolareatech NEW - $59.95 0 Jan/15/20 Aug/13/20
Description: Applied Materials (AMAT) 0060-76035 LABE SYS CONT & AC RACK (PACK OF 100)
athomemarket NEW - $63.89 0 Jan/20/20 Mar/18/21
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
20041014625pm Refurbished - $1,395.00 0 Jan/22/20 Feb/03/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
getspares.com_sparesllc09 Used - $39,053.00 0 Jan/22/20 Mar/04/21
Description: EPO810AF5-05, 1080-00054 / MIRRA MEGATORQUE DRIVE AMAT SET MOTOR & DRIVE / AMAT
benad24 Used - $93.59 0 Jan/22/20 Jun/10/20
Description: Amat Applied Materials Akt 0100-71331 Rev.1 Dc Power Distribution & Interlock
surplus2012 NEW - $1,200.00 0 Jan/23/20 Jan/28/20
Description: Applied Materials Stepper Controller Driver Board 0100-20173 1.7 AMP NIB
capitolareatech Used - $164.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 1200-01189 RELAY CONT 30 AMP 220 VOLT 24VDC COIL 
capitolareatech NEW - $1,695.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0240-32336 KIT, LARGE FRAME REMOTE ELECT & EV. LIQ
capitolareatech NEW - $89.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 1400-01197 SNSR AMP FIBER OPTIC 12-BIT PROCESSOR
20041014625pm Refurbished - $1,395.00 0 Feb/03/20 Feb/16/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
ptb-sales Used - $648.00 1 Feb/13/20 Jun/09/20
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
atxdeals4u Used - $500.00 0 Feb/14/20 Oct/26/21
Description: AMAT Applied Materials 0090-03805 Chilled Pedestal Amp
20041014625pm Refurbished - $4,300.00 0 Feb/14/20 Feb/17/20
Description: Lam Research Deltron 11482XA 660-091821-001 +/-15 & +5 VDC POWER SUPPLY REFUR
20041014625pm Refurbished - $895.00 0 Feb/16/20 Feb/20/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $4,300.00 0 Feb/17/20 Feb/20/20
Description: Lam Research Deltron 11482XA 660-091821-001 +/-15 & +5 VDC POWER SUPPLY REFUR
usedeqsales Used - $652.20 0 Feb/17/20 Aug/25/22
Description: AMAT Applied Materials 0100-00454 TPS Backplane Board PCB AMP 46-406121-01 Spare
ptb-sales Scrap, for parts - $1,200.00 0 Feb/19/20 Feb/20/20
Description: Applied Materials P5000 & P5200 CVD AMAT 0190-35867 A Diaphragm Pump
dom0808 Used - $286.00 0 Feb/20/20 Feb/11/22
Description: Lam Research BRKT,SERVER/DA BOARD,AMP SNSR 714-066274-010
20041014625pm Refurbished - $4,300.00 0 Feb/20/20 Feb/25/20
Description: Lam Research Deltron 11482XA 660-091821-001 +/-15 & +5 VDC POWER SUPPLY REFUR
20041014625pm Refurbished - $895.00 0 Feb/20/20 Mar/02/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $4,300.00 0 Feb/25/20 Mar/02/20
Description: Lam Research Deltron 11482XA 660-091821-001 +/-15 & +5 VDC POWER SUPPLY REFUR
capitolareatech NEW - $7.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials (AMAT) 0060-09025 LABEL FM5 PANEL & SCREEN, 5000 MAINFRAME.
20041014625pm Refurbished - $4,300.00 0 Mar/02/20 Mar/03/20
Description: Lam Research Deltron 11482XA 660-091821-001 +/-15 & +5 VDC POWER SUPPLY REFUR
20041014625pm Refurbished - $895.00 0 Mar/02/20 Mar/03/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
goodpeople_com Used - $385.00 0 Mar/05/20 Oct/07/21
Description: 0100-00241 TC AMP INTERLOCK 300XZ (AMAT)
20041014625pm Refurbished - $4,300.00 0 Mar/09/20 Mar/22/20
Description: Lam Research 660-091821-001 Deltron 1482XA +/-15 & +5 VDC Power Supply Refurbish
j316gallery Used - $3,489.65 0 Mar/12/20 Feb/17/22
Description: 16373 APPLIED MATERIALS ASSY, TC AMP 300MM 0010-21740
20041014625pm Refurbished - $4,300.00 0 Mar/22/20 Apr/06/20
Description: Lam Research 660-091821-001 Deltron 1482XA +/-15 & +5 VDC Power Supply Refurbish
20041014625pm Refurbished - $4,300.00 0 Apr/06/20 Apr/27/20
Description: Lam Research 660-091821-001 Deltron 1482XA +/-15 & +5 VDC Power Supply Refurbish
happy_dragon_ong Used - $888.00 1 Apr/17/20 May/12/20
Description: APPLIED MATERIALS AMAT / 0100-00396 & 0100-76124 / PCB, ANALOG I/O ASSY
20041014625pm Refurbished - $4,300.00 0 Apr/27/20 May/19/20
Description: Lam Research 660-091821-001 Deltron 1482XA +/-15 & +5 VDC Power Supply Refurbish
happy_dragon_ong Used - $888.00 1 May/18/20 Jun/18/20
Description: APPLIED MATERIALS AMAT / 0100-01885 REV 001 & 0100-76124 / PCB, ANALOG I/O ASSY
j316gallery Used - $150.00 0 May/18/20 Jul/02/20
Description: 18664 APPLIED MATERIALS CABLE ASSY, 30CM & 2M 0140-22285
athomemarket Used - $25.19 0 May/18/20 Jul/16/21
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
20041014625pm Refurbished - $4,300.00 0 May/19/20 Jun/11/20
Description: Lam Research 660-091821-001 Deltron 1482XA +/-15 & +5 VDC Power Supply Refurbish
semilune Used - $2,500.00 0 May/20/20 Sep/20/20
Description: AMAT PART NUMBER 0100-20173 PCB ASSY, STEPPER CONTROLLER 1.7 AMP DRI
wuvtownseller2011 Used - $5,525.00 0 May/23/20 Jun/02/20
Description: AMAT ENDURA MAGNETIC ROBOT HUB & ARM 0240-75090 - APPLIED MATERIALS SEMI PART
wuvtownseller2011 Used - $5,500.00 0 May/26/20 May/27/20
Description: AMAT ENDURA MAGNETIC VHP ROBOT HUB & ARM 0240-75090 APPLIED MATERIALS SEMI PART
wuvtownseller2011 Used - $4,800.00 1 Jun/02/20 Oct/15/20
Description: AMAT ENDURA MAGNETIC VHP ROBOT HUB & ARM 0240-75090 APPLIED MATERIALS SEMI PART
j316gallery Used - $139.59 0 Jun/04/20 Jan/04/23
Description: 18978 APPLIED MATERIALS HOUSING, TC AMP 0020-10758
j316gallery Used - $2,617.50 1 Jun/09/20 Feb/17/21
Description: 19079 APPLIED MATERIALS ASSY MERC SHFT & SEAL DD VA, 0010-36828 0010-92438
benad24 Used - $101.05 0 Jun/10/20 Mar/02/21
Description: AMAT Applied Materials AKT 0100-71331 Rev.1 Dc Power Distribution & Interlock
20041014625pm Refurbished - $4,300.00 1 Jun/11/20 Jun/22/20
Description: Lam Research 660-091821-001 Deltron 1482XA +/-15 & +5 VDC Power Supply Refurbish
gophersales NEW - $18,777.00 1 Jun/11/20 Jul/14/20
Description: AMAT Applied Materials 0010-13625 0010-70271 ASSY Weldment Heater 6" & ASSY 101
esprprts NEW - $35.00 0 Jun/13/20 Jan/27/21
Description: Applied Materials 0090-07580 Remote Access Relay Box & Power Supply
usedeqsales Used - $3,578.41 1 Jun/16/20 Aug/04/20
Description: Novellus Systems 02-408299-00 Robot Controller SIGMA 5 AMP Working Surplus
20041014625pm Refurbished - $1,595.00 0 Jun/17/20 Jun/26/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
storemanager-2009 Refurbished - $7,900.00 0 Jun/19/20 Oct/20/20
Description: AMAT 0010-13627 HIGH EFFICIENCY MATCH REFURBISHED & CALIBRATED
expertsurplus NEW - $175.00 2 Jun/22/20 May/19/21
Description: AMAT, 0050-08618, Adapter, Baratron with Shutter @ 2 & 4 MI, New
20041014625pm Refurbished - $1,595.00 0 Jun/26/20 Jul/05/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
pdcsystems NEW - $225.00 0 Jun/30/20 Mar/18/21
Description: Applied Materials AMAT 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
bobsgoodies2 Used - $195.00 1 Jun/30/20 Jun/30/20
Description: AMAT 0020-34449 Lambda UBJ2MNP-1930 Ultraflex Power Supply 600W, 15 & 24 VDC
getspares.com_sparesllc09 Used - $739.67 1 Jul/02/20 Jul/04/20
Description: 0010-20138 / ASSY TC AMP HSNG / APPLIED MATERIALS AMAT
20041014625pm Refurbished - $1,595.00 0 Jul/05/20 Jul/10/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
getspares.com_sparesllc09 Used - $739.67 0 Jul/09/20 Jul/29/20
Description: 0010-20138 / ASSY TC AMP HSNG / APPLIED MATERIALS AMAT
20041014625pm Refurbished - $1,595.00 0 Jul/10/20 Jul/14/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
bobsgoodies2 Used - $225.00 1 Jul/11/20 Jul/13/20
Description: 3690-03269 Applied Materials Bearing Lead Screw & Nut Assembly (Lot of 3)
20041014625pm Refurbished - $1,595.00 0 Jul/14/20 Jul/20/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $1,595.00 0 Jul/20/20 Jul/28/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $1,595.00 0 Jul/28/20 Aug/12/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
powersell007 Used - $799.00 0 Aug/04/20 Dec/04/23
Description: APPLIED MATERIALS 1270-03142 SW FLOW .5GPM NO 3/8T FTG W/ AMP CONN AMAT *2 UNITS
getspares.com_sparesllc09 Used - $739.67 0 Aug/04/20 Jun/04/23
Description: 0010-20138 / ASSY TC AMP HSNG / APPLIED MATERIALS AMAT
lonestar_tech NEW - $785.00 0 Aug/05/20 Oct/04/20
Description: Lam Research P/N: 853-090221-001 / AutoEtch Gap Lead Screw & Sprocket / NEW
capitolareatech NEW - $13.75 0 Aug/08/20 Aug/13/20
Description: Applied Materials (AMAT) 0950-90183 IC VLTG TO FRQ. & FRQ TO VLTG CNVRTR
capitolareatech NEW - $62.63 0 Aug/08/20 Aug/13/20
Description: Applied Materials (AMAT) 0720-90616 RECEPTACLE-230 AMP B TYP
capitolareatech NEW - $11.32 0 Aug/08/20 Aug/13/20
Description: Applied Materials (AMAT) 0720-01082 AMP 9767-14-6 CONNCABLE CLAMP WATERPROOF 12-
capitolareatech NEW - $92.87 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-00367 SW PRESS, ABS, 10TORR, 24VDC, AMP CONN
20041014625pm Refurbished - $895.00 0 Aug/12/20 Aug/19/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
techshop7777 NEW - $75.00 1 Aug/13/20 Apr/26/21
Description: AMAT P/N : 0040-22360 , BRACKET, ISO AMP MODULE
capitolareatech NEW - $180.95 0 Aug/17/20 Jul/03/24
Description: Lam Research (LAM) 853-370393-001 Harness Assembly, Amp Sensor
20041014625pm Refurbished - $895.00 0 Aug/19/20 Aug/31/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $895.00 0 Sep/03/20 Sep/10/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
getspares.com_sparesllc09 NEW - $625.62 0 Sep/04/20 Sep/23/20
Description: 0200-40126 / BLADE 6 & 8" THIN ROBOT,W/O POCKET / APPLIED MATERIALS AMAT
20041014625pm Refurbished - $895.00 0 Sep/10/20 Sep/13/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $895.00 0 Sep/13/20 Sep/16/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $895.00 0 Sep/16/20 Sep/27/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $895.00 0 Sep/27/20 Oct/01/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $895.00 0 Oct/01/20 Oct/11/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
katsu9202408 Used - $475.95 0 Oct/02/20 Jan/21/21
Description: Lam Research PCB ASSY PULSE SAMPLE & HOLD 810-048219-201 ,714-064712-012
20041014625pm Refurbished - $895.00 1 Oct/11/20 Oct/14/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
getspares.com_sparesllc09 Used - $1,100.82 1 Oct/27/20 Nov/19/20
Description: 0010-09750 / RF MATCH P5000 CVD WITH AMP 0020-09357 / APPLIED MATERIALS AM
j316gallery Used - $666.60 0 Oct/27/20 May/26/22
Description: 21638 APPLIED MATERIALS ASSY PWB, L.S.DIST., 7 AMP 0100-09179
j316gallery Used - $119.00 0 Oct/27/20 Apr/22/21
Description: 21660 LAM RESEARCH PCB, TEMP SENSOR AMP BOARD 810-017008-001
usedeqsales Scrap, for parts - $3,255.60 1 Nov/10/20 Dec/17/20
Description: ASTeX Applied Science & Technology AX8200A Ozone Generator AMAT 0190-09437 As-Is
getspares.com_sparesllc09 Used - $1,100.82 0 Nov/20/20 Mar/19/21
Description: 0020-09357 0010-09750/RF MATCH P5000 CVD WITH AMP 0020-09357/APPLIED MATERIALS
spsglobal Used - $50.00 0 Nov/30/20 Jun/18/23
Description: 350-0203// AMAT APPLIED 0040-22360 BRACKET, ISO AMP MODULE [2ND SOURCE]
lab-ind-opt Used - $3.00 0 Dec/06/20 Dec/11/20
Description: Applied Materials 3800-01022 RGLTR Air Press 5-100PSG 1/8W Gauge & NU
getspares.com_sparesllc09 Used - $274.68 1 Dec/23/20 Jan/13/21
Description: 0200-09224 / ADAPTER RING GIANT GAP NITRIDE 4,5, & 6" / APPLIED MATERIALS AMAT
timweb64 Used - $297.00 0 Jan/14/21 Mar/15/21
Description: 1 APPLIED MATERIALS MODULE 0020-10482 C MAC & 1 FLUID OVERFILL BD ASSY 010009131
pneumatplus10 NEW - $49.90 1 Feb/07/21 Feb/08/21
Description: Applied Materials 3410-01034 Tool Kit Installation Cryo Pump & Compressor^95581
myriadindustrial NEW - $59.32 1 Feb/21/21 Mar/16/21
Description: ESL Sentrol 449CSRT Smoke & Heat Detector AMAT Applied Materials 1400-01220
crootaman Used - $9,000.00 0 Mar/02/21 Mar/04/21
Description: 685-093578-003 Lam Research ISI Controller & CPU
autoquip7 NEW - $375.00 0 Mar/03/21 Jul/25/22
Description: 0100-00563, PCB ASSEMBLY TC AMP INTERLOCK PCB, APPLIED MATERIAL (AMAT)
crootaman Used - $9,000.00 0 Mar/04/21 Mar/18/21
Description: 685-093578-003 Lam Research ISI Controller & CPU
getspares.com_sparesllc09 Used - $1,100.82 1 Mar/24/21 Aug/08/21
Description: 0020-09357 0010-09750/RF MATCH P5000 CVD WITH AMP 0020-09357/APPLIED MATERIALS
banyanteam NEW - $485.00 1 Apr/01/21 Apr/14/21
Description: Varian 04-719362-01 TFS PCB Wafer Detr Assy W/MA3-4 Mod Photoelectric Amp
tiggy-tubby Used - $1.99 0 Apr/04/21 Apr/14/21
Description: Sony Alpha 7R III 42.4MP Mirrorless Camera w/ Sony VG-C3EM Battery Grip & Extras
banyanteam NEW - $485.00 1 Apr/20/21 May/11/21
Description: Varian 04-719362-01 TFS PCB Wafer Detr Assy W/MA3-4 Mod Photoelectric Amp
farmoninc Used - $3,900.00 0 May/10/21 May/18/23
Description: AMAT 0010-20157 Heater No. 4 Lift Assy, 0010-20138 TC Amp, SMC Cylinder, 100845
psi_repair_services Used - $1.00 0 May/14/21 May/04/23
Description: APPLIED MATERIALS TC AMP MODEL: 0090-02830 REPAIR EVALUATION
psi_repair_services Used - $1.00 0 May/14/21 May/04/23
Description: APPLIED MATERIALS AMP MODEL: 0020-10758 REPAIR EVALUATION
visionsemi Used - $1,000.00 0 Jun/16/21 Jun/24/21
Description: CTI CRYOGENICS ON BOARD IS CONTROLLER 18-26 VDC 1 AMP MAX 8186187G001
capitolareatech Used - $2,195.95 1 Jul/03/21 Jun/01/22
Description: AMAT 0100-20173 ASSYPCB STEPPER CONTROLLER 17 AMP DRI
capitolareatech Used - $945.95 0 Jul/03/21 Dec/22/22
Description: Applied Materials (AMAT) 0010-09292 ASSY, TC AMP HOUSING GENERIC
lagpat NEW - $2,700.00 1 Jul/10/21 Nov/04/21
Description: 0190-14177 MAG LEV AMP SEONSORS
athomemarket Used - $25.19 0 Jul/19/21 Aug/05/21
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
usedeqsales Used - $758.21 0 Sep/07/21 Apr/13/23
Description: AMP 1252152-1 SRC-LF RF Cable FC38RL Novellus Systems 03-103583-00 New Surplus
katiil3 Used - $299.00 1 Sep/10/21 Oct/23/21
Description: AMAT/Applied Materials 0100-20012 Isolation Amp PCB
j316gallery Used - $600.00 0 Sep/22/21 Apr/08/22
Description: 5680 APPLIED MATERIALS PCB - MCVD DUAL TC AMP INTERLOCK BOARD 0100-00426
j316gallery Used - $300.00 0 Sep/30/21 Apr/08/22
Description: 26348 APPLIED MATERIALS PCB, MCVD DUAL TC AMP INTERLOCK BOARD (PARTS) 0100-00426
capitolareatech NEW - $89.95 0 Oct/20/21 Feb/07/22
Description: Applied Materials (AMAT) 0100-00084 PCB CAP SENSOR AMP (PARTS/NOT WORKING)
j316gallery Used - $1,100.00 0 Oct/27/21 Mar/23/22
Description: 26418 APPLIED MATERIALS PCB, TC ISO AMP W/ TC FILTER ESC 0100-02689 0100-02844
spsglobal Used - $550.00 0 Dec/07/21 Jun/18/23
Description: 130-0102// AMAT APPLIED 0010-20138 ASSY TC AMP HSNG [2ND NEW]
farmoninc Used - $5,500.00 0 Jan/08/22 Apr/05/22
Description: AMAT 0010-09750 CVD RF Match, AMP MODULE, 103037
farmoninc Used - $3,500.00 0 Jan/08/22 Mar/16/22
Description: AMAT 0010-09750 RF MATCH CVD, AMP MODULE, P5000 PHASE IV, 103035
farmoninc Used - $3,500.00 0 Jan/08/22 Mar/16/22
Description: AMAT 0010-09750 RF MATCH CVD, AMP MODULE, P5000 PHASE IV, 103034
farmoninc Used - $9,500.00 0 Jan/08/22 Jun/15/23
Description: Brooks 110884 Arm, ATR7, Rear Tube Map, Omron Amp, Novellus 63-266699-00, 321212
farmoninc Used - $2,000.00 0 Jan/08/22 Nov/07/23
Description: AMAT 0021-09947 LIFT HEATER INTERLOCK HOUSING,TC AMP,DXZ, AMAT 0100-35160,103046
farmoninc Used - $2,000.00 0 Jan/08/22 Nov/07/23
Description: AMAT 0021-09947 LIFT HEATER INTERLOCK HOUSING,TC AMP,DXZ, AMAT 0100-35160,103045
farmoninc Used - $950.00 0 Jan/08/22 Jun/15/23
Description: AMAT 0021-09947 LIFT HEATER INTERLOCK HOUSING,TC AMP,DXZ, AMAT 0100-35265,103044
farmoninc Used - $3,500.00 0 Jan/15/22 Mar/16/22
Description: AMAT 0010-09750 RF MATCH CVD, AMP MODULE, P5000 PHASE IV, DC BIAS, 103182
liquiditech Used - $71.10 0 Jan/16/22 May/09/23
Description: Applied Materials 0140-62097 Harness Assy,,Ccdc Intfc#3 Blkhd to Amp 1-4,Olympia
farmoninc Used - $3,500.00 0 Feb/07/22 Mar/16/22
Description: AMAT 0010-09750 RF MATCH CVD, AMP MODULE 0020-09357, P5000 PHASE IV, 103496
farmoninc Used - $3,500.00 1 Feb/07/22 Mar/15/22
Description: AMAT 0010-09750 RF MATCH CVD, AMP MODULE 0020-09357, P5000 PHASE IV, 103497
oregon-pete Used - $499.00 1 Feb/12/22 Mar/07/22
Description: AMAT Applied Materials 0100-35217 TC Amp Interlock Board
j316gallery Used - $435.63 0 Feb/23/22 May/24/23
Description: 27371 APPLIED MATERIALS PCB, TC AMP INTLK, ULTIMA 0100-18058
farmoninc Used - $7,500.00 0 Mar/14/22 May/26/22
Description: AMAT 0010-09750 ASSY CVD RF Match, P3, AMP MODULE 0020-09357, DC BIAS, 104086
farmoninc Used - $7,500.00 1 Mar/21/22 Oct/26/22
Description: AMAT 0010-09750 CVD RF Match, AMP MODULE, 104148
farmoninc Used - $7,500.00 0 Mar/22/22 Nov/16/22
Description: AMAT 0010-09750 CVD RF Match, AMP MODULE, 104155
usedeqsales Used - $5,003.22 0 Mar/23/22 Jun/15/23
Description: Sony 4S085-291 AMP Box of BZ-T25 for Laserscale LC_BX_LS1 Nikon NSR FX-601F
farmoninc Used - $7,500.00 0 Mar/23/22 Nov/16/22
Description: AMAT 0010-09750W CVD RF Match, AMP MODULE, 0010-09750 104156
farmoninc Used - $5,500.00 0 Mar/24/22 Apr/06/22
Description: AMAT 0010-09750 CVD RF Match, AMP MODULE 0020-09357, 104178
farmoninc Used - $5,500.00 0 Mar/24/22 Apr/06/22
Description: AMAT 0010-09750W CVD RF Match, AMP MODULE 0020-09357, 0010-09750, 104177
athomemarket Used - $99.99 0 Mar/31/22 Apr/07/22
Description: AEG Advanced Energy Thyro-A 1 Amp 230V Thyristor Power Controllers w/Heatsink
micntahoe Used - $800.00 1 Apr/05/22 Apr/27/22
Description: Matsusada Precision HPZT-.018PX6-NK (2X 4S008-212 HV AMP) LVPS Nikon NSR
farmoninc Used - $25,500.00 0 Apr/08/22 Jan/13/23
Description: AMAT 0020-70376 CHAMBER ORIENTER/DEGAS, AMAT 0010-20138 TC AMP, 104241
micntahoe NEW - $450.00 2 Apr/11/22 Aug/19/22
Description: NIKON 4S005-150 (TEMP AMP) NSR
athomemarket Used - $34.97 0 Apr/11/22 Jun/08/23
Description: AEG Advanced Energy Thyro-A 1 Amp 230V Thyristor Power Controllers w/Heatsink
farmoninc Used - $250.00 0 Apr/21/22 Apr/20/23
Description: AMAT 0100-09109 PCB ASSY K-TYPE TC AMP, 105123
vizvik16 NEW - $70.00 1 May/19/22 Oct/06/22
Description: Applied Materials 0090-02138 PHOTOELECTRIC SENSOR AMP, 300MM L-DOOR
farmoninc Used - $3,500.00 0 May/26/22 May/15/23
Description: AMAT 0010-09750 CVD RF MATCH, P5000, AMAT AMP MODULE 0020-09357, 105974
farmoninc Used - $5,500.00 0 May/26/22 Jul/19/23
Description: AMAT 0010-09750 CVD RF MATCH, P5000, AMAT AMP MODULE 0020-09357, 105975
farmoninc Used - $750.00 0 Jun/06/22 Oct/12/23
Description: AMAT 0040-09008 AMP FRAME, VACUUM PORT SLIT WIN, 0020-31282 Hinge VCD, 106285
visionsemi Used - $300.00 0 Jun/07/22 Feb/01/23
Description: AMAT APPLIED MATERIALS CHILLED PEDESTAL AMP 0090-03805 REV 002
tolleyshops Used - $395.00 0 Jul/19/22 Aug/19/22
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
tolleyshops Used - $395.00 0 Jul/19/22 Aug/19/22
Description: AMAT 0020-10135 AMP 046 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
farmoninc Used - $1,750.00 0 Jul/28/22 Mar/02/23
Description: AMAT 0020-30797 PERFORATED PLATE AMP PARK SHOWER HEAD, 108452
vizko2017 Used - $2,500.00 0 Aug/25/22 Mar/20/23
Description: Nikon 4S587-624 Amplifier AVIS1 ERG AMP SEA141A 4S013-374-2 NSR-S205C
vizko2017 Used - $450.00 3 Aug/25/22 Apr/22/23
Description: Asahi 130NK 3-1A AVIS1 ERG AMP Nikon 4S587-016-1 4S013-374 NSR-S205C, 4S587-627A
tolleyshops Used - $395.00 0 Aug/31/22 Sep/30/22
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
tolleyshops Used - $395.00 0 Aug/31/22 Oct/31/22
Description: AMAT 0020-10135 AMP 046 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
farmoninc Used - $25,500.00 0 Sep/22/22 Jan/13/23
Description: AMAT 0020-70376 CHAMBER ORIENTER/DEGAS, AMAT 0010-20138 TC AMP, 110027
tolleyshops Used - $395.00 0 Sep/30/22 Oct/30/22
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
farmoninc Used - $1,050.00 0 Oct/04/22 May/31/23
Description: AMAT 0100-00663 ASSEMBLY PCB ,TC AMP INTERLOCK, 110322
j316gallery Used - $150.00 0 Oct/05/22 Jan/04/23
Description: 29969 APPLIED MATERIALS PCB, DUAL TC AMP INTERLOCK CVD (PARTS) 0100-03389
powersell007 Used - $1,199.00 1 Oct/11/22 May/11/23
Description: COPLEY CONTROLS 800-1536 AMAT 0190-24676 DRIVER SERVO AMP SINGLE AXIS 18A - NEW
powersell007 Used - $11,999.00 0 Nov/24/22 Jan/02/23
Description: NIKON SPA431B 4S587-241 LINEAR MOTOR CONTROLLER WX AMP *UNUSED*
tolleyshops Used - $395.00 0 Jan/23/23 Feb/23/23
Description: AMAT 0020-10135 AMP 046 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
tolleyshops Used - $395.00 0 Jan/23/23 Feb/23/23
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
cosplity NEW - $1,500.00 0 Jan/31/23 May/31/23
Description: AMAT 0190-51712 S5 AMP 200V 100W DNET CPY EXT , NEW
cosplity Used - $950.00 0 Feb/01/23 May/31/23
Description: AMAT 0190-51712 S5 AMP 200V 100W DNET CPY EXT, USED
cosplity NEW - $2,000.00 0 Feb/01/23 May/31/23
Description: NOVELLUS 34-382419-00 AMP, SERVO, 750W, 200V, ROTARY, BASE MNT, SIGM
dgold32 Used - $299.99 1 Feb/28/23 Apr/19/23
Description: AMAT APPLIED MATERIALS HEATED LID AMP 0090-03805 REV 003
dgold32 Used - $299.99 1 Feb/28/23 Jan/09/24
Description: AMAT APPLIED MATERIALS CHILLED PEDESTAL AMP 0090-03805 REV 03
farmoninc Used - $5,500.00 0 Apr/07/23 Apr/08/23
Description: AMAT 0040-09091 CHAMBER LID P5000 AMP E, AMAT 0020-10727 C TEOS COVER, 104800
yiannisshop NEW - $15.00 0 Apr/30/23 Aug/25/23
Description: 29 Fuses AMAT 0910-01129 littelfuse 217002 2 AMP 29 pieces for $15 free shipping
yiannisshop NEW - $20.00 0 Apr/30/23 Aug/25/23
Description: 50 Fuses AMAT 0910-01018 littelfuse 251004 4 AMP $27 free shipping
yiannisshop NEW - $20.00 5 Apr/30/23 Aug/25/23
Description: 10 Fuses AMAT 0910-01393 littelfuse ATQR4 4 Amp $20 free shipping
yiannisshop NEW - $20.00 4 Apr/30/23 Aug/25/23
Description: 10 Fuses AMAT 0910-01188 littelfuse FNQR1 1 Amp $22 free shipping
katiil3 Used - $599.00 1 May/03/23 Nov/03/23
Description: Applied materials AMAT 0010-21740 ASSY, TC AMP 300MM
tolleyshops Used - $395.00 0 May/05/23 Jun/05/23
Description: AMAT 0020-10135 AMP 046 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
tolleyshops Used - $395.00 0 May/05/23 Jun/05/23
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
usedeqsales Used - $606.23 0 Jun/05/23 Jun/09/23
Description: AMAT Applied Materials 0100-02955 Dual TC Amp PCB 300mm PVD Pre-Clean 0090-06839
athomemarket Used - $34.97 0 Jun/08/23 Jan/10/24
Description: AEG Advanced Energy Thyro-A 1 Amp 230V Thyristor Power Controllers w/Heatsink
getspares.com_sparesllc09 Used - $739.67 0 Jun/13/23 Sep/18/23
Description: 0010-20138 / ASSY TC AMP HSNG / APPLIED MATERIALS AMAT