[click to login]
WSEMI


TAGS > and

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
Used 6
in stock
$100.00
Description: NEW AMAT 0010-04000 KIT, flow booster, regulater and fittings and bracket RG0005
farmoninc
[view on eBay]
NEW 3
in stock
$100.00
Description: NEW AMAT 0240-89075 Kit, temp cal rotation lock, 0240-89452 and mounting screw
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: NEW AMAT 0010-25424 I Set of water supply and return hoses
farmoninc
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0090-91890 Gas and temperature control chassis with certificate
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0040-94181 FLAG, 100, 125 and 150mm VA
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 1270-90160 Flow Switch 100L/H, 3/4 BSP, male and meter
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: new AMAT 0090-90435 assy. transformer and fuse
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0980-00017 KYBD small size 86 key PS/2 and AT
farmoninc
[view on eBay]
Used 8
in stock
$7,900.00
Description: AMAT 0010-03372 WxZ Heater Assembly, 8 inch, New in Box and papers
farmoninc
[view on eBay]
Used 1
in stock
$7,900.00
Description: AMAT 0010-03332 WxZ Heater Assembly, 8 inch, new in Box and papers
farmoninc
[view on eBay]
Used 2
in stock
$7,900.00
Description: AMAT 0010-03371 WxZ Heater Assembly, 8 inch, New in Box and papers
farmoninc
[view on eBay]
NEW 1
in stock
$99.00
Description: NEW AMAT 0190-12375 Hose Assembly, 1/2 Seal LOK and 1/2 Hose Yello
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: SMC Cold fluid outlet and return BES-7192-10 AMAT 0190-18329 #2
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0190-18329 SMC Cold fluid outlet and return BES-7192-10
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0226-97934 thermal fluid outlet and return Heat Exchanger hose, QD fittting
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0140-04810 thermal fluid outlet and return hose with QD fittings
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0150-04267 ASSEMBLY, WLS HOLDER AND CABLE, WORKING
farmoninc
[view on eBay]
NEW 1
in stock
$562.50
Description: AMAT 0140-09336 Harness Ampule Level Sensors and TC's
grandbirdnet
[view on eBay]
Used 1
in stock
$99.00
Description: AMAT 0140-09042 HARNESS,SLIT,I/O, AND STOR ELEVATOR , USED
farmoninc
[view on eBay]
NEW 20
in stock
$22.50
Description: AMAT 0020-09785 COMB CENTERFINDER CALIBRATION 5 AND 6 I 400074
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-90825 2G.J8/3D.J23 and 2G.J8/3D.J7, AMAT 0150-91424
farmoninc
[view on eBay]
NEW 1
in stock
$7.50
Description: AMAT 3060-01286 Ball and Socket 6mm 402358
auctionrus
[view on eBay]
Used 1
in stock
$245.00
Description: AMAT 0040-75373 Plate, RTP Chamber "A" and "B" Return, 407015
auctionrus
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0040-75373 Plate, RTP Chamber "A" and "B" Return, 407014
usedeqsales
[view on eBay]
Used 1
in stock
$508.15
Description: THK KR2602A+111L0E-100B Linear Slide and Coupling AMAT 0190-34122 New
auctionrus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-04923 H/A Serial Com And Carrier ID PDO T, 411031
auctionrus
[view on eBay]
NEW 3
in stock
$1,300.00
Description: AMAT 0240-99486 ION GAGUE AND CABLE, RETROFIT KIT. 411055, 411056, 411057.
auctionrus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0240-33172 PIK, ORING DSGD, MxP, LID AND GAS BYPASS. 411089
auctionrus
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0150-02602 FIC E84 Pods C and D, 411504
bms-semicon
[view on eBay]
Used 1
in stock
$699.90
Description: LAM GAS BOX I/O AND INTERLOCK BOARD 810-707054-001 REV E3
auctionrus
[view on eBay]
Used 1
in stock
$245.00
Description: AMAT 0150-75036 Cable Assy, 75FT, Ozonator PCB And 5000, Harness, 413837
auctionrus
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT 0140-00326 Harness Assembly, Bakeout And Heater Endura 414103
auctionrus
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0140-20286, Cable, Harness Assembly, Chamber 1 and 4 AC. 414411
gigabitpartsolutions
[view on eBay]
NEW 7
in stock
$825.00
Description: Tool AMAT 0090-03720 300mm Assembly High Precision Sensors and Bracket
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$27.50
Description: Kit (AMAT) 0242-43085 DC AND RF POWER FASTENERS, 300MM EQPT
ecomicron
[view on eBay]
Used 1
in stock
$650.00
Description: 0140-70230, AMAT, HARNESS TB2 S.E. AND ENCODER
ecomicron
[view on eBay]
Used 1
in stock
$1,100.00
Description: 0140-18041, AMAT, HARNESS DRIVER, ENCODER AND HOME SEN
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0090-00154, Pendant and Cable, Controller, Telemecanique XAC-A205. 416424
artsemi
[view on eBay]
NEW 10
in stock
$1,450.00
Description: 15-110411-00 Window, Sapphire, for Novellus Concept 1 and Concept 2 Sequel Altus
artsemi
[view on eBay]
NEW 10
in stock
$995.00
Description: 15-032014-00 Window, Quartz, Etch Resistant, Novellus Concept 1 and Concept 2
farmoninc
[view on eBay]
Used 1
in stock
$2,900.00
Description: AMAT 0060-76188 PVD Endura Front Display Panel, light pen and key board, 416511
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT 0150-02601 Rev.001, FIC E84 PODS A and B, T9. 417696
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0150-02601 Rev.001, FIC E84 PODS A and B. 417665
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT 0150-02601 FIC E84 PODS A And B, 417773
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-53732 Housing CCM and DC Power Supply, 418434
farmoninc
[view on eBay]
Used 1
in stock
$10,000.00
Description: AMAT 0240-31726 USG PLIS Pallet w/ Valves and Injectors, Weldment, CH, 420017
farmoninc
[view on eBay]
Used 1
in stock
$187.50
Description: AMAT 0100-09007 Phase and Magnitude Detector Board, PCB, FAB 0110-09007, 420410
farmoninc
[view on eBay]
Used 5
in stock
$40.00
Description: AMAT 0030-00219 Pedestal Ring, Textured Top and Mid, 150mm, 420555
farmoninc
[view on eBay]
Used 1
in stock
$95.00
Description: 2 AMAT 0030-00219 Pedestal Ring, textured Top and Mid, 150mm, 420553
farmoninc
[view on eBay]
Used 1
in stock
$45.00
Description: AMAT 0140-09028 Assembly Harness Elevator Home and Comb, 420810
farmoninc
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0040-30354 Vacuum Foreline and Flange, 420972
farmoninc
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0040-30354 Vacuum Foreline and Flange, 420971
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 136-0601// AMAT APPLIED 0242-76618 CONT. MOD. VENT KIT A,B, AND C USED
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 136-0601// AMAT APPLIED 0010-76952 ASSY, IHC CHAMBER A, B, AND C, USED
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 136-0601// AMAT APPLIED 0010-76952 (#1) ASSY, IHC CHAMBER A, B, AND C, NEW
j316gallery
[view on eBay]
Used 2
in stock
$1,325.05
Description: 8992 APPLIED MATERIALS SIDE PLATE LEFT AND RIGHT CMP FA, 300MM 0040-54799
j316gallery
[view on eBay]
NEW 31
in stock
$546.68
Description: 9136 APPLIED MATERIALS PLATE, WEIGHT DISPERSION, SST AND NBR (NEW) 0021-39670
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 342-0102// AMAT APPLIED 0190-02909 BRACKET ADO TRAY SWITCH AND LI NEW
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 136-0601// AMAT APPLIED 0010-76952 (#2) ASSY, IHC CHAMBER A, B, AND C, NEW
surpluskorea
[view on eBay]
Used 12
in stock
$9,999.00
Description: LAM 853-040482-301 and LAM 853-040482-600 RF MATCH
spsglobal
[view on eBay]
Used 3
in stock
$320.00
Description: 146-0101// AMAT APPLIED 0010-36377 NESLAB HOSE ASSY (SUPPLY AND R USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 143-0702// AMAT APPLIED 0140-09042 HARNESS,SLIT,I/O, AND STOR ELEVATOR USED
spsglobal
[view on eBay]
Used 2
in stock
$30.00
Description: 143-0702// AMAT APPLIED 0140-09028 ASSY HARNESS ELEVATOR HOME AND COMB USED
spsglobal
[view on eBay]
Used 4
in stock
$80.00
Description: 141-0203// AMAT APPLIED 0150-10405 CABLE, ASSY., MFC AND 5000 SYS USED
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 316-0403// AMAT APPLIED 0010-75571 ASSY, LEFT HAND PIVOT AND BEARING, EXT R NEW
spsglobal
[view on eBay]
Used 8
in stock
$20.00
Description: 344-0102// AMAT APPLIED 0020-30533 SCREW, SUSCEPTOR AND WAFER LIF NEW
vizvik16
[view on eBay]
NEW 1
in stock
$250.00
Description: Applied Materials 0230-02883 ENDURA ESIP TA(N) W/SLTESC AND SHUTTER CHAMBER MANU
usedeqsales
[view on eBay]
Used 16
in stock
$903.12
Description: AMAT Applied Materials 0100-94078 Argon/Oxygen Bleed and Charge Monitor PCB Used
j316gallery
[view on eBay]
Used 2
in stock
$1,263.64
Description: 14784 APPLIED MATERIALS SUPPORT ROTATION HOUSING AND BEARING 0020-36017
j316gallery
[view on eBay]
Used 1
in stock
$557.60
Description: 14433 APPLIED MATERIALS COUNTER BALANCE TOP AND BASE 0021-04974
roundtable1
[view on eBay]
NEW 1
in stock
$599.00
Description: Applied Materials 0020-39701 CLAMP, WINDOW ,Net dimensions and weight , 210*23
usedeqsales
[view on eBay]
Used 1
in stock
$911.19
Description: AMAT Applied Materials 0100-91145 Argon/Oxygen Bleed and Charge Monitor PCB Card
usedeqsales
[view on eBay]
Used 2
in stock
$2,458.53
Description: AMAT Applied Materials Wrist and Blade Assembly 0040-03667 0021-76773 Centura
j316gallery
[view on eBay]
Used 1
in stock
$2,352.46
Description: 16600 APPLIED MATERIALS SUPPORT ROT HOUSING AND BEARING 0020-36017
j316gallery
[view on eBay]
NEW 31
in stock
$423.07
Description: 17021 APPLIED MATERIALS PLATE, WEIGHT DISPERSION, SST AND NBR (NEW) 0021-39670
usedeqsales
[view on eBay]
Used 10
in stock
$304.20
Description: AMAT Applied Materials 0100-91032 PSU and Shorting Micro Discharge PCB Issue B
semiconusa
[view on eBay]
Used 1
in stock
$45,599.00
Description: AMAT 0010-75004, 0010-75005, LEFT RIGHT NB LOADLOCK CHAMBER AND DOOR ASSY
gophersales
[view on eBay]
Used 2
in stock
$510.00
Description: AMAT Applied Materials 0242-85133 Kit Gas Flow and Gas Name Label
expertsurplus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT, 0040-20112, Roghing Line Turbo and Cooldown #2, New
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0010-09120 ASSY HEATSINK AND MOTOR, USED
expertsurplus
[view on eBay]
NEW 4
in stock
$150.00
Description: AMAT, 0040-13357, Adapter, Pressure Gauge, STD And H2O, Mixed, New
j316gallery
[view on eBay]
Used 1
in stock
$630.82
Description: 19474 APPLIED MATERIALS PCB, CENTERFINDER SENSOR BD 5, 6 AND 8" 0100-09146
farmoninc
[view on eBay]
Used 1
in stock
$1,895.00
Description: AMAT 0060-35276 Throttle Valve Assy w/ Housing and Plate, DPS, Chamber, 329825
capitolareatech
[view on eBay]
Used 4
in stock
$222.95
Description: Applied Materials (AMAT) 0150-10405 CABLE, ASSY., MFC AND 5000 SYSTEM OZONE
capitolareatech
[view on eBay]
Used 2
in stock
$229.95
Description: Applied Materials 0150-10405 Cable, Assy. MFC and 5000 System Ozone
expertsurplus
[view on eBay]
Used 8
in stock
$250.00
Description: AMAT, Line N2 Check Valve and Filter ICP, 0050-00740, Used
maxisemi1349
[view on eBay]
Used 1
in stock
$650.00
Description: 0020-04095 LEXAN RING (W/O LABYRINTH) 4, 5, AND 6 INCH
smartelektronikgmbh
[view on eBay]
Used 1
in stock
$290.00
Description: 0040-75731 / TRAY, IO AND PNEUMATIC CENTURA CH FAC
us-dealway
[view on eBay]
NEW 1
in stock
$235.00
Description: New and Sealed PRESSURE TRANSDUCER SQ97598 AMAT P/N 0090-77067
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,800.00
Description: AMAT 0190-63786 BALL SCREW AND NUT LOT OF 2, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$8,500.00
Description: 0190-17884 / MP ULTRA ROBOT, ARM AND WOB SENSOR KIT / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$235.20
Description: 0242-85133 / KIT GAS FLOW AND GAS NAME LABELS / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,500.68
Description: 04-716801-01 / INERT CHAMBER FURNACE AND RF GENERATOR / NOVELLUS
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: 2 AMAT 0051-01713 Gas Manifold Assy w/ Fujikin and Tescom Valves, 453836
banyanteam
[view on eBay]
Used 1
in stock
$34.00
Description: Lam Research Type 746-000218-001 Lam Exit And Entrance Arm Bearing (Pack Of 4)
grandbirdnet
[view on eBay]
NEW 7
in stock
$2,000.00
Description: NOVELLUS 02-433654-00 ASSY,SPIN MTR AND RU CLAMP, DCLM,SBR-3D, NEW
capitolareatech
[view on eBay]
NEW 1
in stock
$2,295.95
Description: Applied Materials (AMAT) 0040-31846 COVER, ACCESS, HELIUM AND WATER LINES
capitolareatech
[view on eBay]
NEW 1
in stock
$999.95
Description: Applied Materials (AMAT) 0010-02177 ASSY, RH, PIVOT AND BEARING, 300MM ROBOT
capitolareatech
[view on eBay]
NEW 1
in stock
$995.95
Description: APPLIED MATERIALS (AMAT) 0010-02176 ASSY, LH, PIVOT AND BEARING, 300MM ROBOT
capitolareatech
[view on eBay]
Used 2
in stock
$1,495.95
Description: Applied Materials (AMAT) 0010-75571 ASSY, LEFT HAND PIVOT AND BEARING, EXT R
capitolareatech
[view on eBay]
NEW 7
in stock
$899.95
Description: Applied Materials (AMAT) 0010-09120 ASSY HEATSINK AND MOTOR
capitolareatech
[view on eBay]
Used 1
in stock
$389.95
Description: Applied Materials (AMAT) 0150-09164 CABLE ASSY L.S. ANALOG AND DIGITAL
usedeqsales
[view on eBay]
Used 1
in stock
$3,058.21
Description: Lam Research 853-000577-001 Phase and Magnitude Detector 810-008582-001 Working
mattron747
[view on eBay]
Used 1
in stock
$1,250.00
Description: Applied Materials 0190-07847 PCB Video SBS with 0190-07910 and 0190-07909
elisblackbur-0
[view on eBay]
NEW 1
in stock
$1,874.99
Description: AMAT 0041-87536 BLOCKER PLATE AND PARTS LOT SEE DESCRIPTION
capitolareatech
[view on eBay]
NEW 1
in stock
$149.95
Description: APPLIED MATERIALS (AMAT) 0140-20286 HARNESS ASSY CHAMBER 1 AND 4 AC
j316gallery
[view on eBay]
Used 1
in stock
$1,228.70
Description: 27782 APPLIED MATERIALS PCB ASSY, SMOKE AND WATER LEAK DET 0100-00611
cosplity
[view on eBay]
NEW 1
in stock
$1,000.00
Description: AMAT 0240-40922 KIT, FACILITIES, 200MM CLEANER CAPS AND PLUGS
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0190-13035 LAMP, BAKEOUT WITH 2.5INCH LEADS AND LUGS, 105294
cosplity
[view on eBay]
Used 1
in stock
$1,700.00
Description: AMAT 0190-01759 BALL SCREW AND NUT W/ MOUNT&CLAMP
40-30sourcing
[view on eBay]
NEW 1
in stock
$399.00
Description: SET of 32 Aeroquip dust cap and dust plug
40-30sourcing
[view on eBay]
Used 1
in stock
$1,270.00
Description: CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE Supply and Return Line 30 ft
40-30sourcing
[view on eBay]
Used 12
in stock
$829.00
Description: CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE Supply and Return Line 10 ft
farmoninc
[view on eBay]
NEW 1
in stock
$10.00
Description: 2 AMAT 0720-01843 CONN RTNG CLIP and 4-40 SCREW, 109025
visionsemi
[view on eBay]
Used 10
in stock
$400.00
Description: AMAT APPLIED MATERIALS PHASE AND MAGNITUDE DETECTOR BD ASSY 0100-09302 REV 005
visionsemi
[view on eBay]
Used 12
in stock
$400.00
Description: AMAT 0100-03536 APPLIED MATERIALS PHASE AND MAGNITUDE DETECTOR BOARD ASSEMBLY
farmoninc
[view on eBay]
Used 1
in stock
$165.00
Description: AMAT 0150-10405 CABLE, ASSY., MFC AND 5000 SYSTEM OZONE, 108883
adelrick123
[view on eBay]
Used 1
in stock
$2,400.00
Description: AMAT 0100-00446 Gas Panel Ctrl Backplane PCB,comes With Cable and Card Cage
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$4,330.02
Description: 0021-04921 / COIL ELECTRA 1-4 , COPPER OVER AND UNDER / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0020-70336 HP ROBOT WING + ARM AMAT 0020-20390, ARM AND WING SET, 110781
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0020-70336 HP ROBOT WING + ARM AMAT 0020-20390, ARM AND WING SET, 110780
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: 2 AMAT 0100-09203 PHASE AND MAGNITUDE DETECTOR PCB, 110933
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0020-35032 HP ROBOT ARM 0020-35033 HP ROBOT WING LEFT AND RIGHT, 111325
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$902.56
Description: 0010-02171 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
cubit001
[view on eBay]
NEW 1
in stock
$2,000.00
Description: Amat Platen Motor Pulley and Bushing Assy 0015-77195 Applied Materials
sgcequipment
[view on eBay]
Used 1
in stock
$417.00
Description: Lam Research ASSY Manifold and Valve - Gate PN: 853-001341-001
gteprimo
[view on eBay]
Used 1
in stock
$61.20
Description: AMAT Applied Materials 0100-00692 0120 Gas and Oven Motherboard Inkl. Rechnung
gteprimo
[view on eBay]
Used 1
in stock
$81.60
Description: AMAT Applied Materials 0100-00980 0120 Gas and Oven Motherboard Inkl. Rechnung
tina_lee
[view on eBay]
Used 2
in stock
$13,500.00
Description: 0190-76091 NSK Megatorque Motor SSB014FN517 and 536 for AMAT VHP Robot
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$5,998.56
Description: 8033235 /CRYO TORR CTI 8R ANSI ROUGH LINE AND DIODE CONN/ CTI CRYOGENICS 8R PUMP
bigg.logistics101
[view on eBay]
NEW 1
in stock
$599.99
Description: APPLIED MATERIALS EMO SWITCH AND INTER-CIRCUIT ASSY W/GUARD 0090-00423
cosplity
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0010-19227 ASSEMBLY, LH PIVOT AND BEARING, 300MM CR
cosplity
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0010-19228 ASSY, RH PIVOT AND BEARING, 300MM CR ROB
farmoninc
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0100-09203 PHASE AND MAGNITUDE DETECTOR PCB, 114548
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0100-20418 PCB ASSEMBLY, VME POWER FILTER AND DISTR, 114693
grandbirdnet
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0190-04681 ROTARY UNION AND SLIP RING ASSY, 300MM, USED
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,136.12
Description: 0021-17409 / FACEPLATE COMMON BD-BLOK AND HMASK LOWK /APPLIED MATERIALS AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$1,504.23
Description: AMAT Applied Materials 0050-00381 Foreline Bellows with TC and Helium Dump New
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,408.03
Description: 853-491585-001 /TURBO UPPER AND LOWER ELECTRODE TEMP MODULE/ LAM RESEARCH CORP.
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,200.00
Description: 810-048219-004 / PULSE PWR SAMPLE AND HOLD ASSY / LAM RESEARCH
j316gallery
[view on eBay]
Used 2
in stock
$1,990.15
Description: 5223 APPLIED MATERIALS PCB, CENTERFINDER SENSOR BD 5, 6 AND 8" 0100-76088
cosplity
[view on eBay]
Used 1
in stock
$6,000.00
Description: AMAT 0010-06800 ASSY, FLOWMETER, HPR1 AND HPR2, DI WATER, MIRRA
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0020-41331 RING, ROOF AND DOME COOLING, 116559
farmoninc
[view on eBay]
Used 1
in stock
$1,195.00
Description: AMAT 0227-64615 75 SS Supply And Return Hose Assy, 0010-36557, 119179
farmoninc
[view on eBay]
NEW 1
in stock
$562.50
Description: AMAT 0010-36236 ASSY, RGA PORT, DXZ AND UNIV PE-TEOS, CENTURA, NOR-CAL, 119739
cosplity
[view on eBay]
Used 1
in stock
$13,500.00
Description: AMAT 0190-04681 ROTARY UNION AND SLIP RING ASSY, 300MM
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-30547 Cover VME Controller And System Electron, 120863
visionsemi
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT APPLIED MATERIALS 0020-20125 HEATER AND THERMOCOUPLE
yiannisshop
[view on eBay]
Used 1
in stock
$288.50
Description: 0010-70162 SLIT VALVE ACTUATOR 5000 One Looks Good One Not So Goo Sale AS LOT

This tag has been viewed 10 times

Most recent views:

United States of America Friday, Oct/18/2024 at 12:50 am CST
Netherlands Friday, Oct/18/2024 at 12:46 am CST
Taiwan (Province of China) Friday, Oct/18/2024 at 12:44 am CST
Taiwan (Province of China) Friday, Oct/18/2024 at 12:43 am CST
China Friday, Oct/18/2024 at 12:43 am CST
Singapore Friday, Oct/18/2024 at 12:41 am CST
United States of America Friday, Oct/18/2024 at 12:41 am CST
Singapore Friday, Oct/18/2024 at 12:32 am CST
Korea (Republic of) Friday, Oct/18/2024 at 12:32 am CST
Korea (Republic of) Friday, Oct/18/2024 at 12:31 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
avagohc Used - $600.00 0 Oct/14/11 Mar/21/13
Description: HP3852A Data Acquisition and Control Unit
bobsgoodies NEW - $125.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
surplusa2z Scrap, for parts - $15,000.00 0 Dec/08/12 Dec/18/12
Description: QTY.2 TAZMO NT12402 ROBOTS WITH CONTROL AND DRIVER BOXES c
starbillias Used - $20,500.00 0 Dec/11/12 Dec/18/12
Description: VTEK TM4400 SMD Taping Machine Tape and Reel V-tek SMT PCB
starbillias Used - $725.00 0 Dec/11/12 Dec/18/12
Description: Hover Davis MPF02-88 Feeders Pick and Place SMT PCB
castingequip Used - $6,999.00 0 Dec/19/12 Jan/18/13
Description: Shimadzu TMP-2203 LM with Valve and Controllers
bobsgoodies Used - $2,877.00 0 Dec/20/12 Jan/19/13
Description: AMAT 0010-70264 Endura Magnet Coupled HP Wafer Robot Driver (Upper and Lower)
bobsgoodies Used - $695.00 0 Dec/21/12 Jan/20/13
Description: MIRRA AMAT 0090-77347 Spindle Drive Motor, Brake, and Encoder MCG 2284-MEB3710
bobsgoodies Used - $2,300.00 0 Jan/02/13 Feb/01/13
Description: AMAT 0010-07702 Yaskawa Servo Drive Cabinet W/14 Drives and Power Supplies
bobsgoodies NEW - $3,100.00 0 Jan/02/13 Feb/01/13
Description: AMAT 0010-07702 Yaskawa Servo Drive Cabinet W/14 Drives and Power Supplies
mynhung2006 Used - $12,000.00 1 Dec/17/12 Jan/01/13
Description: ZAVATECH PM570-L PICK AND PLACE MACHINE
usedeqsales Used - $104.56 1 Sep/07/12 Jan/06/13
Description: Baldor Motor and Gear Head GP7304 Used Working
electronicswest NEW - $100.00 1 Dec/29/12 Jan/08/13
Description: Asyst Technologies 3200-1225-05 Spartan 300I Controller 70ns POP E84 and Vacuum
bobsgoodies NEW - $199.00 1 Dec/11/12 Jan/09/13
Description: OnTrack 12-8882-020 DC Motor with encoder and cable assy, Pittman GM9413J818.
bobsgoodies NEW - $10.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0021-06284 and 0021-76009, Spacer
bobsgoodies NEW - $495.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0021-77478 0021-79238 HCLU Flow Switches and Brackets 0090-77136 Flow Sw
bobsgoodies Scrap, for parts - $150.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0100-38029 Assy PK266M-02A Motor, Drive, slide and screw assembly coupled
bobsgoodies NEW - $125.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
bobsgoodies Used - $199.00 0 Jan/14/13 Feb/13/13
Description: AMAT 0020-28315 & 0090-20105 Sensor and Bracket Shutter Enclosure SM312CV2
usedeqsales Used - $2,501.13 2 Jan/08/13 Jan/10/13
Description: Daihen AGA-50B2-V RF Generator and DGP-120A2-V DC Power Supply untested as-is
intertecmodulestore NEW - $12,999.00 0 Jan/14/13 Feb/13/13
Description: AMAT 0010-25060 MEGASONIC PLATE, CHAMFERED AND RF MATCH
exper-tech NEW - $9.99 1 Jan/10/13 Jan/17/13
Description: MKS HPS Angle Valve w/ NW40 and NW50 Ports lam research 839-32123-002
candustrial Used - $400.00 0 Jan/18/13 Sep/06/13
Description: JDS Uniphase Laser power supply 2112A-4SLBK and Laser JDS uniphase 2212-4SLBK
starbillias Used - $725.00 0 Jan/18/13 Jan/25/13
Description: Hover Davis MPF02-88 Feeders Pick and Place SMT PCB
bobsgoodies Used - $1,677.00 4 Jan/21/13 Jan/23/13
Description: AMAT 0010-70264 Endura Magnet Coupled HP Wafer Robot Driver (Upper and Lower)
capitolareatech NEW - $2,450.00 0 Sep/29/11 Jun/20/13
Description: LAM 853-012261-00 KIT, GATE DRIVE INNER AND O-RINGS
hitech.man Used - $76.00 0 Feb/26/12 Aug/11/23
Description: RTD, with Shielded cable and connector TEL / Varian P/N 036-100179-1
capitolareatech NEW - $63.75 21 Oct/28/10 Jan/19/13
Description: VERIFLOW REG WITH GAG AND PAN MOU 1/4 FPT IR400ISKPBX4B
bobsgoodies Used - $695.00 0 Jan/22/13 Feb/21/13
Description: MIRRA AMAT 0090-77347 Servo Drive Motor, Brake, and Encoder MCG 2284-MEB3710
bobsgoodies NEW - $255.00 2 Jan/22/13 Mar/06/13
Description: AMAT 0010-75571 0010-75572 Robot Pivot and Bearing L & R Assy Kaydon 15896000
bobsgoodies Used - $300.00 0 Jan/28/13 Feb/27/13
Description: AMAT 0010-40155 Assy Wafer Detector Sensor With and Without Bracket (Lot of 3)
electro-glas Used - $250.00 3 Jan/31/13 Mar/01/13
Description: LOT of 4 ELECTROGLAS Theta Z Joystick FunctionAssy 251732-002 And 003 Boards
bobsgoodies NEW - $3,100.00 0 Feb/01/13 Mar/03/13
Description: AMAT 0010-07702 Yaskawa Servo Drive Cabinet W/14 Drives and Power Supplies
bobsgoodies Used - $2,300.00 1 Feb/01/13 Feb/09/13
Description: AMAT 0010-07702 Yaskawa Servo Drive Cabinet W/14 Drives and Power Supplies
alphatronique Used - $550.00 1 Jan/02/13 Feb/01/13
Description: USED Zevatech PM460 Pick and Place Complete and working HEAD
capitolareatech NEW - $35.00 1 Jan/29/13 Jan/31/13
Description: SMARTHEAT STSS-RM3E CORD AND SOLDER HAND PIECE
ifstech NEW - $150.00 0 Feb/02/13 May/18/13
Description: Applied Materials Finger And Hardware Kit 0240-30107
bobsgoodies NEW - $10.00 0 Feb/11/13 Mar/07/13
Description: Applied Materials Silver Plated Stainless Steel Fasteners 3690-01955 and others
bobsgoodies Used - $450.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0190-09487Pneumatic Manifold and 0020-34454 Bracket
bobsgoodies NEW - $495.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0021-77478 0021-79238 HCLU Flow Switches and Brackets 0090-77136 Flow Sw
intertecmodulestore NEW - $12,999.00 0 Feb/14/13 Mar/16/13
Description: AMAT 0010-25060 MEGASONIC PLATE, CHAMFERED AND RF MATCH
bobsgoodies NEW - $125.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
great!steam NEW - $295.00 1 Feb/15/13 Feb/22/13
Description: Applied Materials AMAT Throttle Valve and Reducer Box p/n 0010-09035 & 0010-0911
bobsgoodies Used - $199.00 0 Feb/15/13 Mar/17/13
Description: AMAT 0020-28315 & 0090-20105 Sensor and Bracket Shutter Enclosure SM312CV2
semiguy2596 Used - $35.00 0 Feb/18/13 Mar/20/13
Description: SM31ELMHS-40380 and AMAT 0090-76014
bobsgoodies Used - $695.00 0 Feb/23/13 Mar/18/13
Description: MIRRA AMAT 0090-77347 Servo Drive Motor, Brake, and Encoder MCG 2284-MEB3710
smartelektronikgmbh NEW - $300.00 0 Mar/03/13 Jun/15/16
Description: AMAT 0040-75731 // TRAY, IO AND PNEUMATIC, CH FAC
bobsgoodies Used - $300.00 0 Mar/04/13 Mar/18/13
Description: AMAT 0010-40155 Assy Wafer Detector Sensor With and Without Bracket (Lot of 3)
bobsgoodies NEW - $3,100.00 1 Mar/04/13 Mar/25/13
Description: AMAT 0010-07702 Yaskawa Servo Drive Cabinet W/14 Drives and Power Supplies
bobsgoodies NEW - $10.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials Silver Plated Stainless Steel Fasteners 3690-01955 and others
bobsgoodies NEW - $10.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0021-06284 and 0021-76009, Spacer
bobsgoodies NEW - $495.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0021-77478 0021-79238 HCLU Flow Switches and Brackets 0090-77136 Flow Sw
bobsgoodies Scrap, for parts - $150.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0100-38029 Assy PK266M-02A Motor, Drive, slide and screw assembly coupled
bobsgoodies Used - $450.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0190-09487Pneumatic Manifold and 0020-34454 Bracket
bobsgoodies NEW - $125.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
bobsgoodies Used - $300.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0010-40155 Assy Wafer Detector Sensor With and Without Bracket (Lot of 3)
bobsgoodies Used - $695.00 0 Mar/18/13 Apr/17/13
Description: MIRRA AMAT 0090-77347 Servo Drive Motor, Brake, and Encoder MCG 2284-MEB3710
bobsgoodies Used - $199.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0020-28315 & 0090-20105 Sensor and Bracket Shutter Enclosure SM312CV2
fa-parts Used - $320.00 0 Mar/18/13 Mar/19/23
Description: Applied Materials AMAT CENTERFIDER SENSOR BOARD 5,6 AND 8 INCH 0100-00086 REV J
fa-parts Used - $230.00 3 Mar/18/13 Aug/01/19
Description: Applied Materials AMAT CENTERFIDER SENSOR BOARD 5,6 AND 8 INCH 0100-09146 REV B
usedeqsales Used - $703.13 0 Mar/19/13 Oct/18/18
Description: AMAT 0040-77316 copper exposed robot alignment fixture and manifold used
semiguy2596 Used - $0.99 0 Mar/20/13 Mar/27/13
Description: No reserve!!! OTF sensor SM31ELMHS-40380 and AMAT 0090-76014
intertecmodulestore NEW - $9,999.00 0 Apr/01/13 May/01/13
Description: AMAT 0010-25060 MEGASONIC PLATE, CHAMFERED AND RF MATCH
semiguy2596 Used - $0.99 0 Apr/03/13 Apr/10/13
Description: No reserve!!! OTF sensor SM31ELMHS-40380 and AMAT 0090-76014
bobsgoodies Used - $325.00 0 Apr/03/13 May/03/13
Description: AMAT 0680-50075 Fuji Electric EL90PO Earth Leakage Relay and Current Sensor
bobsgoodies NEW - $10.00 0 Apr/09/13 May/09/13
Description: Applied Materials Silver Plated Stainless Steel Fasteners 3690-01955 and others
bobsgoodies NEW - $125.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
bobsgoodies Used - $199.00 0 Apr/17/13 May/17/13
Description: AMAT 0020-28315 & 0090-20105 Sensor and Bracket Shutter Enclosure SM312CV2
bobsgoodies Used - $695.00 0 Apr/17/13 May/17/13
Description: MIRRA AMAT 0090-77347 Servo Drive Motor, Brake, and Encoder MCG 2284-MEB3710
bobsgoodies Used - $300.00 0 Apr/17/13 May/17/13
Description: AMAT 0010-40155 Assy Wafer Detector Sensor With and Without Bracket (Lot of 3)
bobsgoodies Used - $325.00 0 May/07/13 May/17/13
Description: AMAT 0680-50075 Fuji Electric EL90PO Earth Leakage Relay and Current Sensor
intertecmodulestore NEW - $9,999.00 0 May/07/13 Jun/07/13
Description: AMAT 0010-25060 MEGASONIC PLATE, CHAMFERED AND RF MATCH
bobsgoodies NEW - $10.00 0 May/10/13 May/17/13
Description: Applied Materials Silver Plated Stainless Steel Fasteners 3690-01955 and others
bobsgoodies NEW - $10.00 0 May/17/13 Jul/09/13
Description: Applied Materials Silver Plated Stainless Steel Fasteners 3690-01955 and others
bobsgoodies Used - $450.00 0 May/17/13 Jul/09/13
Description: AMAT 0190-09487Pneumatic Manifold and 0020-34454 Bracket
bobsgoodies Scrap, for parts - $150.00 0 May/17/13 Jul/09/13
Description: AMAT 0100-38029 Assy PK266M-02A Motor, Drive, slide and screw assembly coupled
bobsgoodies NEW - $67.00 0 May/21/13 Jun/20/13
Description: Applied Materials 0090-40011 Assy, Banner S18SN6L and Angle Bracket Range 2M
jojoequip NEW - $250.00 0 May/24/13 Jul/17/13
Description: APPLIED MATERIALS AMAT 0090-00154 PENDANT AND CABLE - NEW
bobsgoodies Used - $225.00 0 May/30/13 Jul/09/13
Description: Applied Materials 0010-09120 HEAT SINK AND MOTOR ASSEMBLY, THROTTLE DRIVE
intertecmodulestore NEW - $9,999.00 0 Jun/06/13 Jul/06/13
Description: AMAT 0010-25060 MEGASONIC PLATE, CHAMFERED AND RF MATCH
bobsgoodies NEW - $325.00 0 Jun/07/13 Jul/09/13
Description: AMAT 0021-77478 0021-79238 HCLU Flow Switches and Brackets 0090-77136 Flow Sw
farmoninc NEW - $3,500.00 1 Jun/19/13 Dec/29/17
Description: AMAT 0242-11215 Kit, Ergo arm with flat panel and keyboard
capitolareatech NEW - $472.50 1 Jun/19/13 Jun/20/13
Description: AMAT 0100-01985 ASSY, PCB EWOB AND OTF/CENTERFINDER
bobsgoodies NEW - $67.00 0 Jun/21/13 Jul/09/13
Description: Applied Materials 0090-40011 Assy, Banner S18SN6L and Angle Bracket Range 2M
capitolareatech NEW - $11.00 1 Jun/21/13 Oct/07/13
Description: AMAT 0090-20003 SENSOR ASSY,VALVE SENSOR AND HARNESS
odysseystudios NEW - $4,900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0242-43051 Rev 1 Kit, SWLL Purge Gaslines and EQ to ATM
intertecmodulestore NEW - $9,999.00 0 Aug/23/13 Sep/22/13
Description: AMAT 0010-25060 MEGASONIC PLATE, CHAMFERED AND RF MATCH
sdifabsurplus_usa NEW - $15.00 0 Sep/05/13 Oct/14/13
Description: AMAT 0720-01843 CONN CLIP and 4-40 SCREW
used1eqsales Used - $705.15 0 Sep/11/13 May/26/17
Description: AMAT Argon Oxygen Bleed and Charge Monitor Circuit 0100-94078 AMAT Quantum
farmoninc Used - $3,700.00 0 Sep/16/13 Jul/15/20
Description: AMAT CPI-VMO chamber 0010-27455 with motor, feedthroughs and Driver module
capitolareatech NEW - $1,350.00 0 Sep/17/13 Feb/24/14
Description: Novellus 15-127251-00 AIN-PEC AND CONCEPT 3 SPEED INJECTOR SET (36 PIECES)
usedeqsales Used - $2,009.13 1 Sep/18/13 Feb/07/14
Description: Quality Transformer And Electronics 8453 Transformer AMAT 0190-23160 Used
usedeqsales Used - $1,004.57 0 Sep/18/13 Dec/18/13
Description: Quality Transformer And Electronics 6656 Transformer AMAT 0190-20024 Rev. C Used
usedeqsales Used - $1,004.57 0 Sep/18/13 Dec/18/13
Description: Quality Transformer And Electronics 6656 Transformer AMAT 0190-20024 Rev. B Used
usedeqsales Used - $754.57 0 Sep/18/13 Dec/18/13
Description: Quality Transformer And Electronics 6656 Transformer AMAT 0190-20024 Rev. D Used
sparepartssolution Used - $300.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09120 ASSY HEATSINK AND MOTOR USED
sparepartssolution NEW - $1,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-17406 ASSY, LH, PIVOT AND BEARING, 300MM ROBOT NEW
keykorea Refurbished - $4,000.00 1 Oct/17/13 Dec/19/13
Description: AMAT 0010-01712 ASSY, OUTER MAGNETS AND TUBE, HP ROBOT, Refurbished
bobsgoodies Used - $215.00 0 Oct/25/13 Jun/17/14
Description: AMAT 1200-01148 Fuji Electric EL90PO Earth Leakage Relay and Current Sensor
paulchou2000sourceprocess Used - $55,000.00 0 Oct/29/13 Nov/05/13
Description: AMAT widebody loadlocks 0240-70935 and 0240-70936
paulchou2000sourceprocess Used - $55,000.00 0 Nov/05/13 Nov/12/13
Description: AMAT widebody loadlocks 0240-70935 and 0240-70936
capitolareatech NEW - $7.50 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0250-37857 Instructions CHX Mounting and Installment
capitolareatech NEW - $13.47 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10919 Shaft, Wafer and Susceptor Lift
capitolareatech NEW - $17.55 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-26852 Cover Ring and Adapter
semipart NEW - $400.00 0 Dec/14/13 Jan/13/14
Description: AMAT 0090-02980 MOTOR BRAKE ENCODER ASSY, New and Sealed
semipart Used - $150.00 0 Dec/21/13 Jan/20/14
Description: AMAT 3870-01215 Pneumatic Angle Valve. Used, Rebuilt and Working
bobsgoodies Used - $259.00 0 Dec/23/13 Jun/17/14
Description: AMAT D8TMI100 Emissivity Sensor and Ceramic Mount Applied Materials 0090-35778
pohyh Used - $3,500.00 0 Dec/30/13 Jun/11/14
Description: 1080 (2) AMAT 0242-27091 KIT, THROTTLING GATE VALVE, 200MM, W CONTROLLER AND CAB
farmoninc Used - $750.00 0 Jan/04/14 Oct/14/19
Description: SMC Cold fluid outlet and return BES-7192-10 AMAT 0190-18329
semipart NEW - $400.00 0 Jan/13/14 Feb/12/14
Description: AMAT 0090-02980 MOTOR BRAKE ENCODER ASSY, New and Sealed
wideerp01 Used - $2,499.99 0 Jan/16/14 Mar/11/14
Description: Lam Research Chamber and Bottom Plate 715-440547-001 B,853-441972-001-D-262
semipart Used - $150.00 1 Jan/20/14 Jan/28/14
Description: AMAT 3870-01215 Pneumatic Angle Valve. Used, Rebuilt and Working
usedeqsales NEW - $7,002.14 1 Feb/06/14 Feb/16/14
Description: NSK 0870-01064 Megatorque Motor And Driver AMAT 1080-00036 New
semipart NEW - $400.00 1 Feb/12/14 Feb/27/14
Description: AMAT 0090-02980 MOTOR BRAKE ENCODER ASSY, New and Sealed
farmoninc Used - $750.00 1 Feb/19/14 Oct/30/15
Description: AMAT 0100-09967 P5000 CVD tool Wafer Position Sensor Assy with Sensors and Mount
semipart Used - $175.00 1 Feb/25/14 Feb/26/14
Description: AMAT 3870-01215 Pneumatic Angle Valve. Used, Rebuilt and Working
wideerp01 Used - $2,199.99 0 Mar/25/14 Sep/29/14
Description: Lam Research Chamber and Bottom Plate 715-440547-001 B,853-441972-001-D-262
xltechtexas NEW - $399.00 4 Mar/28/14 Oct/01/15
Description: AMAT P5000 CENTURA DXZ HEATER CONNECTOR used on 0150-38915 and others
sagatron-inc Used - $499.99 1 Apr/08/14 Apr/08/14
Description: AMAT 0100-01985 / ASSY, PCB EWOB AND OTF/CENTERFINDER Applied Materials
usedeqsales Used - $3,504.14 1 Apr/15/14 Apr/17/14
Description: NSK RZ0810FN507 Megatorque Motor And Driver AMAT 0190-14344 Used Working
usedeqsales Used - $3,504.14 3 Apr/15/14 Apr/17/14
Description: NSK RS0810FN544 Megatorque Motor And Driver AMAT 0190-14344 Used Working
usedeqsales Used - $3,504.14 1 Apr/15/14 Apr/17/14
Description: NSK RS0810FN544 Megatorque Motor And EP0810AF5-05 Driver AMAT 0190-14344 Used
usedeqsales NEW - $2,004.14 0 Apr/28/14 May/01/15
Description: Quality Transformer And Electronics 8712 Transformer AMAT 0190-28973 New
usedeqsales Used - $305.14 0 May/20/14 May/05/15
Description: AMAT Applied Materials 0120-00415 G2/G3 Protection And Delatch PCB Used Working
usedeqsales Used - $3,505.14 1 May/20/14 May/25/14
Description: NSK RS0810FN544 Megatorque Motor And Driver AMAT 0190-14344 Used Working
keykorea Used - $3,500.00 0 Jul/31/14 Jun/14/16
Description: AMAT 0090-04683 ASSEMBLY, SBC, 1.6GHZ 1GB DDR AND 80GB VMICPCI-7326-330100
prism_electronics1 Used - $199.95 1 Aug/11/14 Aug/15/14
Description: Lam Research Gears and Spindles from 853-032294-603 Rev A Assembly
keykorea NEW - $12,000.00 0 Aug/19/14 Jul/15/15
Description: AMAT 0090-77106 ASSY, RTD ELECTRONIC AND , NEW
bobsgoodies NEW - $495.00 0 Aug/27/14 Nov/05/15
Description: MIRRA AMAT 0090-77347 Servo Drive Motor, Brake, and Encoder MCG 2284-MEB3710
exper-tech NEW - $125.00 0 Sep/03/14 Jun/26/15
Description: AMAT Applied Materials 0040-32677 and 0040-32679 Roller Catch Clamp Assy, New
redrockranch NEW - $74.95 2 Sep/08/14 Apr/13/15
Description: Amat Water Supply and Return Manifold Valve 0040-76078 Appears Unused, Low Price
usedeqsales Used - $809.14 0 Sep/09/14 May/04/15
Description: Quality Transformer and Electronics 9208 Distribution Panel AMAT 0190-38695 Used
gti-semi Used - $13,800.00 0 Dec/06/14 Nov/26/19
Description: AMAT ASSY, INNER, ROTATION UPPER and LOWER BEARING, 0020-39360 and 0020-39361
capitolareatech NEW - $15.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0020-10199 FLAG HOME AND COMB
grandbirdnet NEW - $100.00 0 Dec/08/14 Nov/09/21
Description: AMAT 0242-31591 KIT, DI COOLER INTEGRATION FITTINGS AND HOSE, NEW
kingprecision NEW - $11,500.00 0 Dec/15/14 Feb/03/16
Description: 0010-03244 NEW 200MM HP TXZ Heater Assy NEW with WARRANTY AND CERTS
bobsgoodies2 Used - $450.00 0 Dec/22/14 Mar/31/22
Description: AMAT MIRRA Self Centering Mount and Motor Assy 0090-00461 Hollow-Shaft Motor
capitolareatech NEW - $569.47 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0227-02536 LAMP, ASSY, LAMP AND POWER CABLE
capitolareatech NEW - $250.00 3 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-00228 Power-One LWN 2660-6 250 Watt AC-DC and DC-
capitolareatech NEW - $18.75 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3080-01119 BELT MOTOR PLATEN GREEN AND WHITE(CAT) 63
capitolareatech Refurbished - $12.75 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3080-01119 BELT MOTOR PLATEN GREEN AND WHITE(CAT) 63
capitolareatech NEW - $319.60 0 Dec/27/14 Jan/21/16
Description: Applied Materials (AMAT) 3760-00083 Slide Rail and Blocks, X Axis
capitolareatech NEW - $106.25 0 Dec/28/14 Feb/24/16
Description: LAM RESEARCH (LAM) 839-024561-100 LAM WELDMENT ENTRANCE AND VENTILATION LINE
imca00 Used - $5,499.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $99.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
farmoninc NEW - $30.00 1 Dec/30/14 Mar/02/23
Description: AMAT 0020-09785 COMB CENTERFINDER CALIBRATION 5 AND 6 I
farmoninc NEW - $30.00 0 Jan/02/15 Mar/02/23
Description: AMAT 0020-09785 Comb Centerfinder Calibration 5 And 6 I, 405505
imca00 Used - $5,499.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $99.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
bobsgoodies Used - $575.00 1 Jan/06/15 Jan/07/15
Description: AMAT 6" Susceptor Assy T/C 0190-35712 with ceramic cover and spare ceramic cover
imca00 Used - $5,499.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $99.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
gigabitpartsolutions NEW - $2,500.00 0 Jan/13/15 Jul/24/15
Description: Assy, High Precision Reflectors and bracket set 4 300mm 0090-03426 AMAT
gigabitpartsolutions NEW - $2,500.00 0 Jan/13/15 Jul/08/15
Description: Assembly High Precision Sensors and Bracket, 300mm 0090-03720 AMAT
rekarp2 Used - $5,600.00 1 Jan/12/15 Jan/19/15
Description: Madell DP2006-2 Pick and Place
bobsgoodies Used - $250.00 1 Nov/17/14 Jan/19/15
Description: APPLIED MATERIALS 0010-77345 ASSY PED VAC AND PURGE REGULATORS
imca00 NEW - $99.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 Used - $5,499.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
keykorea Used - $1,900.00 0 Jan/28/15 Jun/10/15
Description: AMAT 0010-77345 ASSY PED VAC AND PURGE REGULATORS , USED
imca00 NEW - $99.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 Used - $5,499.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 Used - $5,499.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $99.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
bobsgoodies Used - $2,250.00 0 Feb/20/15 Mar/12/15
Description: AMAT 0010-70264 Upper and Lower HP Robot Driver Endura
imca00 Used - $5,499.00 0 Feb/24/15 Mar/03/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
csi.usa NEW - $595.00 0 Mar/03/15 Apr/07/15
Description: APPLIED MATERIALS P/N 0242-44056 HOSE KIT HEAT EXCHANGER CHUCK AND DUMP DSA
sparesllc09 Used - $8,500.00 0 Mar/11/15 Sep/26/16
Description: 0100-76042 / ASSY, PCB EWOB AND OTF/CENTERFINDER W/ EXCHANGE / APPLIED MATERIALS
imca00 NEW - $99.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 Used - $5,499.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
keykorea Used - $1,100.00 0 Mar/21/15 Aug/24/17
Description: AMAT 0190-05111 VIDEO AND CONTROL SWITCH SPECIFICATION , USED
electronicpals Used - $195.00 0 Mar/29/15 Aug/18/15
Description: Lam Research 715-033685-001 A And 716-036601-001 Semiconductor Part Ring Used
imca00 NEW - $99.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 Used - $5,499.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 Used - $5,499.00 0 Apr/18/15 Apr/25/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
pcbassyequip Used - $16,250.00 0 Apr/23/15 May/26/17
Description: JUKI KE-750 high speed pick and place system 0201 laser alignment 750
anacapaequipment NEW - $200.00 0 Apr/23/15 May/14/15
Description: Entegris Semiconductor Wafer trays, Springs, and Covers, 427 Pieces, New (1270)
bobsgoodies Used - $525.00 0 Apr/23/15 Nov/19/15
Description: AMAT 0010-09120 Heat Sink And Motor Assembly, Throttle Valve Drive & Shaft
drjonezz Used - $29.11 1 Apr/16/15 Apr/23/15
Description: Weller EC2002 Soldering Station with EC1201-A Iron/Pencil and Many Tips
usedeqsales Scrap, for parts - $1,005.13 1 May/08/13 Apr/22/15
Description: LAM 852-011060-503-F-CH9M Reaction and Lower Chamber Assembly 4420 As-Is
woonyki Refurbished - $678.00 1 Apr/15/15 Apr/22/15
Description: PRAXAIR UP100 UltraPurge Controller for GAS Cabinet and System Panels
athomemarket Used - $154.99 0 Apr/30/15 May/07/15
Description: Applied Materials AMAT 0100-09203 Phase and Magnitude Detector PCB Board
athomemarket Used - $154.99 0 May/08/15 Jun/07/15
Description: Applied Materials AMAT 0100-09203 Phase and Magnitude Detector PCB Board
usedeqsales Used - $1,505.15 0 May/13/15 Dec/09/15
Description: AMAT Applied Materials 0100-00980 Gas and Oven Motherboard 0100-00981 XR80 Used
usedeqsales NEW - $502.57 1 May/13/15 Sep/04/16
Description: AMAT Applied Materials 0010-15979 200mm Titan Head Gimbal and Film Assembly New
usedparts-pk Used - $1,999.90 3 Jan/09/15 Oct/15/15
Description: Pfeiffer Turbo pump TMH 071P and Controller TC 750-E74 & Cables
farmoninc Used - $2,450.00 1 Feb/18/12 Sep/21/15
Description: Pfeiffer Turbo pump TMH 071 P and Turbo Controller TC 750-E74, TMH071P
catalystparts Refurbished - $300.00 0 May/27/15 May/26/17
Description: Applied Materials 0020-24962 Shield Cleaned and Sealed By BOC Edwards
mtd627 Refurbished - $960.00 0 May/31/15 Jun/30/15
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
gigabitpartsolutions NEW - $2,198.90 0 Jun/02/15 Jun/26/16
Description: OEM Part NOVELLUS 15-127251-00 AIN-PEC AND CONCEPT 3 SPEED INJECTOR SET (36 PIEC
athomemarket Used - $154.99 0 Jun/07/15 Jul/07/15
Description: Applied Materials AMAT 0100-09203 Phase and Magnitude Detector PCB Board
gesemiconductor Used - $500.00 0 Jun/08/15 Dec/05/15
Description: APPLIED MATERIALS 0030-70085 Front Panel and Light Pen (no monitor cable)
keykorea Used - $1,900.00 0 Jun/10/15 Jul/16/15
Description: AMAT 0010-77345 ASSY PED VAC AND PURGE REGULATORS , USED
mtd627 Refurbished - $1,200.00 0 Jul/01/15 Jul/31/15
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
abelet Used - $899.00 5 Jul/02/15 Jul/06/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum and PCB
athomemarket Used - $154.99 1 Jul/07/15 Aug/12/15
Description: Applied Materials AMAT 0100-09203 Phase and Magnitude Detector PCB Board
keykorea NEW - $1,500.00 0 Jul/08/15 Nov/05/15
Description: AMAT 0190-05111 VIDEO AND CONTROL SWITCH SPECIFICATION , NEW
smi-mfg Used - $5,000.00 0 Jul/09/15 Jul/19/15
Description: 0010-03628 / CENTURA MSM, WITH CD ROM AND WIN NT/APPLIED MATERIALS
y.t.r2011 Used - $700.00 1 Jul/22/15 Jan/15/17
Description: AMAT APPLIED MATERIALS SMOKE AND WATER LEAK DET ASSY 0100-00611
abelet Used - $899.00 1 Jul/23/15 Jul/28/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum and PCB
abelet Used - $899.00 1 Jul/31/15 Aug/10/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum and PCB
mtd627 Refurbished - $1,200.00 0 Aug/02/15 Sep/01/15
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
abelet Used - $899.00 4 Aug/10/15 Oct/30/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum and PCB
mtd627 Refurbished - $1,200.00 0 Sep/02/15 Oct/02/15
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
bobsgoodies2 Used - $135.00 0 Sep/17/15 Mar/31/22
Description: AMAT APPLIED MATERIALS SMOKE AND WATER LEAK DET ASSY 0100-00611
gigabitpartsolutions NEW - $27.50 0 Oct/02/15 Jun/28/16
Description: Hardware Applied Materails (AMAT) 0242-43085 KIT DC AND RF POWER FASTENERS, 300
used1eqsales Used - $310.15 0 Oct/05/15 Nov/04/15
Description: AMAT 0020-70497 2-D PSD Sensors and Bracket 0020-70383 used working
mtd627 Refurbished - $1,200.00 0 Oct/07/15 Nov/06/15
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
y.t.r2011 Used - $7,250.00 0 Oct/13/15 Jun/11/18
Description: AMAT APPLIED MATERIALS SMOKE AND WATER LEAK DET ASSY 0100-00611
aspentexas NEW - $399.00 0 Oct/18/15 Nov/18/15
Description: AMAT P5000 CENTURA DXZ HEATER CONNECTOR used on 0150-38915 and others
ssssayag Used - $150.00 0 Oct/26/15 Nov/25/15
Description: Applied Materials AMAT 0010-93023 LIFT AND ROTATE ASSEMBLY
abelet Used - $899.00 0 Oct/30/15 Nov/29/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum and PCB
bobsgoodies2 Used - $125.00 0 Nov/05/15 Mar/31/22
Description: MIRRA AMAT 0090-77347 Servo Drive Motor, Brake, and Encoder MCG 2284-MEB3710
mtd627 Refurbished - $1,020.00 0 Nov/09/15 Dec/09/15
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
texassemicontech NEW - $265.00 0 Nov/10/15 Jul/01/18
Description: Lam Research OnTrak 785-011089-106 - LBL SNSR AND MNCMTR 20B1J620 - Lot of 99
xltechtexas NEW - $399.00 0 Nov/17/15 Dec/17/15
Description: AMAT P5000 CENTURA DXZ HEATER CONNECTOR used on 0150-38915 and others
outcyde NEW - $179.00 0 Nov/23/15 Dec/13/15
Description: AMAT 1200-01148 Fuji Electric EL90PO Earth Leakage Relay and Current Sensor
tdindustrial NEW - $100.00 1 Jan/02/16 Jan/25/17
Description: LAM Alliance Auxiliary Drawings and Schematic, 406-240204-010 Rev A, Volume 1
yosmir2007 NEW - $160.00 0 Jan/03/16 Feb/02/16
Description: Kulicke and Soffa 04500-4323-001-00 &u3 adapter (strobe delay board)
nationwidehytech NEW - $12,000.00 0 Jan/04/16 Jan/11/16
Description: NIKON 4S014-073 LOT and x23 others ask for list
mtd627 Refurbished - $487.50 0 Jan/08/16 Feb/07/16
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
xltechtexas NEW - $359.00 4 Jan/17/16 Jan/25/16
Description: AMAT P5000 CENTURA DXZ HEATER CONNECTOR used on 0150-38915 and others
solanotraders Used - $950.00 0 Jan/18/16 Feb/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
capitolareatech NEW - $97.98 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3420-00020 INSUL 3WAY VALVE AND FLTR SILICONE RBR F
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-00228 Power-One LWN 2660-6 250 Watt AC-DC and DC-
capitolareatech Refurbished - $6,500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-53718 ESC 300mm , AMAT 0040-53718 and 0040-8066
capitolareatech NEW - $206.33 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-44502 SERVO DRIVE AND PNUE BLOCK, SWLL-A
capitolareatech NEW - $31.78 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09785 COMB CENTERFINDER CALIBRATION 5 AND 6 I
capitolareatech NEW - $51.54 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-76046 HOME AND COMB FLAG LFT STORAGE ELEVATOR
caps86 NEW - $400.00 0 Jan/24/16 Feb/23/16
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
abelet Used - $300.00 3 Jan/27/16 Jan/28/16
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum and PCB
abelet Used - $899.00 0 Feb/02/16 Feb/26/16
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum and PCB
outcyde NEW - $139.00 0 Feb/02/16 May/01/16
Description: AMAT 1200-01148 Fuji Electric EL90PO Earth Leakage Relay and Current Sensor
mtd627 Refurbished - $552.50 0 Feb/09/16 Mar/10/16
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
ecomicron NEW - $1,500.00 0 Feb/11/16 Feb/07/18
Description: 0100-20346, AMAT, PCB ASSEMBLY, SMOKE AND WATER DETECTOR
solanotraders Used - $950.00 0 Feb/17/16 Mar/18/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
xltechtexas NEW - $2,150.00 0 Feb/18/16 Apr/19/17
Description: AMAT 0040-09037 AND 0010-09019 P5000 6 INCH REPLACEMENT BLADE ASSY NEW
texassemicontech NEW - $2,896.00 0 Feb/16/16 Jun/29/18
Description: Lam Research OnTrak 810-800961-002 - ASSY PCB DUAL ESC P/S AND DET - NEW
gesemiconductor Used - $250.00 0 Feb/22/16 Sep/23/19
Description: Applied Materials 0100-90469 PCB Angon Bleed And Charge Monitor
caps86 NEW - $400.00 0 Feb/23/16 Mar/24/16
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
capitolareatech NEW - $2,500.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-09845 BEARING AND SHAFT ASSY, DRIVE SIDE, Q.C.
capitolareatech NEW - $55.08 0 Feb/24/16 Mar/26/16
Description: Applied Materials 0020-26852 Cover Ring and Adapter
capitolareatech NEW - $206.33 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-44502 SERVO DRIVE AND PNUE BLOCK, SWLL-A
capitolareatech NEW - $150.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-20180 CABLE ASSEMBLY +/-15 AND SENSE LINE
capitolareatech NEW - $106.25 0 Feb/25/16 May/25/16
Description: LAM 839-024561-100 LAM WELDMENT ENTRANCE AND VENTILATION LINE
logansemi Used - $450.00 0 Feb/26/16 Sep/22/16
Description: AMAT Applied Materials 200mm Cover Ring. 0020-19706, Cleaned and Bagged
logansemi Used - $450.00 1 Feb/26/16 Sep/22/16
Description: AMAT Applied Materials 200mm UPPER SHIELD, 0021-21444, Cleaned and Bagged
logansemi Used - $300.00 1 Feb/26/16 Jun/24/16
Description: AMAT Applied Materials ENDURA PLATE INSULATION 0200-20378, Cleaned and Bagged
capitolareatech NEW - $250.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0150-02601 FIC E84 PODS A AND B
capitolareatech NEW - $250.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1140-00228 250 Watt AC-DC and DC-DC DIN-Rail Converter; PSU 85 - 26VAC I/P,
logansemi Used - $475.00 0 Feb/29/16 Sep/22/16
Description: AMAT Applied Materials Shutter Disk, P/N 0021-17725, Cleaned and Bagged
logansemi Used - $375.00 0 Feb/29/16 Sep/22/16
Description: AMAT Applied Materials DEP Ring, P/N 0200-01955, Cleaned and Bagged
logansemi Used - $475.00 0 Feb/29/16 Sep/22/16
Description: AMAT Applied Materials Cover Ring, P/N 0020-19708, Cleaned and Bagged
capitolareatech Used - $800.00 0 Feb/29/16 Mar/29/16
Description: AMAT 0140-76309 HARNESS ASSY, TRANSFER CHAMBER AND OPTIONS
dr.dantom NEW - $620.00 0 Mar/02/16 Feb/19/20
Description: AMAT Applied Materials 0242-23655 DC+RF Power Supply Support Rails And Nois Kit
capitolareatech Used - $1,250.00 0 Mar/02/16 Jun/30/16
Description: AMAT 0010-35318 ASY, 5KVA XFRMR; 1360-01099; Quality Transformer and Electronics
datacom2014 Refurbished - $170.00 2 Mar/07/16 Feb/20/20
Description: AMAT Applied Materials Cover Ring, P/N 0020-19708 003, Cleaned and Bagged
datacom2014 Used - $150.00 1 Mar/07/16 Mar/31/21
Description: AMAT Applied Materials Shutter Disk, P/N 0021-17725, Cleaned and Bagged
mtd627 Refurbished - $585.00 0 Mar/10/16 Apr/09/16
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
usedeqsales Used - $153.16 1 Mar/16/16 Mar/22/17
Description: CTI-Cryogenics 8081271 Cryopump Hose Supply and Return Set AMAT 3620-01025 Used
solanotraders Used - $950.00 0 Mar/18/16 Apr/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
maccydo NEW - $200.00 1 Mar/19/16 Mar/23/16
Description: LAM Research TCP and MiniMatch Manual Controller 853-015982-001 853-015030-001
keykorea NEW - $2,500.00 0 Mar/23/16 Mar/30/16
Description: AMAT 0190-77114 & 0020-79042 CROSS ROTATION MOTOR AND SHAFT ASSEMBLY , NEW
bobsgoodies Used - $259.00 1 Mar/24/16 Dec/19/16
Description: AMAT D8TMI100 Emissivity Sensor and Ceramic Mount Applied Materials 0090-35778
caps86 NEW - $400.00 0 Mar/24/16 Apr/23/16
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
mtd627 Refurbished - $650.00 0 Apr/11/16 May/11/16
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
gti-semi Used - $1,750.00 1 Apr/12/16 May/09/18
Description: AMAT, CASSETTE LOADER 200mm Lift and Rotate Cassette Trays, XR80, p/n 0010-92132
solanotraders Used - $760.00 0 Apr/18/16 May/18/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
capitolareatech NEW - $10.00 0 Apr/19/16 Sep/15/16
Description: AMAT 0250-37857 Instructions CHX Mounting and Installment
capitolareatech NEW - $12.75 0 Apr/21/16 Sep/15/16
Description: AMAT 3080-01119 BELT MOTOR PLATEN GREEN AND WHITE(CAT) 630E0047;
capitolareatech NEW - $15.00 0 Apr/21/16 Sep/15/16
Description: AMAT 0020-10199 FLAG HOME AND COMB
jabedow Used - $800.00 1 Apr/22/16 Apr/22/16
Description: AMAT 0190-77526 & 0190-77527 PAD CONDITIONER PC SWEEP AND PC ROATION SET , USED
caps86 NEW - $400.00 0 Apr/24/16 May/24/16
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
outcyde NEW - $99.00 0 May/01/16 May/08/16
Description: AMAT 1200-01148 Fuji Electric EL90PO Earth Leakage Relay and Current Sensor
capitolareatech NEW - $225.00 0 May/02/16 May/03/16
Description: AMAT 0020-22794 SHIELD, UPPER, 5 INCH AND 6 INCH TIN, SS
bobsgoodies Used - $225.00 0 May/02/16 Jun/15/16
Description: AMAT 0020-29781 300mm PVD Chamber Contactor Bracket and 2 contractors CE15FN3Y1
capitolareatech NEW - $225.00 0 May/03/16 May/04/16
Description: AMAT 0020-22794 SHIELD, UPPER, 5 INCH AND 6 INCH TIN, SS
capitolareatech NEW - $225.00 0 May/04/16 May/09/16
Description: AMAT 0020-22794 SHIELD, UPPER, 5 INCH AND 6 INCH TIN, SS
sammy_etek NEW - $7,300.00 0 May/04/16 Jul/09/17
Description: 0100-76042, Applied Materials, ASSY, PCB EWOB AND OTF/CENTERFINDER
keykorea Used - $2,500.00 0 May/09/16 Mar/21/18
Description: AMAT 0190-77526 & 0190-77527 PAD CONDITIONER PC SWEEP AND PC ROATION SET
solanotraders Used - $760.00 0 May/18/16 Jun/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
mtd627 Refurbished - $650.00 0 May/20/16 Jun/19/16
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
sparesllc09 Used - $15,000.00 0 May/25/16 Sep/21/16
Description: 0010-76618, 0010-76619 /ENP AUTO INDEXER LLK A AND LLK B / AMAT
caps86 NEW - $350.00 0 May/26/16 Jun/25/16
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
ace449parts2010 Refurbished - $750.00 0 Jun/02/16 Mar/19/19
Description: AMAT, 0021-09718 SLIT VALVE LINERS CLEANED AND REWORKED VOXTEK, PRICE FOR 2
ecomicron NEW - $1,100.00 1 Jun/08/16 Nov/04/19
Description: 0140-36057, AMAT, HARNESS ASSY. TRANSFER CHAMBER AND OPTIO
gigabitpartsolutions NEW - $825.00 2 Jun/09/16 Jun/22/22
Description: Tool AMAT 0090-03426 set 4 300mm Assy, High Precision Reflectors and bracket
kakkisung-6 Used - $7,999.00 0 Jun/13/16 Feb/09/22
Description: AMAT 0010-19199 ASSY, BOSCH PVD/PC WAFER LIFT AND MTR AS
solanotraders Used - $712.50 0 Jun/17/16 Jul/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
mtd627 Refurbished - $455.00 0 Jun/20/16 Jul/20/16
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
usedeqsales Used - $1,506.16 0 Jun/22/16 May/03/18
Description: Quality Transformer and Electronics 7323 75kVA Transformer AMAT 0190-06937 Used
garam_parts Used - $3,999.00 0 Jun/24/16 Jul/24/16
Description: AMAT, 0100-76042, ASSY, PCB EWOB AND OTF/CENTERFINDER
caps86 NEW - $350.00 0 Jun/25/16 Jul/25/16
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
edmciis NEW - $1,221.12 0 Jun/28/16 Oct/07/21
Description: HOSE ASSY, AMAT SUPPLY AND RETURN 0010-35851
smartelektronikgmbh NEW - $98.00 0 Jun/29/16 Nov/15/19
Description: AMAT 0270-20146 // CAL TOOL SP HTR AND HOOP
capitolareatech NEW - $7.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0690-01563 Clamp, Flange SGL-CLAW NW100 AL M8-HEX Bolt and Washer SST
capitolareatech NEW - $2,500.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-09845 BEARING AND SHAFT ASSY, DRIVE SIDE, Q.C.
capitolareatech NEW - $55.08 0 Jul/08/16 Sep/15/16
Description: Applied Materials 0020-26852 Cover Ring and Adapter
capitolareatech NEW - $206.33 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-44502 SERVO DRIVE AND PNUE BLOCK, SWLL-A
capitolareatech NEW - $569.47 0 Jul/08/16 Sep/15/16
Description: AMAT 0227-02536 LAMP, ASSY, LAMP AND POWER CABLE
capitolareatech NEW - $250.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1140-00228 250 Watt AC-DC and DC-DC DIN-Rail Converter; PSU 85 - 26VAC I/P,
capitolareatech NEW - $319.60 0 Jul/09/16 Sep/15/16
Description: AMAT 3760-00083 Slide Rail and Blocks, X Axis
capitolareatech NEW - $106.25 0 Jul/09/16 Sep/15/16
Description: LAM 839-024561-100 LAM WELDMENT ENTRANCE AND VENTILATION LINE
capitolareatech Used - $1,250.00 0 Jul/10/16 Sep/15/16
Description: AMAT 0010-35318 ASY, 5KVA XFRMR; 1360-01099; Quality Transformer and Electronics
capitolareatech NEW - $225.00 0 Jul/10/16 Jul/10/16
Description: AMAT 0020-22794 SHIELD, UPPER, 5 INCH AND 6 INCH TIN, SS
capitolareatech NEW - $225.00 0 Jul/10/16 Sep/15/16
Description: AMAT 0020-22794 SHIELD, UPPER, 5 INCH AND 6 INCH TIN, SS
capitolareatech NEW - $100.00 0 Jul/10/16 Sep/15/16
Description: Applied Materials (AMAT) 0242-08033 KIT, SUPPORT TUBE AND ADAPTER OTB FCW
exper-tech NEW - $115.00 1 Jul/14/16 Jun/07/18
Description: AMAT Applied Materials 0020-21665 AL/TI 8" Wafer Shield, New and Sealed
solanotraders Used - $760.00 0 Jul/17/16 Aug/16/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
farmoninc Used - $1,450.00 0 Jul/20/16 Jul/10/20
Description: AMAT 0060-35276 Throttle Valve Assy w/ Housing and Plate, DPS, Chamber, 329825
mtd627 Refurbished - $455.00 0 Jul/25/16 Aug/24/16
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
caps86 NEW - $350.00 0 Jul/25/16 Aug/24/16
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
smartelektronikgmbh NEW - $300.00 0 Jul/27/16 Nov/30/17
Description: AMAT 0040-75731 // TRAY, IO AND PNEUMATIC, CH FAC
sammy_etek Used - $1,600.00 1 Jul/29/16 Nov/23/17
Description: 0020-42290, AMAT, BLADE 8" CENTURA WXZ and 0020-21105, CLAMP 8 IN BUFFERFROG LEG
j316gallery Used - $299.85 0 Aug/05/16 Nov/04/21
Description: 5223 APPLIED MATERIALS PCB, CENTERFINDER SENSOR BD 5, 6 AND 8" 0100-76088
j316gallery Used - $125.25 1 Aug/05/16 May/07/21
Description: 5222 APPLIED MATERIALS PCB CENTERFINDER SNSR BD 5 6 AND 8" PARTS 0100-09146
pohyh Used - $2,400.00 0 Aug/10/16 Feb/08/17
Description: 5435 APPLIED MATERIAL DPS CARDCAGE AND COMPONENTS KIT 0242-75760
solanotraders Used - $950.00 0 Aug/16/16 Sep/15/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
epic-semi NEW - $5,000.00 1 Aug/17/16 May/20/19
Description: AMAT XR Implant Gas and Temp Chassis 0090-91890
caps86 NEW - $350.00 0 Aug/25/16 Sep/24/16
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
mtd627 Refurbished - $435.50 0 Aug/26/16 Sep/25/16
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
exper-tech NEW - $225.00 0 Aug/27/16 Mar/01/19
Description: Applied Materials Precision 8300 cables 0150-00095 and 0150-00096 cable lot
ecomicron NEW - $500.00 0 Sep/02/16 Feb/02/22
Description: 0090-20201, AMAT, SWITCH AIR FLOW AND CABLE ASSEMBLY
bornalliancecom Used - $200.00 0 Sep/04/16 Apr/08/21
Description: Applied Materials 3870-01160 Isolation Valve and Ion Gauge
kakkisung-6 Used - $13,000.00 0 Sep/07/16 Feb/09/22
Description: AMAT ASSY, ROTATION UPPER AND LOWER BEARING 0020-39360 , 0020-39361
farmoninc Used - $1,950.00 1 Sep/13/16 Sep/04/17
Description: AMAT 0010-76175, Throttle Valve, Chamber Isolation Valve and Hinge. 416173
solanotraders Used - $950.00 0 Sep/15/16 Oct/15/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
capitolareatech NEW - $250.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-02601 FIC E84 PODS A AND B
capitolareatech NEW - $392.45 0 Sep/20/16 Nov/19/16
Description: AMAT 3350-50001 (AMJ) Finger for CENTURA and ENDURA FABS202
capitolareatech NEW - $12.75 0 Sep/20/16 Nov/19/16
Description: AMAT 3080-01119 BELT MOTOR PLATEN GREEN AND WHITE(CAT) 630E0047;
caps86 NEW - $350.00 0 Sep/25/16 Oct/25/16
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
sparesllc09 Used - $5,075.00 0 Sep/29/16 Jun/26/19
Description: 0100-76042 / ASSY, PCB EWOB AND OTF/CENTERFINDER W/ EXCHANGE / APPLIED MATERIALS
j316gallery Used - $4,174.17 0 Oct/04/16 Dec/09/21
Description: 2414 APPLIED MATERIALS ASSY, BOSCH PVD/PC WAFER LIFT AND MTR AS 0010-19199
mtd627 Refurbished - $455.00 0 Oct/12/16 Nov/11/16
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
solanotraders Used - $760.00 0 Oct/15/16 Nov/14/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
spsglobal Used - $600.00 0 Oct/24/16 Oct/27/22
Description: 176-0401// AMAT APPLIED 0040-13358 ADPTR, PRESSURE GAUGE, STD AND 2ND SOURCE NEW
caps86 NEW - $350.00 0 Oct/26/16 Nov/25/16
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
sparesllc09 NEW - $15,000.00 0 Nov/04/16 Feb/27/18
Description: 0100-00904, 0010-00903 / CENTURA NARROW BODY HIGH ALT LLK B AND LLK A / AMAT
sparesllc09 Used - $4,500.00 0 Nov/08/16 Jul/09/19
Description: 0010-03628 / CENTURA MSM, WITH CD ROM AND WIN NT/APPLIED MATERIALS
mtd627 Refurbished - $435.50 0 Nov/14/16 Dec/14/16
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
solanotraders Used - $950.00 0 Nov/14/16 Dec/14/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
caps86 NEW - $350.00 0 Nov/25/16 Dec/25/16
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
allforsale555 NEW - $199.00 1 Dec/01/16 Jun/07/17
Description: APPLIED MATERIALS 0140-20015 H/A PNEU AND LDR/PNEU CON
usedeqsales Used - $412.16 3 Dec/06/16 May/15/19
Description: AMAT Applied Materials 0190-24484 Transponder and Sensor TLG-I2-AMAT-R1 Used
mtd627 Refurbished - $650.00 0 Dec/14/16 Jan/13/17
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
solanotraders Used - $760.00 0 Dec/14/16 Jan/13/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
capitolareatech NEW - $31.78 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-09785 COMB CENTERFINDER CALIBRATION 5 AND 6 I
capitolareatech NEW - $150.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-20180 CABLE ASSEMBLY +/-15 AND SENSE LINE
capitolareatech NEW - $319.60 0 Dec/20/16 Jun/20/20
Description: AMAT 3760-00083 Slide Rail and Blocks, X Axis
capitolareatech NEW - $12.75 0 Dec/20/16 May/07/19
Description: AMAT 3080-01119 BELT MOTOR PLATEN GREEN AND WHITE(CAT) 630E0047;
capitolareatech Used - $1,250.00 0 Dec/20/16 Jun/06/17
Description: AMAT 0010-35318 ASY, 5KVA XFRMR; 1360-01099; Quality Transformer and Electronics
capitolareatech NEW - $15.00 0 Dec/20/16 Jun/20/20
Description: AMAT 0020-10199 FLAG HOME AND COMB
caps86 NEW - $350.00 0 Dec/27/16 Jan/26/17
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
johbedo_0 Used - $500.00 1 Jan/02/17 Jan/27/17
Description: AMAT 0190-77526 & 0190-77527 PAD CONDITIONER PC SWEEP AND PC ROATION SET, USED
kingprecision NEW - $6,900.00 0 Jun/09/15 Jul/12/18
Description: 0010-21246 8" Advanced A101 Semiconductor Heater NEW with Certs and Warranty
solanotraders Used - $950.00 0 Jan/13/17 Feb/12/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
spsglobal NEW - $45.00 0 Jan/24/17 Jul/29/18
Description: AMAT APPLIED MATERIALS 0090-20003 SENSOR ASSY,VALVE SENSOR AND HARNESS NEW
mtd627 Refurbished - $396.50 0 Jan/24/17 Feb/23/17
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
nedosada-0 Used - $500.00 0 Jan/25/17 Sep/25/19
Description: 0100-09146 wPCBA CENTERFINDER 5,6 and 8 inch
caps86 NEW - $350.00 0 Jan/28/17 Feb/27/17
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
allforsale555 Used - $239.00 0 Feb/08/17 Jul/29/21
Description: APPLIED MATERIALS 0140-18063 HARN ASSY,SOURCE CONDITIONING AND INTERL
allforsale555 Used - $399.00 0 Feb/08/17 Jul/29/21
Description: AMAT 0100-09379 ASSY, PCB, LAMP TEST, MOD I, PAB CHAMBER A AND B
cubit001 NEW - $388.00 0 Feb/10/17 Mar/12/17
Description: AMAT 0242-85133 Kit Gas Flow and Gas Name Label
solanotraders Used - $712.50 0 Feb/12/17 Mar/14/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
usedeqsales Used - $302.17 3 Feb/20/17 Jan/20/20
Description: AMAT Applied Materials 0100-00415 G2/G3 Protection and Delatch PCB Used Working
farmoninc Used - $35.00 0 Feb/22/17 Jul/15/22
Description: LAM 754-092082-002 Assembly Guide Bearing and Spring, 10" Arm, 422309
bobsgoodies2 Used - $55.00 0 Feb/22/17 Mar/31/22
Description: AMAT 3930-01071 CNTLR HEATERS AND VALVE (SOLENOID) Applied Materials
farmoninc Used - $35.00 12 Feb/22/17 Nov/07/21
Description: LAM 754-092082-002 Assembly, GDE Bearing and SPR, 422208
farmoninc NEW - $250.00 0 Feb/22/17 Aug/29/19
Description: KF40 Vacuum w/ Bellows Tee and 1/4", AMAT 0050-47365, 422210
sparesllc09 Used - $5,000.00 1 Feb/23/17 Jan/24/18
Description: 0010-70317 / SPARES, E/C HP/HP MOTOR ASSY R AND L/ APPLIED MATERIALS
caps86 NEW - $350.00 0 Feb/28/17 Mar/30/17
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
allforsale555 Used - $399.00 0 Mar/07/17 Sep/22/17
Description: AMAT 0100-38029 PCB ASSEMBLY, FAST LIFT W/SMC MXS16-30-X609 AND VEXTA PK266M-02A
johbedo_0 Used - $900.00 1 Mar/08/17 Mar/18/17
Description: AMAT 0190-77016 & 0190-77015 PAD CONDITIONER PC SWEEP AND PC ROATION SET, USED
mtd627 Refurbished - $474.50 0 Mar/08/17 Apr/07/17
Description: EPROM software And Discs 0300-14010 AMAT Applied Materials 7700 7810 Rev 4.4B
tjtechseller NEW - $400.00 0 Mar/14/17 Jul/13/18
Description: 0040-20548 Applied Materials AMAT MANIFOLD BUFFER CHMBR CENTURA AND ENDURA
solanotraders Used - $760.00 0 Mar/14/17 Apr/13/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
spsglobal NEW - $1,000.00 1 Mar/28/17 Dec/05/17
Description: AMAT APPLIED MATERIALS 0010-02170 ASSY, LEFT HAND, PIVOT AND BEARING HP+/V NEW
caps86 NEW - $350.00 0 Mar/31/17 Oct/27/17
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
solanotraders Used - $760.00 0 Apr/13/17 May/13/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
farmoninc NEW - $250.00 0 Apr/20/17 Jan/22/19
Description: 8 LAM 754-092082-002, Assy Guide Bearing and Spring, 10" Arm, 811-02437. 423121
usedeqsales Used - $2,854.17 0 Apr/27/17 Aug/08/17
Description: AMAT Applied Materials 0020-70254 Interface Chamber and Lid Assembly P5000 Used
solanotraders Used - $950.00 0 May/13/17 Jun/12/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
katiil3 Used - $999.00 0 May/17/17 Oct/23/21
Description: AMAT 0010-92132 CASSETTE LOADER 200mm Lift and Rotate Cassette Trays, XR80.
used1eqsales Used - $705.15 0 Jun/02/17 Dec/20/17
Description: AMAT 0100-94078 Argon Oxygen Bleed and Charge Monitor Circuit Quantum X works
electronicpals Used - $90.00 0 Jun/04/17 Apr/13/19
Description: AMAT 0200-05358 And 0200-06143 Reflector Lot Of 2 Read Description
bobsgoodies Used - $225.00 1 Jun/06/17 Jun/29/17
Description: AMAT 0190-09487 Pneumatic Manifold and 0190-09487 Applied Materials
solanotraders Used - $950.00 0 Jun/12/17 Jul/12/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
tgs816 Used - $150.00 0 Jul/11/17 Jul/13/17
Description: AMAT TxZ CHAMBER INTERLOCK PCB 0100-20458, 0130-00516 and 0100-00643 PCB BUNDLE
tgs816 Used - $150.00 0 Jul/11/17 Jul/13/17
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB
solanotraders Used - $712.50 0 Jul/12/17 Aug/11/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
tgs816 Used - $75.00 0 Jul/13/17 Jul/20/17
Description: AMAT TxZ CHAMBER INTERLOCK PCB 0100-20458, 0130-00516 and 0100-00643 PCB BUNDLE
tgs816 Used - $75.00 0 Jul/13/17 Jul/20/17
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB
qrecycle Used - $499.00 0 Jul/17/17 Jul/20/17
Description: Applied material BESC TC AMP MODULE 0100-00401 and 0100-20259
tgs816 Used - $50.00 0 Jul/21/17 Jul/28/17
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB
tgs816 Used - $50.00 0 Jul/21/17 Jul/28/17
Description: AMAT TxZ CHAMBER INTERLOCK PCB 0100-20458, 0130-00516 and 0100-00643 PCB BUNDLE
qrecycle Used - $1,500.00 1 Jul/24/17 Jul/24/17
Description: Applied material RF Match Etch 0010-09416 lot of 3 and 6 cvd 0010-9750
qrecycle NEW - $1,399.00 1 Jul/24/17 Jul/27/17
Description: Applied Material 0021-35055 and 0190-36349 lot of 60pcs each item
cubit001 Used - $888.00 0 Jul/25/17 Aug/24/17
Description: AMAT 0190-09487 Pneumatic Manifold and 0020-34454 Bracket
qrecycle NEW - $999.00 0 Jul/28/17 Jul/31/17
Description: Final lot of Applied Material 0021-35055 and 0190-36349 12 pcs each item
qrecycle NEW - $899.00 0 Jul/28/17 Jul/31/17
Description: Applied Material 0021-35055 and 0190-36349 lot of 12 pcs each item
qrecycle NEW - $599.00 0 Jul/31/17 Aug/03/17
Description: Applied Material 0021-35055 and 0190-36349 lot of 12 pcs each item
qrecycle NEW - $599.00 0 Jul/31/17 Aug/03/17
Description: Final lot of Applied Material 0021-35055 and 0190-36349 12 pcs each item
qrecycle NEW - $999.00 0 Aug/04/17 Aug/07/17
Description: Final lot of Applied Material 0021-35055 and 0190-36349 24 pcs each item
tgs816 Used - $27.00 1 Aug/09/17 Aug/16/17
Description: AMAT TxZ CHAMBER INTERLOCK PCB 0100-20458, 0130-00516 and 0100-00643 PCB BUNDLE
tgs816 Used - $27.00 1 Aug/09/17 Aug/16/17
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB
solanotraders Used - $950.00 0 Aug/11/17 Sep/10/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
qrecycle NEW - $999.00 0 Aug/28/17 Aug/31/17
Description: Final lot of Applied Material 0021-35055 and 0190-36349 24 pcs each item
qrecycle NEW - $899.00 0 Aug/31/17 Sep/07/17
Description: Final lot of Applied Material 0021-35055 and 0190-36349 24 pcs each item
solanotraders Used - $570.00 0 Sep/10/17 Oct/10/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
sparepartsworldwide NEW - $850.00 0 Sep/08/17 Sep/13/17
Description: NOVELLUS 20-051463-01 Plate, Wrist A and B 20-051463-02
sparepartsworldwide NEW - $675.00 0 Sep/14/17 Jul/31/18
Description: NOVELLUS 20-051463-01 Plate, Wrist A and B 20-051463-02
sparesllc09 NEW - $8,500.00 0 Sep/15/17 Nov/14/17
Description: 0190-17884 / MP ULTRA ROBOT, ARM AND WOB SENSOR KIT / APPLIED MATERIALS
allforsale555 Scrap, for parts - $999.00 0 Sep/18/17 Sep/26/17
Description: AMAT 0020-10000, 0020-09999 Plate Font and back Throttle drive ( LOT OF 13 MIX )
solanotraders Used - $608.00 0 Oct/10/17 Nov/09/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
spsglobal NEW - $270.00 3 Oct/16/17 Mar/30/18
Description: 202-0101// AMAT APPLIED 0010-09120 ASSY HEATSINK AND MOTOR NEW
aspentexas NEW - $99.00 0 Oct/20/17 Nov/19/17
Description: AMAT 0200-10284 PIN, LIFT, HEATER, DXZ and GIGAFILL
qrecycle NEW - $599.00 0 Oct/24/17 Oct/27/17
Description: Applied Material 0021-35055 and 0190-36349 lot of 12 pcs each item
qrecycle NEW - $599.00 0 Oct/24/17 Oct/27/17
Description: Final lot of Applied Material 0021-35055 and 0190-36349 12 pcs each item
allforsale555 Used - $119.00 0 Oct/25/17 Jul/29/21
Description: AMAT 0020-41755 Shield, 200MM PCIIE-SLT, CHAMBERS C AND D
qrecycle NEW - $599.00 0 Oct/27/17 Nov/03/17
Description: Applied Material 0021-35055 and 0190-36349 lot of 12 pcs each item
caps86 NEW - $200.00 0 Oct/29/17 Nov/18/20
Description: LAM Valin 853-046085-001 rev e send and receive indexer.
spsglobal Used - $30.00 0 Oct/31/17 Mar/03/22
Description: 342-0202// AMAT APPLIED 0020-04136 SUPPORT, 1/4 TUBE AND FILTER NEW
solanotraders Used - $760.00 0 Nov/09/17 Dec/09/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
farmoninc NEW - $450.00 1 Nov/09/17 May/07/20
Description: AMAT 0010-36556 Assy Hose, AMAT - 1/STEELHEAD-1, Supply and Return, 50FT, 423917
qrecycle NEW - $599.00 0 Nov/14/17 Nov/21/17
Description: Applied Material 0021-35055 and 0190-36349 lot of 12 pcs each item
aspentexas Used - $225.00 5 Nov/16/17 Feb/02/18
Description: AMAT 0100-09162  "PCB MANOMETER SELECT BD" and 0040-09140 COVER
aspentexas NEW - $99.00 0 Nov/19/17 Dec/19/17
Description: AMAT 0200-10284 PIN, LIFT, HEATER, DXZ and GIGAFILL
qrecycle NEW - $599.00 0 Dec/07/17 Dec/08/17
Description: Final lot of Applied Material 0021-35055 and 0190-36349 12 pcs each item
dr.dantom Used - $200.00 1 Dec/07/17 Jun/28/18
Description: AMAT Applied Materials 0100-09146 REV B Centerfinder Sensor Board 5,6 and 8 inch
solanotraders Used - $570.00 0 Dec/09/17 Jan/08/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcheck NEW - $224.56 1 Dec/12/17 Feb/10/20
Description: AMAT 0010-22209 Assembly Slit Valve Parker Door and Mount
aspentexas NEW - $99.00 0 Dec/19/17 Jan/18/18
Description: AMAT 0200-10284 PIN, LIFT, HEATER, DXZ and GIGAFILL
usedeqsales Used - $307.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0030-70085 Front Panel and Light Pen
usedeqsales Used - $800.00 0 Dec/20/17 Jun/16/18
Description: Lam Research Hard Disk and Controller 853-190023-001
solanotraders Used - $570.00 0 Jan/08/18 Feb/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
semi-spares NEW - $85.00 0 Jan/09/18 Mar/20/18
Description: AMAT APPLIED MATERIALS 0090-20003 SENSOR ASSY,VALVE SENSOR AND HARNESS NEW
orcom2011 NEW - $700.00 1 Jan/15/18 Feb/04/18
Description: NEW AMAT 0190-05111 VIDEO AND CONTROL SWITCH SPECIFICATION Applied Materials
atci9878 Used - $2,999.00 1 Jan/16/18 Jan/25/18
Description: AMAT 0020-20125 C heater and thermocouple
borgnine76 Used - $500.00 1 Jan/17/18 Feb/21/18
Description: Applied Materials lot 0100-20012, 0100-20037 , 0100-20038 and 0100-20039
aspentexas NEW - $99.00 0 Jan/18/18 Feb/17/18
Description: AMAT 0200-10284 PIN, LIFT, HEATER, DXZ and GIGAFILL
atxdeals4u Scrap, for parts - $300.00 0 Jan/27/18 Dec/14/18
Description: AMAT 0010-25741 300mm-HTR-BYPASS with Brooks GF125XXC and Inficon PCG550
sparesllc09 Used - $5,000.00 0 Feb/01/18 Jul/24/18
Description: 0010-70317 / SPARES, E/C HP/HP MOTOR ASSY R AND L/ APPLIED MATERIALS
solanotraders Used - $608.00 0 Feb/07/18 Mar/09/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
phxinn NEW - $35.00 3 Feb/14/18 Feb/27/18
Description: Amat 0200-00406 Bushing, Pedestal RPC+ and PC II PIK2
capitolareatech NEW - $495.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0040-00537 HELIUM CONTROL MANIFOLD IHC CH. A,B, AND
qrecycle Used - $888.88 0 Feb/23/18 Feb/28/18
Description: Applied Materials 0040-39153 and 0020-19001
qrecycle Used - $888.88 0 Feb/28/18 Mar/05/18
Description: Applied Materials 0040-39153 and 0020-19001
spsglobal Used - $100.00 0 Mar/01/18 Jun/18/23
Description: 316-0403// AMAT APPLIED 0020-25787 ASSY, RIGHT HAND PIVOT AND 2ND SOURCE NEW
spsglobal Used - $1,000.00 2 Mar/01/18 Aug/18/21
Description: 316-0403// AMAT APPLIED 0010-02170 ASSY, LEFT HAND, PIVOT AND 2ND SOURCE NEW
semiconductorsolution Used - $3,500.00 1 Mar/06/18 Mar/08/18
Description: VAT valve gate heated and shielded 208vac 300w, 3870-02561, AMAT
qrecycle Used - $888.88 0 Mar/07/18 Mar/14/18
Description: Applied Materials 0040-39153 and 0020-19001
solanotraders Used - $608.00 0 Mar/09/18 Apr/08/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
farmoninc NEW - $190.00 1 Mar/12/18 May/02/22
Description: AMAT 0242-29251 Kit, Toxic Orings, Probe and reflector plate, RTP XE, 424363
qrecycle Used - $888.88 0 Mar/15/18 Mar/22/18
Description: Applied Materials 0040-39153 and 0020-19001
usedeqsales Used - $3,505.15 0 Mar/16/18 Mar/03/22
Description: AMAT Applied Materials 9090-01144 Processor and Sensor Rack Quantum X Used
qrecycle Used - $888.88 0 Mar/22/18 Mar/29/18
Description: Applied Materials 0040-39153 and 0020-19001
capitolareatech NEW - $199.95 0 Mar/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0242-08034 Kit, Tube Support And Adapter OTB FCW A/C
bobsgoodies2 Used - $3,200.00 0 Mar/30/18 Mar/31/22
Description: AMAT 0090-77106 Assembly, ASSY, RTD ELECTRONIC AND FLOW METER
qrecycle Used - $888.88 0 Apr/01/18 Apr/06/18
Description: Applied Materials 0040-39153 and 0020-19001
spsglobal NEW - $270.00 0 Apr/03/18 Sep/10/18
Description: 202-0101// AMAT APPLIED 0010-09120 ASSY HEATSINK AND MOTOR NEW
solanotraders Used - $608.00 0 Apr/08/18 May/08/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
qrecycle Used - $888.88 0 Apr/08/18 Apr/13/18
Description: Applied Materials 0040-39153 and 0020-19001
qrecycle Used - $888.88 0 Apr/15/18 Apr/20/18
Description: Applied Materials 0040-39153 and 0020-19001
spsglobal Used - $40.00 0 Apr/19/18 Jul/19/21
Description: 326-0302// AMAT APPLIED 0150-22734 C/A GROUND- SOURCE RETURN AND WATER MANI USED
qrecycle Used - $888.88 0 Apr/20/18 Apr/25/18
Description: Applied Materials 0040-39153 and 0020-19001
sparesllc09 Used - $15,000.00 0 Apr/20/18 Oct/09/19
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
qrecycle Used - $888.88 0 Apr/25/18 May/02/18
Description: Applied Materials 0040-39153 and 0020-19001
semiconductorsolution Used - $750.00 1 Apr/25/18 Jun/29/21
Description: VAT valve and shielded 208vac 300w, 3870-02561, AMAT, ---> sliding gate part
gigabitpartsolutions Refurbished - $2,420.00 0 Apr/26/18 Feb/14/19
Description: Robot (AMAT) 0040-21013 X 2 and 0020-25770 Dual Robot Arm Assembly
qrecycle Used - $888.88 0 May/03/18 May/08/18
Description: Applied Materials 0040-39153 and 0020-19001
sammy_etek NEW - $28,490.00 0 May/02/18 Aug/16/19
Description: 3620-90094, SEIKO SEIKI TURBO MOLECULAR PUMP AND CONTROLLER, AMAT
qrecycle Used - $888.88 0 May/08/18 May/11/18
Description: Applied Materials 0040-39153 and 0020-19001
solanotraders Used - $570.00 0 May/08/18 Jun/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
qrecycle Used - $499.00 0 May/11/18 May/14/18
Description: Applied Materials 0040-39153 and 0020-19001
qrecycle Used - $888.88 0 May/14/18 Jun/13/18
Description: Applied Materials 0040-39153 and 0020-19001
capitolareatech NEW - $10.95 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-04137 CLAMP, 1/4 TUBE AND FILTER
capitolareatech NEW - $24.95 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 3370-01018 HDL RND 4IN LONG 1-5/16HIGH AL BLK AND Z
solanotraders Used - $570.00 0 Jun/07/18 Jul/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
qrecycle Used - $499.00 0 Jun/13/18 Jun/18/18
Description: Applied Materials 0040-39153 and 0020-19001
global-trade-tech8 Used - $250.00 0 Jun/25/18 Jan/04/24
Description: AMAT APPLIED MATERIALS SMOKE AND WATER LEAK DET ASSY 0130-00611
gti-semi Used - $1,750.00 0 Jul/02/18 Nov/26/19
Description: AMAT, CASSETTE LOADER 200mm Lift and Rotate Cassette Trays, XR80, p/n 0010-92132
solanotraders Used - $608.00 0 Jul/07/18 Aug/06/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
sparesllc09 NEW - $95,000.00 0 Jul/18/18 Oct/12/18
Description: 575-800325-417 **3 PIECE SET** / 2300 FLEX EX +PM CH W GAS BOX AND RF CART/ LAM
semistrong1612 Used - $640.00 0 Jul/30/18 Aug/29/18
Description: 200mm AMAT Pedestal 0020-34017 RevB and ESC 0010-10328
solanotraders Used - $570.00 0 Aug/08/18 Sep/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
storemanager-2009 Used - $243.00 0 Aug/09/18 Aug/09/18
Description: 0040-00579 REMOTE EMO BOX AND SWITCH
capitolareatech NEW - $69.95 0 Aug/18/18 Oct/31/18
Description: Applied Materials (AMAT) 3700-01530 Centering Ring Viton and 72-MESH SCRN SSTAss
melisschot NEW - $1,800.00 1 Aug/20/18 Aug/24/18
Description: Applied Material AMAT DDF3 Head Module and Disk holders 0010-25163 DDF3 Head Mod
bobsgoodies2 Used - $139.00 0 Aug/22/18 Mar/31/22
Description: AMAT 0040-09271 BRACKET, BASE, WAFER LIFT with springs and adjusting screws.
offerandown NEW - $1.00 1 Aug/22/18 Aug/22/18
Description: 3 AMAT 0090-00925 001 STEPPER MOTORs and Lenovo 420 I7 owed to marzusa
qrecycle Used - $888.88 0 Sep/04/18 Sep/07/18
Description: Applied Materials 0040-39153 and 0020-19001
qrecycle Used - $888.88 0 Sep/07/18 Sep/10/18
Description: Applied Materials 0040-39153 and 0020-19001
solanotraders Used - $570.00 0 Sep/07/18 Oct/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
prism_electronics8 Used - $124.99 0 Sep/07/18 Aug/26/22
Description: APPLIED MATERIALS 0140-18061 Cover and Lid Harness Assembly
danhan1612 Used - $640.00 0 Sep/10/18 Oct/10/18
Description: 200mm AMAT Pedestal 0020-34017 RevB and ESC 0010-10328
qrecycle Used - $888.88 0 Sep/10/18 Sep/13/18
Description: Applied Materials 0040-39153 and 0020-19001
prism_electronics7 Used - $9,000.00 2 Sep/18/18 Mar/21/19
Description: AMAT 0010-20113 APPLIED MATERIALS ROBOT ASSEMBLY HUB AND ARMS
qrecycle Used - $888.88 0 Sep/18/18 Sep/21/18
Description: Applied Materials 0040-39153 and 0020-19001
athomemarket Used - $99.97 7 Sep/21/18 Oct/07/22
Description: AMAT 0010-29972 Centura 4.0 FI Controller with Backplane and Drives 3U CPCI
qrecycle Used - $888.88 0 Sep/21/18 Sep/24/18
Description: Applied Materials 0040-39153 and 0020-19001
sparesllc09 Used - $2,775.00 0 Sep/24/18 Feb/11/20
Description: 0190-05111 / VIDEO AND CONTROL SWITCH SPECIFICATION /APPLIED MATERIALS AMAT
qrecycle Used - $888.88 0 Sep/25/18 Sep/28/18
Description: Applied Materials 0040-39153 and 0020-19001
techshop7777 Used - $739.99 0 Sep/25/18 Oct/25/18
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015
qrecycle Used - $888.88 0 Sep/30/18 Oct/03/18
Description: Applied Materials 0040-39153 and 0020-19001
qrecycle Used - $199.00 0 Oct/04/18 Oct/11/18
Description: Applied Materials 0040-39153 and 0020-19001
qrecycle Used - $199.00 0 Oct/11/18 Oct/18/18
Description: Applied Materials 0040-39153 and 0020-19001
qrecycle Used - $199.00 0 Oct/19/18 Oct/26/18
Description: Applied Materials 0040-39153 and 0020-19001
solanotraders Used - $760.00 0 Oct/19/18 Nov/18/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
qrecycle Used - $899.00 0 Oct/23/18 Oct/30/18
Description: Applied Material Chamber lift rffilter bd 0100-00698 and Sensor 0100-20073
qrecycle NEW - $899.00 0 Oct/23/18 Oct/30/18
Description: Applied Material Liquid Injector bd 0100-09210 and tc amp 0100-09225
autoquip7 NEW - $950.00 0 Oct/24/18 Aug/03/19
Description: 0010-05155, AMAT, BEZAL WITH STATUS LIGHTS AND TURN TO RELEASE
solanotraders Used - $570.00 0 Nov/18/18 Dec/18/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
qrecycle Used - $899.00 0 Nov/21/18 Nov/26/18
Description: Applied Material Chamber lift rffilter bd 0100-00698 and Sensor 0100-20073
qrecycle Used - $899.00 0 Nov/27/18 Dec/04/18
Description: Applied Material Chamber lift rffilter bd 0100-00698 and Sensor 0100-20073
techshop7777 Used - $739.99 0 Nov/28/18 Dec/28/18
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015
qrecycle Used - $899.00 0 Dec/04/18 Dec/11/18
Description: Applied Material Chamber lift rffilter bd 0100-00698 and Sensor 0100-20073
gkservice2018 Used - $1,468.68 0 Dec/17/18 Sep/17/19
Description: Used and Tested V7668A V7668A-132L00 605-604676-006 LAM
autoquip7 NEW - $640.00 0 Dec/23/18 Jul/25/22
Description: 0140-18071 HARN ASSY,CHAMBER AND FORELINE PRESSURE
qrecycle Used - $188.00 0 Dec/25/18 Dec/28/18
Description: Applied Material Chamber lift RF Filter bd 0100-00698 and Sensor 0100-20073
solanotraders Used - $570.00 0 Dec/28/18 Jan/27/19
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
techshop7777 Used - $739.99 0 Dec/29/18 Jan/28/19
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015
qrecycle Used - $188.00 0 Dec/30/18 Jan/02/19
Description: Applied Material Chamber lift RF Filter bd 0100-00698 and Sensor 0100-20073
qrecycle Used - $188.00 0 Jan/04/19 Jan/07/19
Description: Applied Material Chamber lift RF Filter bd 0100-00698 and Sensor 0100-20073
dieseltdiguy Used - $299.99 0 Jan/06/19 Feb/05/19
Description: Wafer Bias 13.56 Match and Pedestal Cable AMAT 0150-22371
qrecycle Used - $188.00 0 Jan/07/19 Jan/10/19
Description: Applied Material Chamber lift RF Filter bd 0100-00698 and Sensor 0100-20073
dieseltdiguy NEW - $9.33 1 Jan/07/19 Aug/05/21
Description: Applied Materials Gasket and Bolts 3700-03275 x3 3690-00678 x4
qrecycle Used - $188.00 0 Jan/11/19 Jan/14/19
Description: Applied Material Chamber lift RF Filter bd 0100-00698 and Sensor 0100-20073
qrecycle Used - $188.00 0 Jan/15/19 Jan/18/19
Description: Applied Material Chamber lift RF Filter bd 0100-00698 and Sensor 0100-20073
qrecycle Used - $188.00 0 Jan/18/19 Jan/25/19
Description: Applied Material Chamber lift RF Filter bd 0100-00698 and Sensor 0100-20073
lrgonme23 NEW - $350.00 4 Jan/26/19 Feb/10/19
Description: AMAT APPLIED 0010-02171 ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ NEW(2available)
solanotraders Used - $608.00 0 Jan/27/19 Feb/26/19
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
techshop7777 Used - $739.99 0 Jan/28/19 Feb/27/19
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015
smartelektronikgmbh Used - $200.00 1 Feb/04/19 Feb/06/23
Description: 0100-09146 AMAT Applied Materials / Centerfinder Sensor BD. 5,6 and 8 inch
dieseltdiguy Used - $299.99 1 Feb/12/19 Feb/13/19
Description: Wafer Bias 13.56 Match and Pedestal Cable AMAT 0150-22371
maxisemi1349 NEW - $300.00 0 Mar/27/19 Apr/14/21
Description: 0190-09062 ASSY TC PROBE (NEW), lot of 2 and feedthru
getspares.com_sparesllc09 Used - $1,812.89 0 Apr/01/19 Nov/30/22
Description: 0010-02171 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
prism_electronics7 Used - $9,000.00 1 Apr/04/19 Oct/21/19
Description: AMAT 0240-75090 APPLIED MATERIALS Endura HP ROBOT ASSEMBLY HUB AND ARMS
solanotraders Used - $608.00 1 Apr/12/19 Nov/23/20
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
spsglobal Used - $60.00 5 Apr/25/19 Jul/11/22
Description: 323-0401// AMAT APPLIED 0090-20003 SENSOR ASSY,VALVE SENSOR AND 2ND SOURCE NEW
getspares.com_sparesllc09 Used - $1,250.03 0 May/11/19 Mar/14/23
Description: 0040-75862-004 W/BELLOWS and SMC / OBS BRACKET / APPLIED MATERIALS AMAT
bt_store1 Used - $1,800.00 0 May/15/19 Jul/15/21
Description: AMAT 0010-02171 ASSY RIGHT HAND PIVOT AND BEARING HP/VHP ROBOT WRIST
bt_store1 Used - $1,500.00 1 May/16/19 Nov/12/19
Description: AMAT 0100-76042 ASSY PCB EWOB AND OTF CENTERFINDER
mindyandsweet Used - $224.95 1 May/20/19 Jun/26/19
Description: Moxa NPort 6650-16 and 6450 4-Port secure terminal server AMAT 0190-33981
expertsurplus Used - $225.00 1 May/29/19 Mar/24/22
Description: Applied Materials Precision 8300 cables 0150-00095 and 0150-00096 cable lot
farmoninc NEW - $250.00 1 Jun/10/19 Mar/01/20
Description: AMAT 0240-05308 KIT, DC Bias w/ Modified Flex Conductor AND, 451400
getspares.com_sparesllc09 Used - $5,500.03 0 Jun/26/19 Jul/28/22
Description: 0100-76042 / ASSY, PCB EWOB AND OTF/CENTERFINDER W/ EXCHANGE / APPLIED MATERIALS
quange141004 NEW - $220.00 1 Jul/02/19 May/04/20
Description: LAM 715-008721-001 Anodized Upper Electrode. MCDOWELL AND COMPANY 715-8721-1.
zindchau15 NEW - $95.00 0 Jul/03/19 Oct/23/21
Description: PARKER FITTING 2 INCH FEMALE 32-32CL-S Female Cam and Groove AMAT 3300-04678
spsglobal Used - $20.00 5 Jul/08/19 Mar/28/22
Description: 344-0503// AMAT APPLIED 0015-09232 ADJUSTMENT SCREW, SUSC. AND WAFER LIFT NEW
getspares.com_sparesllc09 Used - $3,600.98 0 Jul/09/19 Aug/23/23
Description: 0010-03628 / CENTURA MSM, WITH CD ROM AND WIN NT/APPLIED MATERIALS
smartelektronikgmbh Used - $290.00 0 Jul/15/19 Nov/10/20
Description: 0040-75731 / TRAY, IO AND PNEUMATIC CENTURA CH FAC
semiconusa Used - $3,199.00 0 Jul/15/19 Apr/24/20
Description: Applied Materials AMAT 0100-76042, ASSY, PCB EWOB AND OTF/CENTERFINDER
spsglobal Used - $30.00 0 Jul/29/19 Feb/10/20
Description: 323-0401// AMAT APPLIED 0090-20003 SENSOR ASSY,VALVE SENSOR AND HARNESS USED
roundtable1 Used - $299.00 1 Jul/28/19 Mar/28/23
Description: Mks E52951 8p53 Amat 9515-0278 Valve And Heater 0050-14937
bt_store22 Used - $150.00 0 Jul/31/19 Aug/31/20
Description: AMAT 0100-30019 WATLOW TYPE 4X AND 12ENCLOSURE 0100-30019 CONTROLLER pc
maxisemi1349 Used - $50.00 1 Aug/06/19 Mar/22/22
Description: 0020-30886 and 0020-30887 WASHER INCONEL X-750 NI PLA
surpluscellar59 NEW - $2,000.00 1 Sep/10/19 Oct/09/19
Description: Square D Interface AMAT and cable 0190-09547 cable 9842 AMAT Scriplex New
j316gallery Used - $2,000.00 0 Sep/24/19 Oct/01/19
Description: 7353 APPLIED MATERIALS ROTATION UPPER AND LOWER BEARING 0020-39360 & 0020-39361
getspares.com_sparesllc09 Used - $10,500.00 0 Oct/09/19 Sep/08/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
semipartsdeal Used - $16,500.00 1 Oct/15/19 Dec/02/19
Description: AMAT 300MM UNPLAT NON CRR 0010-23250 ROBOT AND DRIVER 0190-25030
getspares.com_sparesllc09 Used - $128.50 0 Oct/28/19 Jun/20/24
Description: 3300-04678 / PARKER 2 INCH CAM AND GROOVE FITTING 20DL / AMAT
technology_and_more NEW - $79.99 0 Oct/29/19 Jun/09/20
Description: 1 Pc APPLIED MATERIALS 0140-18061 Cover and Lid Harness Assembly
prism_electronics7 Used - $8,550.00 0 Nov/14/19 Mar/02/20
Description: AMAT 0240-75090 APPLIED MATERIALS Endura HP ROBOT ASSEMBLY HUB AND ARMS
getspares.com_sparesllc09 Used - $400.99 0 Nov/15/19 Aug/23/24
Description: 853-001154-004 / LAM EXIT WAFER ARM AND GEAR ASSEMBLY 200MM / LAM
talon664-6 NEW - $73.63 0 Nov/21/19 Jan/01/20
Description: Novellus 16-167441-00 Altus Upgrade Kit, Tube Clamp and SHTML, BKM3 Upgrade
getspares.com_sparesllc09 Used - $1,000.00 0 Dec/03/19 Aug/27/21
Description: 810-495659-304 / BICEP ESC POWER SUPPLY W\ HEATER FILTER AND ESC FILTER BI / LAM
capitolareatech Used - $22.69 0 Dec/06/19 Aug/13/20
Description: APPLIED MATERIALS (AMAT) 0140-09028 ASSY HARNESS ELEVATOR HOME AND COMB
talon664-6 NEW - $237.50 0 Jan/01/20 Jan/01/21
Description: Novellus 16-167441-00 Altus Upgrade Kit, Tube Clamp and SHTML, BKM3 Upgrade
capitolareatech Used - $199.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials AMAT 0140-76309 HARNESS ASSY TRANSFER CHAMBER AND OPTIONS
j316gallery Used - $814.25 2 Jan/21/20 Aug/04/22
Description: 15796 APPLIED MATERIALS ASSY, LEFT HAND, PIVOT AND BEARING HP+/V 0010-02170
capitolareatech Used - $69.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-76046 FLAG, HOME AND COMB LEFT STORAGE ELEVATOR
j316gallery Used - $221.92 0 Jan/30/20 Jul/31/24
Description: 1289 APPLIED MATERIALS SENSOR ASSY,VALVE SENSOR AND HARNESS 0090-04140
novusferro Used - $399.00 0 Jan/31/20 Jan/23/22
Description: MKS AS00269-01 HPCVD Interlock AMAT 0190-25911 and 0100-01396
chuynconnie NEW - $250.00 8 Feb/05/20 Sep/30/20
Description: 0010-02173 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
chuynconnie NEW - $250.00 8 Feb/05/20 Aug/24/20
Description: AMAT APPLIED 0010-02172 ASSY, LEFT HAND PIVOT AND BEARING, NEW. Still Sealed.
getspares.com_sparesllc09 Used - $1,244.00 1 Feb/11/20 Oct/20/20
Description: 0190-05111 / VIDEO AND CONTROL SWITCH SPECIFICATION / APPLIED MATERIALS AMAT
dr.dantom Used - $620.00 2 Feb/19/20 Aug/09/22
Description: AMAT Applied Materials 0242-23655 DC+RF Power Supply Support Rails And Nois Kit
getspares.com_sparesllc09 Used - $500.00 1 Feb/24/20 Apr/16/21
Description: 0010-09120 / ASSY HEATSINK AND MOTOR / APPLIED MATERIALS AMAT
prism_electronics12 Used - $8,100.00 0 Mar/02/20 May/22/20
Description: AMAT 0240-75090 APPLIED MATERIALS Endura HP ROBOT ASSEMBLY HUB AND ARMS
spsglobal Used - $10.00 0 Mar/04/20 Feb/17/22
Description: 324-0201// AMAT APPLIED 0020-04137 CLAMP, 1/4 TUBE AND FILTER USED
getspares.com_sparesllc09 Used - $123.90 0 Mar/05/20 Aug/25/22
Description: 3460-01085 / MKR WIRE IN AND IN VIN CLOTH / AMAT
sppl-87 Used - $70.00 0 Mar/12/20 May/12/20
Description: AMAT 0090-00154, Pendant and Cable, Controller, Telemecanique XAC-A205. 416424
getspares.com_sparesllc09 Used - $812.41 0 Mar/23/20 Feb/15/22
Description: 0021-19312 / PLATE, BLOCKER TXZ, 300MM, CLEANED AND CERTIFIED / AMAT
niuman.store NEW - $179.80 19 Apr/10/20 Dec/10/20
Description: AMAT Applied Materials 0015-20150 Ball Screws And Actuators OEM Brand NEW MINT
j316gallery Used - $290.80 0 Apr/16/20 Feb/24/22
Description: 17446 APPLIED MATERIALS KIT DC AND RF POWER NOISE GND 800MM EQPT RACK 0242-42305
sppl-87 Used - $135.00 0 May/14/20 Nov/12/20
Description: AMAT 0090-00154, Pendant and Cable, Controller, Telemecanique XAC-A205. 416424
senior-inc Used - $350.00 1 May/16/20 Dec/19/21
Description: AMAT 0100-77068 ROBOT DUAL BLADE AND WAFER MAPPING / SCHEM. REF. 0130-77068
semilune Used - $5,000.00 0 May/20/20 Sep/20/20
Description: APPLIED MATERIALS 0100-76042 ASSY, PCB EWOB AND OTF/CENTERFINDER
stillphil7 Used - $550.00 0 Jul/23/20 May/14/24
Description: AMAT 0100-00169 wPROM B, 256K PWB Motorola VMEmodule (2 in stock)
stillphil7 Used - $180.00 0 Jul/23/20 May/09/24
Description: (AMAT) 0100-00127 MVME 202 PCB MOTOROLA Clean And Tested
capitolareatech NEW - $10.95 0 Aug/14/20 Sep/30/24
Description: Applied Materials (AMAT) 0020-04137 CLAMP, 1/4 TUBE AND FILTER
capitolareatech NEW - $180.95 0 Aug/17/20 Jul/03/24
Description: LAM 839-024561-100 LAM WELDMENT ENTRANCE AND VENTILATION LINE
jacyus9 NEW - $8,999.99 1 Aug/19/20 Aug/20/20
Description: AMAT Applied Materials 0020-70284 Rev. 002 ASSY OUTER MAGNETS AND TUBE
jacyus9 NEW - $9,999.99 1 Aug/19/20 Aug/20/20
Description: AMAT Applied Materials 0010-01712 ASSY OUTER MAGNETS AND TUBE HP ROBOT
getspares.com_sparesllc09 Used - $2,700.68 0 Aug/21/20 Nov/17/21
Description: 716-069709-053 / FLEX E 2300 CERAMIC CHAMBER RING AND QUARTZ / LAM RESEARCH COR
capitolareatech Used - $49.95 0 Aug/24/20 Aug/31/23
Description: Applied Materials (AMAT) 0140-09028 HARNESS, ASSY. ELEVATOR HOME AND COMB
capitolareatech Used - $129.95 0 Aug/24/20 May/10/23
Description: APPLIED MATERIALS 0600-01010 DAYTON 3M534 MOTOR, FAN AND BLOWER 115V .73A
j316gallery NEW - $1,555.40 0 Oct/27/20 Jul/13/22
Description: 21653 APPLIED MATERIALS ASSY LEFT HAND PIVOT AND BEARING HP+/V (NEW) 0010-02170
ea_3431 NEW - $900.00 0 Oct/30/20 Nov/03/20
Description: AMAT APPLIED 0010-02171 ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ NEW! ALL SEALED
ea_3431 NEW - $800.00 0 Nov/03/20 Nov/04/20
Description: 316-0403// AMAT APPLIED 0010-02171 ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ NEW
getspares.com_sparesllc09 Used - $2,775.00 0 Nov/03/20 May/26/22
Description: 0190-05111 / VIDEO AND CONTROL SWITCH SPECIFICATION / APPLIED MATERIALS AMAT
ea_3431 NEW - $750.00 0 Nov/04/20 Nov/05/20
Description: 0010-02171 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
ea_3431 NEW - $300.00 0 Nov/06/20 Nov/11/20
Description: 0010-02173 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
wideerp01 Used - $510.00 0 Nov/10/20 Nov/17/20
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
ea_3431 NEW - $250.00 0 Nov/11/20 Nov/12/20
Description: 0010-02173 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
j316gallery Used - $1,800.00 0 Nov/12/20 Jun/03/21
Description: 21991 APPLIED MATERIALS PCB, ASSY, PCB EWOB AND OTF/CENTERFINDER 0100-01985
ea_3431 NEW - $400.00 0 Nov/12/20 Nov/27/20
Description: 0010-02171 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
wideerp01 Used - $510.00 0 Nov/17/20 Nov/24/20
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
getspares.com_sparesllc09 Used - $14,978.00 0 Nov/17/20 Feb/11/21
Description: 0040-87219 / 300 CHAMBER AND LINER / APPLIED MATERIALS AMAT
wideerp01 Used - $400.00 0 Nov/24/20 Dec/01/20
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
instockindustrial NEW - $197.62 0 Nov/24/20 Dec/24/20
Description: Novellus 16-167441-00 Altus Upgrade Kit, Tube Clamp and SHTML, BKM3 Upgrade
ea_3431 NEW - $325.00 0 Nov/27/20 Dec/08/20
Description: 0010-02171 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
wideerp01 Used - $400.00 0 Dec/01/20 Dec/08/20
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
novusferro Used - $999.00 0 Dec/02/20 Dec/08/22
Description: Applied Materials AMAT 0040-48534 and 0040-48535 Alignment Clamp
wideerp01 Used - $400.00 0 Dec/08/20 Dec/15/20
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
ea_3431 NEW - $250.00 5 Dec/08/20 Dec/08/20
Description: 0010-02171 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
xl-t_com NEW - $39.99 0 Dec/09/20 Oct/19/21
Description: LAM - 675-000465-001 - SOCKET, P AND B RELAY
ea_3431 NEW - $200.00 0 Dec/09/20 Dec/15/20
Description: 0010-02173 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
wideerp01 Used - $400.00 0 Dec/15/20 Dec/22/20
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
ea_3431 NEW - $175.00 0 Dec/15/20 Dec/21/20
Description: 0010-02173 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
lagpat NEW - $126.00 0 Dec/16/20 Apr/16/24
Description: Amat 0140-76725 HARNESS ASSY CIOC TO PYRO HUB PWR AND TV PWR ACP
ea_3431 NEW - $150.00 0 Dec/21/20 Jan/04/21
Description: 0010-02173 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
wideerp01 Used - $400.00 0 Dec/22/20 Dec/29/20
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
instockindustrial NEW - $249.85 0 Dec/26/20 Sep/09/22
Description: Novellus 16-167441-00 Altus Upgrade Kit, Tube Clamp and SHTML, BKM3 Upgrade
wideerp01 Used - $400.00 0 Dec/29/20 Jan/05/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
ea_3431 NEW - $125.00 0 Jan/04/21 Jan/15/21
Description: 0010-02173 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
wideerp01 Used - $400.00 0 Jan/05/21 Jan/12/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
wideerp01 Used - $300.00 0 Jan/12/21 Jan/19/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
grandbirdnet NEW - $3,900.00 0 Jan/17/21 Oct/19/23
Description: NOVELLUS 02-257240-00 CERAMIC AND SIDE STRUTS, NEW
ea_3431 NEW - $100.00 0 Jan/15/21 Jan/24/21
Description: 0010-02173 / ASSY, RIGHT HAND PIVOT AND BEARING, HP+/ / APPLIED MATERIALS AMAT
wideerp01 Used - $275.00 0 Jan/21/21 Jan/28/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
farmoninc NEW - $195.00 0 Jan/26/21 Nov/02/21
Description: Swagelok B-4MX M and L Series Metering Valve, 1/4", AMAT 3870-01208, 100055
farmoninc NEW - $195.00 0 Jan/26/21 Mar/24/22
Description: Swagelok B-4MX M and L Series Metering Valve, 1/4", AMAT 3870-01208, 100054
grandbirdnet NEW - $3,500.00 0 Jan/27/21 Oct/19/23
Description: AMAT 0240-03302 KIT, TC FILTER AND AMPLIFIER, NEW
wideerp01 Used - $275.00 0 Jan/28/21 Feb/04/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
myriadindustrial Used - $89.99 9 Feb/03/21 Jul/09/22
Description: KNF Flodos Pump and 24V Electric Diaphragm PML 3805-NF 60 AMAT 3620-00149
wideerp01 Used - $275.00 0 Feb/04/21 Feb/11/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
wideerp01 Used - $275.00 0 Feb/11/21 Feb/18/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
wideerp01 Used - $275.00 0 Feb/18/21 Feb/25/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
wideerp01 Used - $275.00 0 Feb/25/21 Mar/04/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
banyanteam NEW - $45.50 1 Mar/01/21 May/16/22
Description: Novellus 00-674948-00 Ribbon Cable And Connector Assembly Rev G
wideerp01 Used - $275.00 0 Mar/12/21 Mar/19/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
rtxparts Used - $1,350.00 0 Mar/19/21 Sep/14/21
Description: AMAT 0021-20838 Rev-003 and 0021-21260 REV 001 LG OG PRECLEAN
wideerp01 Used - $275.00 0 Mar/19/21 Mar/26/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
wideerp01 Used - $275.00 0 Mar/26/21 Apr/02/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
xl-t_com Used - $140.00 0 Mar/30/21 Oct/19/21
Description: APPLIED MATERIALS - 0140-09042 - Harness, Slit, I/O and Stor Elevator
xl-t_com Used - $100.00 0 Apr/01/21 Oct/19/21
Description: APPLIED MATERIALS - 0140-09042 - Harness, Slit, I/O and Stor Elevator
wideerp01 Used - $275.00 0 Apr/02/21 Apr/09/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
wideerp01 Used - $275.00 0 Apr/09/21 Apr/16/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
fslab1103 Used - $150.00 1 Apr/12/21 Apr/14/21
Description: Applied Materials, AMAT 0190-70024, Light Pen for P5000, Centura and Endura
wideerp01 Used - $275.00 0 Apr/16/21 Apr/23/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
testeqe Used - $449.99 1 Apr/17/21 Feb/28/24
Description: NEW Applied Materials/AMAT PN: 0200-00058 Gas Ring, Small Holes and Channels
getspares.com_sparesllc09 Used - $550.00 0 Apr/21/21 Apr/29/21
Description: 0010-09120 / ASSY HEATSINK AND MOTOR / APPLIED MATERIALS AMAT
wideerp01 Used - $275.00 0 Apr/23/21 Apr/30/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
wideerp01 Used - $275.00 0 Apr/30/21 May/07/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
wideerp01 Used - $275.00 0 May/07/21 May/14/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
wideerp01 Used - $275.00 0 May/14/21 May/20/21
Description: LAM Research 716-011830-008 Ring Wafer Clamp TCP Ceramic 200m Cleaned And Sealed
usedeqsales Used - $15,005.20 1 May/26/21 Sep/24/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
expertsurplus Used - $2,900.00 1 Jun/16/21 Nov/19/21
Description: CTI 8510 Compressor, remanufactured and stored as a spare part 8031315
anacapaequipment Used - $175.00 1 Jun/16/21 Jul/16/21
Description: CTI Cryogenics 8043074G120, 183-04 NTB, 10 Feet Supply and Return Line (2929T)
capitolareatech NEW - $4,495.95 0 Jul/03/21 Mar/01/22
Description: Applied Materials (AMAT) 0100-76042 ASSY, PCB EWOB AND OTF/CENTERFINDE
comwaysind Used - $197.00 1 Jul/10/21 Mar/02/23
Description: AMAT 0041-88808 Rev. 003 Applied Materials CMP / etch and Semiconductor store sp
rtxparts Used - $4,500.00 0 Jul/15/21 Sep/14/21
Description: Amat 200 PM chamber quartz kit., 0200-10180, 0020-31488 0020-31488, and more.
anacapaequipment Used - $175.00 1 Jul/19/21 Sep/15/22
Description: CTI-Cryogenics 10' Helium Supply and Return Line, SS Lot of 2 (8014)W
comwaysind Used - $147.00 0 Jul/28/21 May/23/23
Description: Optical cable AMAT 0190-43236 Applied Materials etch / CMP,CVD,IMP and
bobsgoodies2 Used - $250.00 0 Aug/10/21 Mar/31/22
Description: Applied Materials (AMAT) 0010-09120 ASSY HEATSINK AND MOTOR
getspares.com_sparesllc09 Used - $19,506.00 0 Aug/23/21 Jun/11/24
Description: 0090-91763 / GAS AND TEMP CHASSIS (SDS) / APPLIED MATERIALS AMAT
rtxparts Used - $4,500.00 0 Sep/15/21 Jan/11/22
Description: Amat 200 PM chamber quartz kit., 0200-10180, 0020-31488 0020-31488, and more.
rtxparts Used - $1,350.00 0 Sep/15/21 Nov/16/21
Description: AMAT 0021-20838 Rev-003 and 0021-21260 REV 001 LG OG PRECLEAN
cubit001 Used - $1,200.00 0 Sep/15/21 Oct/02/21
Description: 0100-76029 CHBR TRAY INTC A AND C CENT MCVD
katiil3 Used - $999.00 0 Sep/16/21 Oct/23/21
Description: AMAT Applied Materials 0270-03297 Trolley And Rail Service Lift
therightstuff619 Used - $495.00 1 Sep/20/21 Jan/20/23
Description: CTI-Cryogenics 8001 Controller and 8300 Helium Compressor
cubit001 Used - $1,200.00 0 Oct/03/21 Oct/19/21
Description: 0100-76029 CHBR TRAY INTC A AND C CENT MCVD
epigod Used - $3,000.00 0 Oct/07/21 Oct/13/21
Description: CTI Cryotorr 10 pump, 10" VAT gate valve, and 8500 compressor
torrom_120 NEW - $999.00 0 Oct/12/21 Mar/16/23
Description: AMAT 0010-17406 ASSMBLY,LH PIVOT AND BEARING 300MM ROBOT WRIST
torrom_120 NEW - $999.00 0 Oct/12/21 Mar/16/23
Description: AMAT 0010-17407 ASSMBLY,RH PIVOT AND BEARING 300MM ROBOT WRIST NEW
40-30sourcing NEW - $399.00 0 Oct/13/21 Jun/07/22
Description: SET of 32 Aeroquip dust cap and dust plug
40-30sourcing Used - $999.00 0 Oct/13/21 Feb/17/22
Description: CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE Supply and Return Line 30 ft
40-30sourcing Used - $449.00 0 Oct/13/21 Jun/07/22
Description: CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE Supply and Return Line 10 ft
40-30sourcing Used - $7,500.00 0 Oct/13/21 Feb/17/22
Description: SET OF COMPRESSOR 9600 with ON-BOARD FREQUENCY CONVERTER and lines
epigod Used - $3,000.00 0 Oct/13/21 Oct/20/21
Description: CTI Cryotorr 10 pump, 10" VAT gate valve, and 8500 compressor
epigod Used - $2,500.00 0 Oct/20/21 Oct/27/21
Description: CTI Cryotorr 10 pump, 10" VAT gate valve, and 8500 compressor
epigod Used - $2,500.00 1 Oct/27/21 Oct/29/21
Description: CTI Cryotorr 10 pump, 10" VAT gate valve, and 8500 compressor
yogiandbooboobears Used - $3,856.40 0 Oct/31/21 Nov/10/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
modelkits4u Used - $3,856.40 0 Oct/31/21 Nov/10/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
ctc-vehicles Used - $1.00 0 Nov/05/21 Nov/12/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
katiil3 Used - $999.00 1 Nov/09/21 Mar/09/22
Description: AMAT 0010-92132 CASSETTE LOADER 200mm Lift and Rotate Cassette Trays, XR80.
katiil3 Used - $999.00 0 Nov/09/21 Mar/09/22
Description: AMAT Applied Materials 0270-03297 Trolley And Rail Service Lift
spalding_fasteners Used - $3,000.00 0 Nov/11/21 Nov/21/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
lartonlivery Used - $1.00 0 Nov/15/21 Nov/25/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
rtxparts Used - $1,350.00 0 Nov/16/21 Feb/10/22
Description: AMAT 0021-20838 Rev-003 and 0021-21260 REV 001 LG OG PRECLEAN
forestfundamentals Used - $1.00 0 Nov/18/21 Nov/28/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
iluvltd Used - $2,000.00 0 Nov/18/21 Nov/28/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
retrostarlondon Used - $3,000.00 0 Nov/19/21 Nov/29/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
whybee-adventure Used - $3,000.00 0 Nov/20/21 Nov/27/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
tiswas Used - $3,000.00 0 Nov/20/21 Nov/30/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
rtxparts Used - $600.00 0 Nov/23/21 Feb/10/22
Description: Amat 0010-02177, 0010-02176, Assy, RH, Pivot and bearing, 300mm Rev 1
bookbinder2010 Used - $1.00 0 Nov/23/21 Dec/03/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
itrecyclenow Used - $100.00 0 Nov/29/21 Apr/05/22
Description: 8 Pin male coupling and approx. 11' Belden cable CTI-Cryogenics
degree6 NEW - $52.00 0 Dec/04/21 Feb/17/22
Description: Applied Materials (AMAT) 0140-13099 and 0140-13100 HARNESS ASSY
rtxparts Used - $4,500.00 0 Jan/11/22 Feb/15/22
Description: Amat 200 PM chamber quartz kit., 0200-10180, 0020-31488 0020-31488, and more.
ea-1173 NEW - $500.00 0 Jan/11/22 Jan/12/22
Description: AMAT APPLIED 0010-02172 ASSY, LEFT HAND PIVOT AND BEARING, NEW In Closed Package
pnw-shop5 Used - $3,000.00 0 Jan/11/22 Jan/11/22
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
baseoftree1 Used - $4,187.90 0 Jan/11/22 Jan/11/22
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
egg.n.chips.clothing Used - $15.21 0 Jan/14/22 Jan/14/22
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
ledlightingsolution Used - $15.21 0 Jan/14/22 Jan/14/22
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
ea-1173 NEW - $250.00 1 Jan/18/22 Jan/18/22
Description: AMAT APPLIED 0010-02172 ASSY, LEFT HAND PIVOT AND BEARING, NEW In Closed Package
chick666995 Used - $1,000.00 1 Jan/19/22 Jan/19/22
Description: 0520-01044 AMAT BALL SCREW AND SPLINE
chick666995 Used - $1,000.00 1 Jan/24/22 Jan/24/22
Description: 0520-01044 AMAT BALL SCREW AND SLPINE
farmoninc Used - $5,500.00 0 Jan/24/22 Mar/09/22
Description: AMAT 0010-76060 P5000 Platform VME rack witHOUT CARD, 5.25 FDD AND GMS B, 103354
ea-1173 NEW - $250.00 2 Feb/01/22 Feb/01/22
Description: AMAT APPLIED 0010-02172 ASSY, LEFT HAND PIVOT AND BEARING, NEW In Closed Package
rtxparts Used - $1,350.00 0 Feb/10/22 Aug/09/22
Description: AMAT 0021-20838 Rev-003 and 0021-21260 REV 001 LG OG PRECLEAN
rtxparts Used - $600.00 0 Feb/10/22 Aug/09/22
Description: Amat 0010-02177, 0010-02176, Assy, RH, Pivot and bearing, 300mm Rev 1
ea-1173 NEW - $250.00 2 Mar/01/22 Mar/01/22
Description: AMAT APPLIED 0010-02172 ASSY, LEFT HAND PIVOT AND BEARING, NEW In Closed Package
rtxparts Used - $2,900.00 0 Mar/23/22 Dec/12/22
Description: Nikon 4S782-766 / Step and Repeat Controller ALCP Sensor Unit
ea-1173 NEW - $250.00 2 Apr/01/22 Apr/01/22
Description: AMAT APPLIED 0010-02172 ASSY, LEFT HAND PIVOT AND BEARING, NEW In Closed Package
ea-1173 NEW - $250.00 10 Apr/03/22 Apr/03/22
Description: AMAT APPLIED 0010-02173 ASSY, RIGHTHAND PIVOT AND BEARING, NEW In Closed Package
usedeqsales Used - $2,004.22 0 Apr/05/22 Apr/02/23
Description: AMAT Applied Materials 0040-09002 Etch Chamber Body and Base Set of 2 Working
itrecyclenow Used - $100.00 0 Apr/05/22 Jul/27/22
Description: 8 Pin male coupling and approx. 11' Belden cable CTI-Cryogenics
cosplity Used - $13,500.00 0 Apr/26/22 Oct/26/22
Description: AMAT 0190-04681 ROTARY UNION AND SLIP RING ASSY, 300MM
cosplity NEW - $1,100.00 0 Apr/27/22 Mar/02/23
Description: AMAT 0190-01759 BALL SCREW AND NUT
cosplity Used - $6,000.00 0 Apr/27/22 Feb/27/23
Description: AMAT 0010-06800 ASSY, FLOWMETER, HPR1 AND HPR2, DI WATER, MIRRA
rgb_automatyka Used - $1,132.71 0 May/23/22 Jul/13/22
Description: ADVANCED ENERGY 2A400-45HRL1 2-000-001-073 2-000-001-073 AC AND DC MOTORS ID3...
getspares.com_sparesllc09 Used - $1,675.68 0 May/23/22 Sep/27/22
Description: 0010-46612 / MOTORIZED TILT ASSY AG160 MOTOR AND GEARBOX /APPLIED MATERIALS AMAT
farmoninc Used - $150.00 0 Jun/01/22 Mar/20/24
Description: AMAT 0190-13035 LAMP, BAKEOUT WITH 2.5INCH LEADS AND LUGS, 106143
visionsemi Used - $300.00 0 Jun/28/22 Mar/02/23
Description: AMAT APPLIED MATERIALS PHASE AND MAGNITUDE DETECTOR BD ASSY 0100-09302 REV 001
intek22 Used - $1,200.00 0 Jul/11/22 Feb/11/24
Description: APPLIED MATERIALS AMAT 0090-91586 X-Y DISPLACEMENT AND SPLITTER BOX
usedeqsales Used - $1,507.22 1 Jul/12/22 Aug/15/22
Description: Fuji EL90PO Earth Leakage Relay and Current Sensor AMAT 0680-50075 Lot of 3 New
itrecyclenow Used - $90.00 0 Jul/27/22 Nov/29/22
Description: 8 Pin male coupling and approx. 11' Belden cable CTI-Cryogenics
getspares.com_sparesllc09 Used - $6,999.03 0 Jul/28/22 Aug/25/22
Description: 0100-76042 / ASSY, PCB EWOB AND OTF/CENTERFINDER W/ EXCHANGE / APPLIED MATERIALS
rtxparts Used - $600.00 0 Aug/09/22 Dec/01/22
Description: Amat 0010-02177, 0010-02176, Assy, RH, Pivot and bearing, 300mm Rev 1
rtxparts Used - $1,350.00 0 Aug/09/22 Mar/14/23
Description: AMAT 0021-20838 Rev-003 and 0021-21260 REV 001 LG OG PRECLEAN
cosplity NEW - $1,900.00 2 Aug/29/22 Jan/09/23
Description: AMAT 0190-07239 LEAD SCREW AND NUT ASSEMBLY
cosplity NEW - $2,000.00 0 Aug/29/22 Sep/08/22
Description: AMAT 3300-06597 PYREX GLASS, GROUND AND PC
upndmtns Used - $800.00 1 Sep/02/22 Dec/01/22
Description: Advanced Energy (AE) RFX-600 And ATX-600 RF GENERATOR, And More 600 WATTS C3
sgcequipment Used - $75.00 0 Sep/22/22 Mar/05/24
Description: Applied Materials/AMAT 0090-20003 SENSOR ASSEMBLY, VALVE SENSOR AND HARNESS
getspares.com_sparesllc09 Used - $10,500.00 0 Sep/27/22 May/06/24
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
buyhitek Used - $750.00 1 Oct/10/22 Feb/02/23
Description: CTI-Cryogenics 8001 Controller and 8300 Helium Compressor
pyreneescollections Used - $21.65 0 Oct/11/22 Oct/11/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
grandbirdnet Used - $1,500.00 0 Oct/11/22 Mar/16/23
Description: AMAT 0190-05111 VIDEO AND CONTROL SWITCH SPECIFICATION, USED
filateliadesimoni Used - $21.65 0 Oct/22/22 Oct/22/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
recortitos Used - $21.65 0 Oct/24/22 Oct/24/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
c23min Used - $1,490.00 1 Oct/28/22 Nov/07/22
Description: Advanced Energy MDX-1K, DC Power Supply 3152105-006 G (Tested and working)
napoleon155 Used - $21.65 0 Oct/29/22 Oct/29/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
europlantsvivai Used - $2.99 0 Nov/19/22 Nov/19/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
itrecyclenow Used - $90.00 0 Nov/29/22 Feb/15/23
Description: CTI-Cryogenics 8 Pin male coupling and approx. 11' Belden cable
voltapaginanet Used - $3.99 0 Nov/29/22 Nov/30/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
rtxparts Used - $600.00 0 Dec/02/22 Mar/14/23
Description: Amat 0010-02177, 0010-02176, Assy, RH, Pivot and bearing, 300mm Rev 1
079gabriel2005 Used - $21.65 0 Dec/05/22 Dec/05/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
fma16000 Used - $21.65 0 Dec/08/22 Dec/08/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
getspares.com_sparesllc09 Used - $750.00 1 Dec/08/22 Sep/12/24
Description: 0090-03426 (LOT OF 2)/ASSY, HIGH PRECISION REFLECTORS AND BRACKET 300MM /APPLIED
rtxparts Used - $2,900.00 0 Dec/12/22 Mar/14/23
Description: Nikon 4S782-766 / Step and Repeat Controller ALCP Sensor Unit
getspares.com_sparesllc09 Used - $5,998.56 1 Dec/13/22 Jan/12/23
Description: 8033235 /CRYO TORR CTI 8R ANSI ROUGH LINE AND DIODE CONN/ CTI CRYOGENICS 8R PUMP
giftwearonline Used - $21.65 0 Dec/18/22 Dec/18/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
giftwearonline Used - $23.50 0 Dec/18/22 Dec/18/22
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
napo12 Used - $21.65 0 Dec/19/22 Dec/19/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
dgold32 Used - $1,299.99 1 Jan/10/23 Feb/01/23
Description: Lam Research motherboard V7668A-132L00W02 605-064676-008 Tested and Working
onestopbuys Used - $23.50 0 Jan/12/23 Jan/12/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
mppatrick Used - $21.65 0 Jan/14/23 Jan/14/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
liquiditech Used - $162.00 3 Jan/17/23 Jun/24/24
Description: 3300-04678 / PARKER 2 INCH CAM AND GROOVE FITTING 20DL / AMAT
ace_stellar_seller Used - $14,950.00 0 Jan/26/23 Feb/02/23
Description: CTI CRYOGENICS IS-1000 COMPRESSOR 8135961G001 WITH CUSTOM TABLE AND CRYO LINES
dgold32 Used - $1,199.99 1 Feb/08/23 Mar/27/23
Description: Lam Research motherboard V7668A-131000 605-109114-001 Tested and Working
zartons Used - $21.65 0 Feb/10/23 Feb/10/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
cosplity Used - $13,500.00 0 Feb/11/23 Apr/11/23
Description: AMAT 0190-04681 ROTARY UNION AND SLIP RING ASSY, 300MM
itrecyclenow Used - $100.00 0 Feb/15/23 Jun/27/23
Description: CTI-Cryogenics 8 Pin male coupling and approx. 11' Belden cable
sgcequipment Used - $1,500.00 0 Feb/21/23 Aug/31/23
Description: Nikon 4S015-197 NK386SX4-NSC Step and Repeat PCB
avoneverywhere Used - $23.50 0 Feb/26/23 Feb/27/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
eleganthairextensions Used - $21.65 0 Feb/26/23 Feb/26/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
olsonch1 Used - $125.00 1 Feb/28/23 Feb/28/23
Description: Advanced Energy GenCal Sensor Head, Control Box and Cable
ledamoiseau Used - $21.65 0 Mar/05/23 Mar/05/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
ledamoiseau Used - $23.50 0 Mar/05/23 Mar/05/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
papyrus10 Used - $21.65 0 Mar/12/23 Mar/13/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
papyrus10 Used - $23.50 0 Mar/12/23 Mar/13/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
rtxparts Used - $1,200.00 0 Mar/15/23 Oct/09/23
Description: Applied Materials REV 001 LG OG PRECLEAN AMAT 0021-20838 and 0021-21260
rtxparts Used - $600.00 0 Mar/15/23 Jun/22/23
Description: Amat Assy RH Pivot and bearing 300mm Rev 1 0010-02177 0010-02176
rtxparts Used - $2,600.00 0 Mar/15/23 Oct/09/23
Description: Nikon 4S782-766 / Step and Repeat Controller ALCP Sensor Unit
punki64 Used - $21.65 0 Mar/20/23 Mar/20/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
tfstech Used - $199.99 0 Mar/24/23 Oct/04/23
Description: AMAT 0150-00128 Phase and Magnitude Detector Applied Materials Working
en.avant.les.histoires Used - $6,300.00 0 Mar/25/23 Mar/25/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
sgcequipment Used - $7,000.00 0 Mar/27/23 Feb/22/24
Description: Advanced Energy MDX-10K SLAVE 3152012-043 and MDX-10K MASTER 3152012-041
dgold32 Used - $1,199.99 1 Mar/28/23 Mar/28/23
Description: Lam Research motherboard V7668A-131000 605-109114-001 Tested and Working
getspares.com_sparesllc09 Used - $1,908.03 1 Mar/29/23 Mar/01/24
Description: 810-495659-304 / BICEP ESC POWER SUPPLY W\ HEATER FILTER AND ESC FILTER BICEP II
astrade2012 NEW - $499.00 0 Mar/31/23 Apr/02/24
Description: HOKE FCW VALVE 100 PSIG SST CF8M AMAT 0190-13449 and AMAT 0190-13448 KIT
rodagon88 Used - $21.65 0 Apr/01/23 Apr/01/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
cosplity Used - $6,000.00 0 Apr/02/23 Jun/01/23
Description: AMAT 0010-06800 ASSY, FLOWMETER, HPR1 AND HPR2, DI WATER, MIRRA
bo19531 Used - $3.74 0 Apr/02/23 Apr/03/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
bo19531 Used - $2.99 0 Apr/02/23 Apr/03/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
dgold32 Used - $1,199.99 1 Apr/03/23 Sep/03/23
Description: Lam Research motherboard V7668A-131000 605-109114-001 Tested and Working
b2esurplus NEW - $249.41 0 Apr/04/23 Apr/14/23
Description: Novellus 16-167441-00 Altus Upgrade Kit, Tube Clamp and SHTML, BKM3 Upgrade
cosplity Used - $13,500.00 0 Apr/11/23 May/11/23
Description: AMAT 0190-04681 ROTARY UNION AND SLIP RING ASSY, 300MM
getspares.com_sparesllc09 Used - $650.68 0 Apr/18/23 Jun/01/23
Description: 16-900923-00 / DIFFUSER,HEMI,SINGLE ROW,RF SOURCE,G AND E / LAM RESEARCH
katiil3 Used - $499.00 1 Apr/26/23 Nov/26/23
Description: Applied materials AMAT 0246-03090 KIT PARTICLE RING AND CRYO SHIELD W/23
ssarah712 Used - $23.50 0 May/28/23 May/29/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
aincoln0 Used - $21.65 0 May/28/23 May/28/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
generalbonaparte Used - $14.28 0 Jun/04/23 Jun/04/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
generalbonaparte Used - $13.71 0 Jun/04/23 Jun/04/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
hhulo Used - $21.65 0 Jun/11/23 Jun/11/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
rtxparts Used - $600.00 0 Jun/22/23 Oct/09/23
Description: Applied Materials Assy RH Pivot and bearing 300mm0010-02177 AMAT 0010-02176
outletmp3 Used - $21.65 0 Jun/24/23 Jun/24/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
outletmp3 Used - $23.50 0 Jun/24/23 Jun/24/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT