[click to login]
WSEMI


TAGS > working

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
usedeqsales
[view on eBay]
Used 1
in stock
$707.78
Description: Lam Research 853-020936-001 Upper Seal Plate Assembly 4420 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$603.12
Description: Lam Research 810-017004-001 Solenoid Interlock BD PCB Rev. F Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$181.87
Description: Lam Research 853-025901-001-1-C136 EMO Assembly 4420 Etcher Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$303.12
Description: ASML 4022.471.4105 Interface Board PCB Card Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$303.12
Description: ASML 4022.471.7196 Interface VME Card PCB Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$303.12
Description: ASML PCB 4022.471.6817 Interface Board PCB Card Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$607.12
Description: Nikon 4S013-408 STGSTCRMEPX4 Connector Board PCB Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$546.71
Description: Nikon 4S018-715- OPDMTHX4 Connector Board PCB Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$211.88
Description: Lam Research 715-130092-008 200mm Robot End Effector Fork Rev. B Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$214.28
Description: AMAT Applied Materials 0040-75563 Load Lock Roughing Manifold Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$304.88
Description: AMAT Applied Materials 0100-20350 Operator Panel Bulkhead 300mm Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$1,008.13
Description: AMAT Applied Materials 0100-20353 Light Tower Operation Panel I/O Block Working
grandbirdnet
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0200-02873 200MM GDP PALTE, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: LAM RESEARCH 715-443202-001 RING, CPLG, ESC, JEIDA, 8", WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: LAM RESEARCH 853-494678-010 CABLE, WORKING
usedeqsales
[view on eBay]
Used 1
in stock
$840.13
Description: Novellus Systems 02-359080-00 Robot Linear Track Used Working
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0100-77033 PCB ASSEMBLY, WAFER LOSS BOARD, WORKING
grandbirdnet
[view on eBay]
Used 5
in stock
$1,100.00
Description: AMAT 0090-03123 SANYO DENKI P50B05005DCSA7M AC Servo Motor BL Super, WORKING
grandbirdnet
[view on eBay]
Used 22
in stock
$1,000.00
Description: AMAT 0190-15759 SANYO DENKI P30B04010DXS1CM AC Servo Motor BL Super, WORKING
grandbirdnet
[view on eBay]
Used 6
in stock
$1,400.00
Description: AMAT 0190-77528 SGMPH-04AAAP20 AC SERVO MOTOR, 400W 200V 2.6A, WORKING
grandbirdnet
[view on eBay]
Used 2
in stock
$900.00
Description: AMAT 0195-07983 SANYO DENKI P30B04010DXS8FM AC Servo Motor BL Super, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0015-09091 PHASETRONICS MCVD Lamp Driver, NOT WORKING
grandbirdnet
[view on eBay]
Used 7
in stock
$2,000.00
Description: AMAT 3970-00029 DRIVE RDCR PLANTARY GEARHEAD, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$220.00
Description: AMAT 0090-00444 E2EC-C1R5D2 PROX. SENSOR PC HOME & SLURRY ARM INTERL, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$155.00
Description: AMAT 0090-77072 ASSY,BLK PAD WAFER LOSS, WORKING
grandbirdnet
[view on eBay]
Used 3
in stock
$1,300.00
Description: AMAT 0090-00546 CABLE ASSEMBLY INPUT MODULE 300MM, DOOR, WORKING
grandbirdnet
[view on eBay]
Used 4
in stock
$2,000.00
Description: AMAT 0190-14828 P50B05020DXSAJM ASSY BRUSH DRIVE MOTOR, DESICA CLEANER, WORKING
grandbirdnet
[view on eBay]
Used 5
in stock
$720.00
Description: AMAT 0010-10371 ASSY, SENSOR BOX, HIGH EXHAUST, 300MM ME, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0190-14713 SANYO DENKI P30B06040HXS8RM AC SERVO MOTOR BL SUPER, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0090-00442 ELECT ASSY, 200W SERVO MOTOR W/BRAKE, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$760.00
Description: AMAT 0090-01636 MOTOR ASSY, 200W SERVO W/ BRAKE, SRD LIF, WORKING
grandbirdnet
[view on eBay]
Used 6
in stock
$20.00
Description: AMAT 0140-03875 CABLE INPUT MODULE, 300MM HVM,PICKUP,WP, WORKING
grandbirdnet
[view on eBay]
Used 4
in stock
$80.00
Description: AMAT 0140-78542 CABLE PWR HEAT EXCH/CDN066, WORKING
grandbirdnet
[view on eBay]
Used 10
in stock
$800.00
Description: AMAT 0140-77300 SEVOMOTOR, CAROUSEL, WORKING
grandbirdnet
[view on eBay]
Used 3
in stock
$100.00
Description: AMAT 0140-03929 CABLE, DI SIGNAL FOR DI FLOW METER, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$230.00
Description: AMAT 0150-77312 C/A LEAK SENSOR INTERCONNECT 50FT, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$80.00
Description: AMAT 0150-05205 CABLE ASSY, INTERCONNECT, LEAK DETECTOR, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$95.00
Description: AMAT 0140-77727 CBL ASSY PROCESS LIGHTS, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0150-77345 C/A DIGITAL ISRM INTLK, CNTRL POLISHER B, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-05032 CABLE ASSEMBLY, EXTENSION, RBT, ENC, MNF, WORKING
grandbirdnet
[view on eBay]
Used 2
in stock
$160.00
Description: AMAT 0140-77477 CABLE CONTROLLER FABS SERIAL COMM, 80 FT, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0150-05179 C/A FPD POWER BLKHD - FP, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$140.00
Description: AMAT 0150-05146 C/A MON/KYBD/MOUSE FP B SIGNAL, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$180.00
Description: AMAT 0150-02874 C/A MON/KYBD/MOUSE ENCL B SIGNAL, WORKING
grandbirdnet
[view on eBay]
Used 4
in stock
$130.00
Description: AMAT 0150-77473 C/A FPD POWER BLKHD - ENCLS MIRRA TRAK, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$380.00
Description: AMAT 0140-05027 CABLE ROBOT, ENCL MNFRM-ROBOT BLKHD, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$380.00
Description: AMAT 0150-04166 CBL 50' REMOTE START/STOP CONT MF-BLKHD, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0140-77640 C/A SIGNAL NOVA/MEGASONIC, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0150-77200 CBL ASSY EMO UMBIL. 75FT, WORKING
grandbirdnet
[view on eBay]
Used 2
in stock
$100.00
Description: AMAT 0140-12830 CABLE,PLTFRM BKHD SLURRY, WORKING
grandbirdnet
[view on eBay]
Used 3
in stock
$430.00
Description: AMAT 0140-78294 CABLE, PWR, PLATEN 2, LOTO BOX-MIRRA BLK, WORKING
grandbirdnet
[view on eBay]
Used 2
in stock
$75.00
Description: AMAT 0140-78297 CABLE, PWR, PAD COND 2, LOT BOX MIIRA-BL, WORKING
grandbirdnet
[view on eBay]
Used 3
in stock
$500.00
Description: AMAT 0190-15402 Turck SNNE-04A-0007 DeviceNet Hubs, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-77505 JIG, SUPPORT PAD, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$4,250.00
Description: AMAT 0010-14246 ASSY, 100-500CC SINGLE CHANNEL, SLURRY D, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 3020-01133 CYLINDER, AIR 12MMX40MM, WORKING
grandbirdnet
[view on eBay]
Used 2
in stock
$90.00
Description: AMAT 0140-78466 CABLE ASSY, UPPER ELEC/CHEMICAL FACILITY, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$110.00
Description: AMAT 0140-04902 HARNESS PAD 3, POLISHER BKHD COND & SWP, WORKING
grandbirdnet
[view on eBay]
Used 2
in stock
$11,500.00
Description: AMAT 0010-14714 ASSY, 4 PORT TP UPA REFLEXION, WORKING
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0150-04267 ASSEMBLY, WLS HOLDER AND CABLE, WORKING
usedeqsales
[view on eBay]
Used 1
in stock
$251.14
Description: Tylan FC-2900M Mass Flow Controller 2900 Series AMAT 0227-42246 Used Working
grandbirdnet
[view on eBay]
Used 56
in stock
$400.00
Description: AMAT 0090-00960 CABLE ASSY, PRESS TRANSDUCER 50 PSI RR-P, WORKING
grandbirdnet
[view on eBay]
Used 24
in stock
$450.00
Description: AMAT 0090-00963 CABLE ASSY PRESS TRANSDUCER -14.7-15, ZO, WORKING
usedeqsales
[view on eBay]
Used 1
in stock
$1,503.14
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F No Motors Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,501.88
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. E Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$2,503.14
Description: Novellus Systems 02-259457-00 C3 Vector Spindle Assembly Rev. F Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$1,501.88
Description: Novellus Systems 02-259457-00 C3 Vector Spindle Assembly Rev. G Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$901.88
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K No Motors Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,503.14
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. D No Motors Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,503.14
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J No Motors Used Working
grandbirdnet
[view on eBay]
Used 2
in stock
$50.00
Description: AMAT 0140-77181 CABLE, LOWER PNEUMATICS, USED WORKING
usedeqsales
[view on eBay]
Used 1
in stock
$608.14
Description: Lam Research 810-069751-103 Node Board Type 27 PCB 710-069751-002 Used Working
usedeqsales
[view on eBay]
Used 13
in stock
$408.14
Description: Lam Research 810-802901-305 MB Node 1 PM Common PCB 710-802901-305 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$308.14
Description: Lam Research 810-800156-101 Water Detector PCB 710-800156-101 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$602.49
Description: Novellus 02-281532-00 RF Match Source Aluminum Coil Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$154.29
Description: Clean Sciences 15-262478-00 PED Blankoff Novellus C3 Altus Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$807.15
Description: NANOmetrics 7300-013555 CE-Chuck Full Contact AMAT 3820-00003 Used Working
usedeqsales
[view on eBay]
Used 11
in stock
$244.29
Description: Novellus Systems 10-363935-00 RF Plasma Tube Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$157.15
Description: AMAT Applied Materials 0050-75257 Chamber to Cryo Vacuum Line Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$244.29
Description: AMAT Applied Materials 9091-01393 Loop Controller PXP41W Used Working
usedeqsales
[view on eBay]
Used 6
in stock
$159.15
Description: Novellus Systems AMV-GPT3-SNSR RF Module 34-170141-00 14427 Lot of 2 Working
usedeqsales
[view on eBay]
Used 1
in stock
$161.15
Description: AMAT Applied Materials 0150-35642 C/A M/F EXH Umbilical 32' Cable Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$162.15
Description: Novellus Systems 03-164888-00 DC/DC Converter Board PCB Rev. B Lot of 2 Working
usedeqsales
[view on eBay]
Used 2
in stock
$162.15
Description: Novellus Systems 03-164888-00 DC/DC Converter Board PCB Rev. A Lot of 2 Working
usedeqsales
[view on eBay]
Used 3
in stock
$1,507.29
Description: Novellus Systems 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,101.16
Description: Kensington 15-3600-0300-01 300mm Wafer Prealigner AMAT 0190-16360 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$451.16
Description: AMAT Applied Materials 0010-01087 Anneal Distribution PCB Panel Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$452.16
Description: AMAT Applied Materials 0100-00569 VME DC Power Distribution PCB Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$505.16
Description: AMAT Applied Materials 0020-10014 Lift Ring P5200 Precision 5200 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$155.16
Description: AMAT Applied Materials 0224-43876 Vacuum Tube 2 Inch NW40 Lot of 3 Used Working
usedeqsales
[view on eBay]
Used 7
in stock
$155.16
Description: AMAT Applied Materials 0040-36024 Vacuum Tube 5 Inch NW40 Lot of 2 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$156.16
Description: Millipore SureView DR Display Module AMAT 0940-00021 Lot of 10 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$506.16
Description: AMAT Applied Materials 0050-60347 90° High Vacuum Elbow ISO80 4VCR Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$407.16
Description: AMAT Applied Materials 0100-00925 I/O Processor Sensor PCB Card Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$145.01
Description: DIP 15029308 DIP293 PCB Board AMAT Applied Materials 9090-00273 Working
usedeqsales
[view on eBay]
Used 1
in stock
$707.16
Description: AMAT Applied Materials 0100-01735 EVR Gap Servo PCB Card Used Working
fa-parts
[view on eBay]
Used 7
in stock
$350.00
Description: LAM P2 MB VME BACKPLANE 810-800081-013 REV:A & 101VMEJ106-9001 REV:B WORKING
fa-parts
[view on eBay]
Used 2
in stock
$220.00
Description: LAM PCB ASSY DC CONTROLLER BOARD 810-800086-008 REV:A,710-800086-007 A WORKING
fa-parts
[view on eBay]
Used 4
in stock
$220.00
Description: LAM PCB ASSY DC CONTROLLER BOARD 810-800086-010 REV:C,710-800086-010 A WORKING
usedeqsales
[view on eBay]
Used 1
in stock
$262.16
Description: Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Y-RB Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$162.16
Description: AMAT Applied Materials 0100-00438 Interlock Personality Board PCB Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$252.17
Description: Aera FC-D981SBC Mass Flow Controller AMAT 3030-08675 10 SLM N2 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$252.17
Description: Tylan General FC-2902MEP-T Mass Flow Controller AMAT 3030-04074 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,003.17
Description: Deublin 957-600 Pneumatic Motor AMAT Applied Materials 0190-01272 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$203.17
Description: AMAT Applied Materials 0100-09040 7 Relay SSR AC Control Board PCB Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,804.17
Description: AMAT Applied Materials 0040-61813 200mm Chamber Centura RTP Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$305.17
Description: Proteus Industries 9812SA19P3 Flow Meter AMAT 0190-09338 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$305.17
Description: AMAT Applied Materials 0100-00579 System AC Distribution Board PCB Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,455.02
Description: Newport 15-3701-1425-25 300mm Wafer Handling Robot AMAT 0190-19124 Fork Working
usedeqsales
[view on eBay]
Used 5
in stock
$709.17
Description: AMAT Applied Materials 0100-02146 EVR Gap Servo PCB Card Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$181.42
Description: Lam Research 716-330190-081 Focus Ring 8" ESC Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$610.11
Description: AMAT Applied Materials 0100-00505 Vacuum Control Feedback PCB Card Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$428.48
Description: AMAT Applied Materials 0100-00504 Vacuum Control Feedback PCB Card Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$609.17
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. E Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$353.18
Description: Tylan FC-2952MEP5-T Mass Flow Controller AMAT 3030-04111 50SCCM CF4 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$512.13
Description: AMAT Applied Materials 0130-76117 Gen Rack Indicator PAC Card Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,003.13
Description: AMAT Applied Materials 9010-01545 S2S Softdrive SAF305-045 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,504.13
Description: Novellus Systems 26-166313-00 Interface Gamma 03-166313-00 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,502.14
Description: AMAT Applied Materials 9090-00846 Beamline Power Distribution Unit Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$705.15
Description: AMAT Applied Materials 0090-91694 Controller PCB Module Quantum Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,005.14
Description: AMAT Applied Materials 0200-00674 8” Dep Ring AMAT Endura 200mm Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,504.14
Description: AMAT Applied Materials 9091-00639 ESC Chuck DC Power Supply PX32J Rev. A Working
usedeqsales
[view on eBay]
Used 3
in stock
$454.14
Description: AMAT Applied Materials 9090-01166 Battery Pack PX32K Quantum X Used Working
usedeqsales
[view on eBay]
Used 14
in stock
$1,504.14
Description: AMAT Applied Materials 9090-00835 Power Distribution Box Quantum X Used Working
usedeqsales
[view on eBay]
Used 6
in stock
$2,006.14
Description: AMAT Applied Materials 0020-26374 Clamp Middle Shield 300MM Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,005.14
Description: AMAT Applied Materials 0021-12763 Inner Shield 8in Endura 200mm Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,005.14
Description: AMAT Applied Materials 0200-00673 8” Cover Ring Endura 200mm Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$705.15
Description: AMAT Applied Materials 0100-01491 Beam Align PCB Rev C Quantum X Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$603.18
Description: Lam Research 716-021492-001 Director Process Gas Used Working
usedeqsales
[view on eBay]
Used 13
in stock
$252.16
Description: AMAT Applied Materials 0110-01717 Circuit Board PCB Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$506.15
Description: AMAT Applied Materials 0020-52929 Graphite G3 Holder Used Working
usedeqsales
[view on eBay]
Used 8
in stock
$604.18
Description: AMAT Applied Materials 0021-15676 Desposition Ring Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$604.18
Description: AMAT Applied Materials 0021-04312 Throttle Valve Flat Top Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$604.18
Description: Lam Research 718-098592-001 Locking Ring VAT 65 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$604.18
Description: AMAT Applied Materials 0021-03076 IPS SI Roof Support Ring Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$704.18
Description: AMAT Applied Materials 0021-20718 8" Lower Pedestal Cover Endura 5500 Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,505.18
Description: Lam Research 852-030038-601 Lower Reaction Chamber TCP LAM 9600SE Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,205.18
Description: Novellus Systems 02-169180-01 Linear Track 15-165469-01 Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$610.18
Description: AMAT Applied Materials 0010-98078 2-D PSD Sensor PCB 0020-70497 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$610.18
Description: AMAT Applied Materials 0010-98078 2-D PSD Sensor PCB 0020-53481 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$210.18
Description: Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00178 Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$210.18
Description: Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00180 Used Working
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 319-0302// AMAT APPLIED 0010-35511 ASSY,PID CONTROLLER-R2 CHMBR NOT WORKING
usedeqsales
[view on eBay]
Used 2
in stock
$411.18
Description: AMAT Applied Materials 0020-23278 8” SST Upper Shield 101% TIN Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$712.18
Description: AMAT Applied Materials 0100-71267 8 Channel PCM Card PCB AKT Used Working
usedeqsales
[view on eBay]
Used 15
in stock
$705.15
Description: AMAT Applied Materials 0100-90650 Wheel Current PCB Card Quantum X Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$502.19
Description: AMAT Applied Materials 0100-90302 DAQ MICRO PCB Card 0120-92751 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$702.19
Description: AMAT Applied Materials 0100-90899 Operator Prompt PCB 0120-92833 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$402.19
Description: AMAT Applied Materials 0100-90480 DAQ EXPANSION PCB Card 0120-92848 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,002.19
Description: AMAT Applied Materials 0100-91025 Vendor Interface A MAG PCB Card Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$253.19
Description: AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev. 1 Used Working
usedeqsales
[view on eBay]
Used 5
in stock
$253.19
Description: AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev A Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$403.19
Description: AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk Used Working
systasemi
[view on eBay]
Used 2
in stock
$800.00
Description: AMAT 0190-07450, Board, CARD CPCI 48 DIGITAL 48 I/O, working
usedeqsales
[view on eBay]
Used 2
in stock
$805.19
Description: Deltron 11686XB Power Supply 666 Watts Lam 853-707255-001 FPD Continuum Working
fa-parts
[view on eBay]
Used 20
in stock
$2,300.00
Description: AMAT CYLIDER 0190-71750, 0021 71463 E1,SMC NCDQ2WB160-** WORKING FREE SHIP
fa-parts
[view on eBay]
Used 30
in stock
$2,300.00
Description: AMAT CYLIDER 0190-71750, 0021 71463 E1,SMC NCDQ2WB160-**,SHAFT:28.5 WORKING
usedeqsales
[view on eBay]
Used 2
in stock
$155.19
Description: Lam Research 853-707093-001 RF Cable 4.5 Foot FPD Continuum Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$205.19
Description: Lam Research 853-707092-003 RF Cable 7.5 Foot FPD Continuum Working Spare
usedeqsales
[view on eBay]
Used 3
in stock
$905.19
Description: Lam Research 810-707022-001 Gas Box Expansion Board PCB Continuum Working Spare
spsglobal
[view on eBay]
Used 5
in stock
$100.00
Description: 351-0402// AMAT APPLIED 1040-01169 METER XDCR 3-1/2LCD 0-100PSI 0-10 NOT WORKING
usedeqsales
[view on eBay]
Used 1
in stock
$2,008.19
Description: SMC US22532 Slit Valve Pneumatic Cylinder with Gate AMAT 0040-41892 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,508.19
Description: AMAT Applied Materials 9090-01246 Beam Current Measurement Module RX/TX Working
usedeqsales
[view on eBay]
Used 1
in stock
$155.51
Description: UNIT Instruments UFC-1100 Mass Flow Controller MFC AMAT 3030-01058 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$609.19
Description: Lam Research 810-707061-001 PM Node 2 Board PCB FPD Continuum Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$3,611.13
Description: DCG-200A ENI DC22S-A022000010AL Generator SLAVE AMAT 0190-07963 Tested Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,500.19
Description: Yaskawa Electric YSC-02D04B02S Spindle Assembly Novellus 04-306477-00 Working
usedeqsales
[view on eBay]
Used 26
in stock
$426.11
Description: AMAT Applied Materials 0100-90492 T.P.D.U. Monitor PCB Card 0120-91729 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,610.19
Description: Novellus Systems 02-270536-03 Robot End Effector ATM Blade ATR8 T-BEAM Working
usedeqsales
[view on eBay]
Used 1
in stock
$261.19
Description: Mesa Power Systems 10651 100 Watt UPC PCB Card AMAT 0190-08875 Cu Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,011.19
Description: SMC NCDQ2B125-UIA97 0691 Pneumatic Cylinder AMAT 0010-03051 Rev. 007 Working
usedeqsales
[view on eBay]
Used 1
in stock
$611.19
Description: AMAT Applied Materials 0041-46733 RTG Ring Flanged Contour CIP 300mm Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,511.19
Description: Novellus 02-320386-00 Pedestal Assembly Type IIR 16-32082-11 Copper Cu Working
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 130-0403// AMAT APPLIED 0660-01106 CARD PC C386 CPU 25 MHZ *MSG* NOT WORKING
usedeqsales
[view on eBay]
Used 3
in stock
$3,012.19
Description: AMAT Applied Materials 0010-27512 Slip Ring RMH A-110-2023 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$812.19
Description: AMAT Applied Materials 0100-00281 Mainframe Rear I/O Panel PCB Centura Working
dr.dantom
[view on eBay]
Used 2
in stock
$4,000.00
Description: Applied Materials / AMAT Endura Controller 0010-31159 PVD Chamber 300MM WORKING!
dr.dantom
[view on eBay]
Used 2
in stock
$4,000.00
Description: Applied Materials / AMAT Endura Controller 0010-22911 PVD Chamber 300MM WORKING!
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 319-0501 AMAT APPLIED 1400-00206 SPECTROGRAPH SD1024DLC, SMA FIBER NOT WORKING
usedeqsales
[view on eBay]
Used 1
in stock
$1,001.20
Description: Synetics 0190-13505 Minienvironment Pressure Gauge AMAT Centura Ultima X Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,501.15
Description: Novellus Systems 16-383780-00 Showerhead Rev. D Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,201.20
Description: AMAT Applied Materials 0020-34731 SIN Pumping Plate Lid DXZ Centura Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,002.19
Description: Novellus 02-034310-00 MCI Controller MOD CONT P166/16M Concept Two Working Spare
dr.dantom
[view on eBay]
Used 1
in stock
$1,650.00
Description: ASTeX ARX-X491 Microwave Control Module AMAT 0190-00398 Rev E 3KW Working!
dr.dantom
[view on eBay]
Used 1
in stock
$1,500.00
Description: ASTeX ARX-X491 Microwave Control Module AMAT 0190-00398 Rev N 3KW Working!
usedeqsales
[view on eBay]
Used 1
in stock
$1,503.20
Description: IPUP T100L Toyota 0190-30906 Dry Pump AMAT 48212 Hours Tested Working As-Is
usedeqsales
[view on eBay]
Used 5
in stock
$3,003.20
Description: AMAT Applied Materials 0021-96593 Cooling Plate Copper Exposed Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$603.20
Description: DIP 15039103 Communications PCB Card CDN391 DIP-300-058 AMAT 0660-01865 Working
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 101-0201// AMAT APPLIED 0190-11593 DC PWRSP, 20KW, 480VAC [NOT WORKING]
techequipsales
[view on eBay]
Used 1
in stock
$2,000.00
Description: Novellus 02-057891-00 ARSU ADAC Board 8886800 *used working, 90 day warranty*
usedeqsales
[view on eBay]
Used 2
in stock
$1,004.20
Description: Verity Instruments 1005530AT Laser Optical Module APD220 AMAT 0190-10026 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,504.20
Description: Deublin SR0164 Rotary Union AMAT Applied Materials 0190-53913 Working Spare
techequipsales
[view on eBay]
Used 1
in stock
$3,000.00
Description: LAM Research 715-803261-004 ESC Electron Static Chuck *used working*
usedeqsales
[view on eBay]
Used 3
in stock
$1,005.20
Description: Lam Research 810-102361-216 Chamber Mux Board PCB 855-102361-216 Working Spare
usedeqsales
[view on eBay]
Used 7
in stock
$755.20
Description: AMAT Applied Materials Unmarked Retaining Ring 300mm 0041-37729 Copper Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,605.20
Description: AMAT Applied Materials 0041-12601 Channel A/B Cooling Pedestal Cu Copper Working
usedeqsales
[view on eBay]
Used 1
in stock
$605.20
Description: AMAT Applied Materials 0100-20097 Water Leak Detector Board PCB Centura Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,005.20
Description: AMAT Applied Materials 0040-61317 Aluminum Oxide Coated Quartz Bell Jar Working
usedeqsales
[view on eBay]
Used 3
in stock
$805.20
Description: AMAT Applied Materials 0100-90851 H.V./A. Mag Motherboard PCB Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$405.20
Description: AMAT Applied Materials 0100-90875 Suppression Logic Board PCB Issue C Working
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 129-0203// AMAT APPLIED 0100-09011 wPCB ASSY, A1 MUX/CURREN SENSE [NOT WORKING]
usedeqsales
[view on eBay]
Used 1
in stock
$807.20
Description: Daihen DCP-208-24 DC Power Supply AMAT Applied Materials 0190-36252 Working
usedeqsales
[view on eBay]
Used 1
in stock
$607.20
Description: AMAT Applied Materials 0240-00598 Anti-Cloud Controller Rev. D P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$607.20
Description: AMAT Applied Materials 0240-00598 Anti-Cloud Controller Rev. C P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$607.20
Description: AMAT Applied Materials 0090-00044 Anti-Cloud Controller Rev. A P5000 Working
usedeqsales
[view on eBay]
Used 2
in stock
$607.20
Description: AMAT Applied Materials 0240-00598 Anti-Cloud Controller Rev. A P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$607.20
Description: AMAT Applied Materials 0090-00045 Anti-Cloud Controller Precision 5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$507.20
Description: AMAT Applied Materials 0100-00176 AC Window Controller PCB Rev. B P5000 Working
usedeqsales
[view on eBay]
Used 2
in stock
$357.20
Description: Weston 283451 RF Monitor Display Panel PCB 283452 AMAT 0220-03190 Working Spare
usedeqsales
[view on eBay]
Used 2
in stock
$607.20
Description: DIP 15049602 High Density I/O PCB Card CDN496 AMAT 0660-01880 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$2,503.19
Description: Lam Research 16-377679-00 15" Heater Pedestal Assembly Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$3,503.19
Description: AMAT Applied Materials 0040-32148 8" DXZ Heater Assembly with Cable Surplus
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 136-0401// AMAT APPLIED 0010-76005 ASSY BLADE ROBOT 8 [NOT WORKING]
grandbirdnet
[view on eBay]
Used 5
in stock
$3,800.00
Description: AMAT 3380-00035 SMC INR-244-646C Thermo Heat Exchanger, WORKING
usedeqsales
[view on eBay]
Used 1
in stock
$1,508.20
Description: Honeywell 0190-41182 300mm Sputtering Target AMAT Applied Materials Working
usedeqsales
[view on eBay]
Used 14
in stock
$705.15
Description: AMAT Applied Materials 0100-01877 Focus PSU Interface PCB Card Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$708.20
Description: AMAT Applied Materials 0100-91085 Guiding Tube PCB Card XR80 Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$258.20
Description: Square D 316-2121-003 Analog Motherboard PCB Seriplex AMAT 0660-01506 Working
techequipsales
[view on eBay]
Used 1
in stock
$3,500.00
Description: LAM 832-038915-201 RF Match LAM 2300 KIY03X Process Chamber *used working
usedeqsales
[view on eBay]
Used 1
in stock
$608.20
Description: AMAT Applied Materials 0020-61676 Robot Controller PX13C Quantum X Working
usedeqsales
[view on eBay]
Used 1
in stock
$258.20
Description: Aera TC FC-PA7810c Mass Flow Controller MFC Novellus 22-308816-00 Working Spare
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 162-0201// AMAT APPLIED 0010-76000 wASSY ROBOT DRIVE [NOT WORKING]
usedeqsales
[view on eBay]
Used 1
in stock
$1,509.20
Description: CTI-Cryogenics 8043339G600 Cryopump Return CryoLine 50' AMAT 3400-00059 Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,209.20
Description: AMAT Applied Materials 0040-01275 Electrode 0150-97185 Quantum LEAP III Working
usedeqsales
[view on eBay]
Used 1
in stock
$909.20
Description: AMAT Applied Materials 0021-84842 Pumping Liner V5.1 Clan Producer Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,009.20
Description: AMAT Applied Materials 0021-12878 End Effector Rev. 001 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$409.20
Description: AMAT Applied Materials 0021-43576 Cleaned Outer Shield Highlander CVD Working
usedeqsales
[view on eBay]
Used 1
in stock
$459.20
Description: AMAT Applied Materials 0100-00156 Isolation Amplifier PCB Card Rev. B Working
usedeqsales
[view on eBay]
Used 1
in stock
$809.20
Description: MKS Instruments AS01391-21-1 DeviceNet CDN391R PCB Card AMAT 0190-27707 Working
usedeqsales
[view on eBay]
Used 1
in stock
$610.20
Description: AMAT Applied Materials 0040-90324 Plate End Arc Chamber 1031457-0778 Working
usedeqsales
[view on eBay]
Used 3
in stock
$910.20
Description: AMAT Applied Materials 0020-24719 200mm Cover Ring AL Open Package Working
techequipsales
[view on eBay]
Used 2
in stock
$3,000.00
Description: AMAT Applied Materials 0010-11491 001 Heater *used working, clean surface*
techequipsales
[view on eBay]
Used 2
in stock
$2,750.00
Description: AMAT Applied Materials 0010-11491 001 Heater *used working, small ding*
techequipsales
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT Applied Materials 0010-05254 0040-32148 Heater Assy *used working*
techequipsales
[view on eBay]
Used 3
in stock
$3,000.00
Description: AMAT Applied Materials 0010-03346 Heater Assy 6” AMJ WXZ *used working*
techequipsales
[view on eBay]
Used 2
in stock
$2,750.00
Description: AMAT Applied Materials 0010-11491 002 Heater *used working, small ding*
techequipsales
[view on eBay]
Used 3
in stock
$3,000.00
Description: AMAT Applied Materials 0010-11491 002 Heater *used working, clean surface*
usedeqsales
[view on eBay]
Used 1
in stock
$1,510.20
Description: Control Concepts 3095-1017 SCR Power Controller AMAT 0190-03672 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$310.20
Description: AMAT Applied Materials 0021-78052 Idler Assembly 300mm Copper Lot of 6 Working
usedeqsales
[view on eBay]
Used 2
in stock
$760.20
Description: AMAT Applied Materials 0090-91694 ITL Beamline Control PCB Chassis XR80 Working
usedeqsales
[view on eBay]
Used 4
in stock
$1,511.20
Description: AMAT Applied Materials 0010-00888 Mass Flow Controller N2(H2) Gas Panel Working
shanghai*book
[view on eBay]
Used 3
in stock
$1,385.88
Description: 🔥1Pcs Used LFU20-Z07-3A-X2 AMAT 0190-33704 Via DHL or Fedex🔥
usedeqsales
[view on eBay]
Used 1
in stock
$2,812.20
Description: Novellus 02-834310-00 Module Controller P100/16M Novellus Concept Two Working
usedeqsales
[view on eBay]
Used 1
in stock
$412.20
Description: AMAT Applied Materials 0021-10863 IPS RF Feed-thru Base Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,312.20
Description: Sorensen SGA200X25E-1DAA DC Power Supply Rev. E Ametek AMAT 0150-18407 Working
usedeqsales
[view on eBay]
Used 2
in stock
$362.12
Description: Lam Research 715-130092-008 200mm Robot End Effector Fork Rev. 2 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$362.20
Description: Lam Research 715-130080-008 200mm Robot Arm End Effector Fork 4420 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,512.20
Description: AMAT Applied Materials 0190-33364 Gas Flow Control Box Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,512.20
Description: AMAT Applied Materials 0100-00265 Mainframe Interface PCB Card Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$501.21
Description: AMAT Applied Materials 01159 DAQ Micro PCB 0120-92751 0120-92752 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$501.21
Description: AMAT Applied Materials 90780 DAQ Expansion PCB 0120-92848 0120-92849 Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,750.85
Description: AMAT Applied Materials X3286 Electrostatic Chuck Power Supply 0190-14140 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,501.21
Description: KoMiCo S3M60067 Silicon Insert Ring Lapping Type AMAT 0200-02384 Cleaned Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,506.16
Description: Newport 35-3700-1425-18 Wafer Handling Robot AMAT 0190-22248 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$801.21
Description: KoMiCo S3M60067 Silicon Si Insert Ring Lapping Type AMAT 0200-02384 Working
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 321-0303// AMAT APPLIED 0190-76252 CDN 294 TO A.M. SPEC. [NOT WORKING]
usedeqsales
[view on eBay]
Used 1
in stock
$554.15
Description: AMAT Applied Materials 9090-01165 Battery Backup PX32K Quantum X Working Surplus
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 352-0301// AMAT APPLIED 9090-01167 ESC CONTROLLER ASSY STD PX32J [NOT WORKING]
usedeqsales
[view on eBay]
Used 2
in stock
$1,503.21
Description: AMAT Applied Materials 0270-09227 Chamber Lid View Port 0021-02640 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$353.21
Description: AMAT Applied Materials 0040-80146 300mm Gripper Claw Bearing Mount Working Spare
usedeqsales
[view on eBay]
Used 4
in stock
$1,603.21
Description: AMAT Applied Materials Upper 300mm Gripper Claw 0040-80142 0040-84443 Working
usedeqsales
[view on eBay]
Used 4
in stock
$1,603.21
Description: AMAT Applied Materials Lower 300mm Gripper Claw 0040-80144 0040-84444 Working
usedeqsales
[view on eBay]
Used 1
in stock
$603.21
Description: AMAT Applied Materials 0040-80142 Upper RH 300mm Gripper Claw 0040-03857 Working
usedeqsales
[view on eBay]
Used 1
in stock
$603.21
Description: AMAT Applied Materials 0040-80145 Gripper Claw Bearing Mount 0020-48480 Working
usedeqsales
[view on eBay]
Used 12
in stock
$703.21
Description: AMAT Applied Materials 0100-00970 Spin Window PCB Card Quantum X Working Surplus
usedeqsales
[view on eBay]
Used 10
in stock
$703.21
Description: AMAT Applied Materials 0100-01844 Charge Voltage PCB Card Quantum X Working
usedeqsales
[view on eBay]
Used 1
in stock
$504.21
Description: Glemco 90187A A/C Mounting Stage Cathode AMAT 0040-50789 Quantum II/III Working
usedeqsales
[view on eBay]
Used 4
in stock
$6,504.21
Description: Pyramid X-Scan Controller AMAT Quantum X 0500-00232 Quantum X Implanter Working
usedeqsales
[view on eBay]
Used 1
in stock
$604.21
Description: DIP 15039103 Communications PCB Card CDN391 DIP-206-050 AMAT 0660-01865 Working
usedeqsales
[view on eBay]
Used 1
in stock
$604.21
Description: DIP 15039103 Communications PCB Card CDN391 DIP-166-007 AMAT 0660-01865 Working
usedeqsales
[view on eBay]
Used 3
in stock
$604.21
Description: AMAT Applied Materials 0190-40182 Roof Top Grounded PIPIII Heater Watlow Working
usedeqsales
[view on eBay]
Used 1
in stock
$504.21
Description: Lam Research 716-011963-003 Attachment W/C Plate INTR.BLW Rev. A Cleaned Working
usedeqsales
[view on eBay]
Used 1
in stock
$254.21
Description: Ashcroft PPDN4GGV25 Pressure Switch AMAT Applied Materials 0010-00331 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,004.21
Description: AMAT Applied Materials 0040-84820 Wafer Indexer Panasonic MQMA011A2B Working
usedeqsales
[view on eBay]
Used 3
in stock
$254.21
Description: Celerity UFC-8165 Mass Flow Controller MFC AMAT 0190-24834 1L H2 Working Spare
usedeqsales
[view on eBay]
Used 2
in stock
$254.21
Description: Celerity UFC-8165 Mass Flow Controller MFC AMAT 0190-25567 1L O2 Working Spare
usedeqsales
[view on eBay]
Used 4
in stock
$254.21
Description: Celerity UFC-8165 Mass Flow Controller MFC AMAT 0190-24835 600cc He Working
usedeqsales
[view on eBay]
Used 2
in stock
$152.53
Description: Celerity UFC-8165 Mass Flow Controller MFC AMAT 0190-24840 3L NF3 Working Spare
usedeqsales
[view on eBay]
Used 2
in stock
$152.53
Description: Celerity UFC-8165 Mass Flow Controller MFC AMAT 0190-24838 50cc Ar Working Spare
usedeqsales
[view on eBay]
Used 2
in stock
$254.21
Description: Celerity UFC-8165 Mass Flow Controller MFC AMAT 0190-24841 3L Ar Working Spare
usedeqsales
[view on eBay]
Used 2
in stock
$254.21
Description: Celerity UFC-8165 Mass Flow Controller MFC AMAT 0190-24842 50cc SiH4 Working
usedeqsales
[view on eBay]
Used 2
in stock
$152.53
Description: Celerity UFC-8165 Mass Flow Controller MFC AMAT 0190-24836 400cc SiH4 Working
usedeqsales
[view on eBay]
Used 2
in stock
$152.53
Description: Celerity UFC-8165 Mass Flow Controller MFC AMAT 0190-24839 100cc O2 Working
usedeqsales
[view on eBay]
Used 1
in stock
$254.21
Description: Celerity UFC-8165 Mass Flow Controller MFC AMAT 0190-25568 1L Ar Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$505.21
Description: AMAT Applied Materials 0190-40175 Roof Top PIPIII Heater Grounded Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$405.21
Description: Lam Research 715-028686-002 Parallelism Alignment Tool Plate Working Surplus
techequipsales
[view on eBay]
Used 1
in stock
$1,000.00
Description: LAM 715-037370-001 Assembly *used working
usedeqsales
[view on eBay]
Used 1
in stock
$905.21
Description: AMAT Applied Materials 0200-20326 8" Lower Pedestal Cover P5000 Cleaned Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,205.21
Description: AMAT Applied Materials 0020-30419 8" Pedestal EXT CATH NI WF POLY Working
usedeqsales
[view on eBay]
Used 1
in stock
$805.21
Description: AMAT Applied Materials 0040-92614 Polished Heatsink Casting 200 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$255.21
Description: AMAT Applied Materials 0020-30420 8" Pedestal Cover Reseller Lot of 2 Working
usedeqsales
[view on eBay]
Used 12
in stock
$355.21
Description: AMAT Applied Materials 0020-22852 Preclean 8" Shield 200mm Cleaned Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$3,206.21
Description: AMAT Applied Materials 0040-99960 ESC Polyimide Pedestal 0040-35851 Working
usedeqsales
[view on eBay]
Used 1
in stock
$5,006.21
Description: AMAT Applied Materials 0010-26724 10-2 IN RF Coil Tetra II 0040-34954 Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,006.21
Description: Comet 20062678 High Impedance HF RF Filter Assembly AMAT 0190-41422 Working
usedeqsales
[view on eBay]
Used 7
in stock
$406.21
Description: Inficon 399-001 Vacuum Switch VSA100A AMAT 0015-01587 Lot of 5 Quantum X Working
usedeqsales
[view on eBay]
Used 5
in stock
$353.21
Description: CTI-Cryogenics 8112463G050 Cryogenic Pump Power Cable On-Board 5 Foot Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,006.21
Description: AMAT Applied Materials 0100-09022 MINI AI/IO PCB Card 0190-09021 P5000 Working
jiach_9809
[view on eBay]
Used 4
in stock
$4,000.00
Description: AE ADVANCED ENERGY CESAR 1310 RF Generator 1000W/13.56 MHz, Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,605.21
Description: Apex 513 AE Advanced Energy A3Q5L000BA140D111A RF Generator Tested Working
usedeqsales
[view on eBay]
Used 7
in stock
$2,506.21
Description: HiTek Power A1018950 Power Supply AMAT 0090-91806 ITL Quantum X Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$606.21
Description: Nikon 4S018-927 Motor Control Board PCB MTR-CTRL PLD14622 NSR System Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,006.21
Description: AMAT Applied Materials 0020-13814 200mm Blade with Wafer Pocket P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,007.21
Description: AMAT Applied Materials 0010-30419 WxZ Heater Assembly 0040-03083 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$5,507.21
Description: AMAT Applied Materials 0010-09031 CVD Wafer Handler Robot Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$507.21
Description: AMAT Applied Materials 3620-01392 3 Meter TMS-to-PUMP Seiko Seiki Turbo Working
usedeqsales
[view on eBay]
Used 1
in stock
$657.21
Description: AMAT Applied Materials 0200-10001 8" 200mm WxZ Notch Wafer Aligner Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$2,507.21
Description: AMAT Applied Materials 0010-10528 200mm ESC Notch Pedestal 0020-32963 Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,007.21
Description: Digital Dynamics 17-053658-00 sioc POST PLATING Novellus 02-049421-R2 Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,007.21
Description: APW 06-01391800-164 MC2 Controller Module Novellus 02-126405-00 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,507.21
Description: APW Electronic Solutions 02-127162-00 MC2 Controller Module Novellus Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.21
Description: AMAT Applied Materials 0010-13140 200mm Wafer 15-Slot Tray Assembly Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,207.21
Description: Digital Dynamics 03-144747-00 WTS SF sioc 1 WTS1 Novellus 02-144985-00 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,807.21
Description: Novellus Systems 02-255544-00 MC2 System Controller Module Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,507.21
Description: AMAT Applied Materials 0040-18158 18-Ports SYS Gas Distribution Ring Working
usedeqsales
[view on eBay]
Used 1
in stock
$357.21
Description: Lam Research 810-008566-001 RF Automatch Controller PCB 810-8566-1 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$357.21
Description: Novellus Systems 15-054434-03 200mm EXCL Ceramic Ring Cleaned Working Surplus
usedeqsales
[view on eBay]
Used 4
in stock
$357.21
Description: Novellus Systems 15-054434-03 200mm EXCL Ceramic Ring Cleaned Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$3,807.21
Description: AMAT Applied Materials 0100-09246 System I/O Distribution Board PCB Working
usedeqsales
[view on eBay]
Used 6
in stock
$2,507.21
Description: Phasetronics P1038A 3 Phase Angle Lamp Drive AMAT 0015-09091 P5000 Working Spare
usedeqsales
[view on eBay]
Used 2
in stock
$257.21
Description: AMAT Applied Materials 0100-09029 Turbo Interconnect PCB Rev. C P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$4,507.21
Description: Novellus Systems 19-024227-00 6 Fork 200mm Pinned Wafer Heater Block Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,207.21
Description: Novellus Systems 02-168358-00 296mm PPS Cup 15-168357-00 Copper Exposed Working
usedeqsales
[view on eBay]
Used 4
in stock
$257.21
Description: AMAT Applied Materials 0100-09029 Turbo Interconnect PCB Rev. E P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$257.21
Description: AMAT Applied Materials 0100-09029 Turbo Interconnect PCB Rev. M1 P5000 Working
usedeqsales
[view on eBay]
Used 2
in stock
$857.21
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB Rev. C Working
usedeqsales
[view on eBay]
Used 1
in stock
$857.21
Description: AMAT Applied Materials 0225-99844 Gas Panel Analog Board PCB Rev. A Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,507.21
Description: AMAT Applied Material 0040-09136 200mm Wafer CVD Chamber P5000 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,207.21
Description: Novellus Systems 02-132970-00 Low Profile 296mm Cup Assembly Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$607.21
Description: AMAT Applied Materials 0100-40037 Source Signal Conditioning Board PCB Working
usedeqsales
[view on eBay]
Used 1
in stock
$5,010.18
Description: AMAT Applied Materials 0010-09318 TEOS/Dopant Ampule Precision 5K P5000 Working
usedeqsales
[view on eBay]
Used 2
in stock
$307.21
Description: AMAT Applied Materials 0100-00008 TC Gauge P.W.B. PCB Card Rev. 005 Working
usedeqsales
[view on eBay]
Used 1
in stock
$407.21
Description: AMAT Applied Materials 0100-09011 AI Mux/Current Sense PCB Card Rev. 001 Working
usedeqsales
[view on eBay]
Used 9
in stock
$307.21
Description: AMAT Applied Materials 0100-00008 TC Gauge P.W.B. PCB Card Rev. K Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,607.21
Description: Novellus Systems 02-049610-00 200mm Heater Pedestal 0204961000 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,507.21
Description: Novellus Systems 02-258524-00 300mm Heater Pedestal 0225852400 Working Surplus
usedeqsales
[view on eBay]
Used 8
in stock
$607.21
Description: AMAT Applied Materials 0010-09408 Chamber Lid Clamp SACVD Working Surplus
usedeqsales
[view on eBay]
Used 7
in stock
$607.21
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. F Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$2,807.21
Description: APW 06-01391800-164 MC2 Controller Module Novellus 15-127164-00 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$2,007.21
Description: Trazar 62671-001 Power Supply ECC1-4F SCM Novellus 27-153218-00 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$3,058.21
Description: Lam Research 853-000577-001 Phase and Magnitude Detector 810-008582-001 Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,508.21
Description: Lam Research 715-000043-101 Hardcoat Reaction Chamber Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$1,608.21
Description: Regen Solutions 2466-001 RF Controller Module Novellus 02-139348-00 Working
usedeqsales
[view on eBay]
Used 1
in stock
$708.21
Description: Novellus 00-686349-00 External Housing Rev. C Working Surplus
usedeqsales
[view on eBay]
Used 8
in stock
$1,608.21
Description: AMAT Applied Materials 0021-10223 Clamp Lid Chamber Liner Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$758.21
Description: Novellus Systems 03-283739-00 5kW RF Generator Cable 03-28373-90 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$408.21
Description: Novellus Systems 03-042616-01 RF Generator Cable SPEED-S RG217 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$408.21
Description: Novellus Systems 03-042616-00 RF Generator Cable SPEED-S RG217 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,808.21
Description: Novellus Systems 02-834310-00 Module Controller P100/16M 19-135376-00 Working
usedeqsales
[view on eBay]
Used 5
in stock
$758.21
Description: Novellus Systems 03-283639-04 RF Cable Coax TYPE HN-N 84' 03-28363-90 Working
usedeqsales
[view on eBay]
Used 1
in stock
$908.21
Description: Novellus Systems 15-141120-00 300mm PVD Dummy Pedestal Rev. 2 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$458.21
Description: Maxon Motor 240401 DC Motor Novellus Harmonic Drive 76-176794-00 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,508.21
Description: Novellus Systems 02-169175-00 300mm RF Platen Assembly Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,508.21
Description: Novellus Systems 03-032257-00 Speed Interlock PCB 03-03225-70 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$458.21
Description: AMAT Applied Materials 0100-11002 Digital I/O PCB Card Rev. E Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,008.21
Description: Novellus Systems 02-101527-00 200mm Wafer End Effector 16-106462-00 Working
usedeqsales
[view on eBay]
Used 6
in stock
$358.21
Description: AMAT Applied Materials 0100-09107 TEOS Gas Interface Board PCB Working
usedeqsales
[view on eBay]
Used 1
in stock
$608.21
Description: AMAT Applied Materials 0100-09023 Mini DI/DO Board PCB Rev. D Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,508.21
Description: Digital Dynamics 02-161290-00 sioc WTS HV 3 Interlock Novellus Systems Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,208.21
Description: Digital Dynamics 02-252717-00 sioc WTS-HV 3&4 Novellus 02-262490-00 Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,208.21
Description: Digital Dynamics 27-053660-00 sioc Power Supply Module Novellus Systems Working
usedeqsales
[view on eBay]
Used 3
in stock
$261.15
Description: AMAT Applied Materials 0100-09137 Encoder PCB Precision 5000 P5000 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$608.21
Description: Novellus Systems 02-029392-00 Concept One Upper AC Box Assembly C1 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,508.21
Description: Novellus Systems 15-028190-00 150mm Electrostatic Chuck ESC Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,508.21
Description: Novellus Systems 02-033134-00 200mm Wafer Pedestal Heater ALTUS Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$3,508.21
Description: Novellus Systems 15-122731-01 300mm HDP RF Distribution Plate Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,508.21
Description: Trazar 2511-001 Seal Plate 300mm HDP Rev. H Novellus 02-122732-00 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$3,208.21
Description: Digital Dynamics 02-127588-00 PVD Preclean Control Novellus 02-127587-00 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,209.21
Description: Nikon OPTISTATION 3 Keyboard Console 200mm 2S700-385 2S700-386 2S070-043 Working
usedeqsales
[view on eBay]
Used 12
in stock
$609.21
Description: Nikon 2S070-031-4 Microscope Keypad 5x-100x PCB 2S700-386 OPTISTATION 3 Working
usedeqsales
[view on eBay]
Used 1
in stock
$509.21
Description: Nikon 2S070-043 Microscope Panel 2S700-552 OPTISTATION 3 Missing Knob Working
usedeqsales
[view on eBay]
Used 1
in stock
$509.21
Description: Nikon 2S070-032-2 Stage Focus Keypad 2S700-385 200mm OPTISTATION 3 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$809.21
Description: Novellus Systems 03-130015-00 Robot Interface PCB Rev. A Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$3,209.21
Description: Digital Dynamics 02-109475-00 sioc SPEED 1 Control Novellus 02-109471-00 Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,209.21
Description: Digital Dynamics 02-109475-00 sioc SPEED 1 Control Novellus 27-053659-00 Working
usedeqsales
[view on eBay]
Used 1
in stock
$409.21
Description: AMAT Applied Materials 0100-35073 Rotation Drive PCB Rev. P4 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$1,009.21
Description: Novellus Systems 03-130015-00 Robot Interface PCB Assembly 02-140605-00 Working
usedeqsales
[view on eBay]
Used 1
in stock
$459.21
Description: AMAT Applied Materials 0100-11002 Digital I/O PCB Card Rev. SC Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$459.21
Description: AMAT Applied Materials 0100-11002 Digital I/O PCB Card Rev. C Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,509.21
Description: Novellus 15-118391-00 300mm Wafer Notch RF52 ESC Electrostatic Chuck Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,509.21
Description: Novellus 02-134264-00 200mm Electrostatic Chuck ESC 15-121119-00 Rev. E Working
usedeqsales
[view on eBay]
Used 3
in stock
$209.21
Description: AMAT Applied Materials 0100-09012 Power Supply Backplane PCB P5000 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,009.21
Description: AMAT Applied Materials 0100-09022 Mini AI/AO PCB Card Rev. H 0300-09021 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,009.21
Description: AMAT Applied Materials 0100-09022 Mini AI/AO PCB Card Rev. C 0190-09021 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,009.21
Description: AMAT Applied Materials 0100-09022 Mini AI/AO PCB Card Rev. B 0190-09021 Working
usedeqsales
[view on eBay]
Used 5
in stock
$309.21
Description: PL Pro-Log 7604A-S587 TTL I/O PCB Card Lam Research 810-001314-001 Working Spare
usedeqsales
[view on eBay]
Used 4
in stock
$609.21
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. G Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$459.21
Description: AMAT AAMAT Appplied Materials 0200-09351 200mm Susceptor Support Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$909.21
Description: Novellus Systems 15-141120-00 300mm PVD Dummy Pedestal Rev. 1 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$809.21
Description: Vicor MM2-15505 Power Supply mini MegaPAC Novellus Systems 27-103559-00 Working
usedeqsales
[view on eBay]
Used 1
in stock
$459.21
Description: Lam Research 716-330501-081 Wafer Clamp Edge Rev. A Working Surplus
usedeqsales
[view on eBay]
Used 7
in stock
$459.21
Description: AMAT Applied Materials 0020-20390 Arm Frog Leg Reseller Lot of 4 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$609.21
Description: AMAT Applied Materials 0090-35723 Center Finder SBC PCB Card Rev. A Working
usedeqsales
[view on eBay]
Used 2
in stock
$559.21
Description: Lam Research 810-007930-001 Combination Board PCB 810-7930-1 Rev. D Working
usedeqsales
[view on eBay]
Used 1
in stock
$809.21
Description: Novellus Systems 15-141448-00 Sabre FAE Drive Shield Plate Lot of 7 Working
usedeqsales
[view on eBay]
Used 1
in stock
$459.21
Description: Novellus Systems 02-111301-00 Gas Box Distribution PCB Speed 300 Rev. 1 Working
usedeqsales
[view on eBay]
Used 4
in stock
$459.21
Description: AMAT Applied Materials 0020-30347 External Cylinder P5000 Precision 5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$759.21
Description: AMAT Applied Materials 0020-30198 Tapered External Cathode Tube Cleaned Working
usedeqsales
[view on eBay]
Used 1
in stock
$409.21
Description: AMAT Applied Materials 0020-09029 Cover Ring Pipe Cleaned Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$309.21
Description: Matrox 136-C06-3 PCB Card STD-2480 Lam Research 855-002375-001 Working Surplus
usedeqsales
[view on eBay]
Used 4
in stock
$659.21
Description: Brooks 002-5882-03 Interconnect Sensor PCB Rev. B1 Novellus 27-123555-00 Working
usedeqsales
[view on eBay]
Used 1
in stock
$710.21
Description: Novellus Systems 15-028208-00 Bearing Housing Working Surplus
techequipsales
[view on eBay]
Used 1
in stock
$2,000.00
Description: LAM Research 715-102001-040 B ESC Electro Static Chuck *used working
usedeqsales
[view on eBay]
Used 1
in stock
$610.21
Description: Novellus Systems 15-033772-00 Wafer Paddle End Effector Fork Blade Working Spare
usedeqsales
[view on eBay]
Used 5
in stock
$410.21
Description: Novellus Systems 16-100464-00 200mm Dynamic Wafer Chuck Assembly Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$311.21
Description: Lam Research 810-190572-001 Serial Communication PCB Card 855-100288-001 Working
usedeqsales
[view on eBay]
Used 6
in stock
$2,511.21
Description: AMAT Applied Materials 0010-70078 200mm Wafer Storage Tray Assembly 8" Working
usedeqsales
[view on eBay]
Used 2
in stock
$461.21
Description: Power Components 161009 Power Supply Lam Research 660-009226-002 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$411.21
Description: Lam Research 853-002751-001 Power Supply 15,18,24 VDC Working Spare
usedeqsales
[view on eBay]
Used 5
in stock
$361.21
Description: Nor-Cal Products 796-008091-001 Pneumatic Angle Valve Lam Research Working Spare
ryacas6
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT Applied Materials 0010-20441 PVD RF Match Rev. A P5000 Working Surplus
fa-parts
[view on eBay]
Used 1
in stock
$1,150.00
Description: AMAT AKT 0100-71057 ,REV:E2 P2 BACKPLANE 5500 BOARD,CONNECTOR BROKEN WORKING
usedeqsales
[view on eBay]
Used 3
in stock
$611.21
Description: AMAT Applied Materials 0100-09009 Buffer I/O PCB Card Rev. H P5000 Working Spare
usedeqsales
[view on eBay]
Used 3
in stock
$311.21
Description: AMAT Applied Materials 0100-00008 TC Gauge P.W.B. PCB Card Rev. J P5000 Working
usedeqsales
[view on eBay]
Used 4
in stock
$2,512.21
Description: AMAT Applied Materials 0020-22982 Adapter TiN 8" Wafer Chamber 200mm Working
usedeqsales
[view on eBay]
Used 1
in stock
$512.21
Description: AMAT Applied Materials 0100-09231 AC Window Controller PCB P5000 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$812.21
Description: AMAT Applied Materials 0100-09231 AC Window Controller Assembly P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,012.21
Description: AMAT Applied Materials 0010-30432 150mm Wafer Heater Pedestal Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$2,512.21
Description: Novellus Systems 16-418665-00 300mm Wafer Pedestal Heater C3 ALTUS Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$2,512.21
Description: Novellus Systems 16-377679-00 300mm Wafer Pedestal Heater C3 ALTUS Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$2,012.21
Description: AMAT Applied Materials 0010-20088 8" Optics Assembly Orienter Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$1,112.21
Description: Novellus Systems 15-053394-01 150mm Wafer Finger Fork Unmarked Lot of 45 Working
usedeqsales
[view on eBay]
Used 16
in stock
$612.21
Description: AMAT Applied Materials 0100-91104 Vacuum Gauge RJ45 CONN I/F PCB Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,212.21
Description: Enterprise Systems 10764 Memory PCB Card Lam Research 810-004115-002 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,212.21
Description: Lam Research 810-490656-001 Alliance PM Breakout PCB Rev. E1 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,212.21
Description: Lam Research 853-370141-002 8 Sided PM Interlock PCB Card Working Surplus
usedeqsales
[view on eBay]
Used 4
in stock
$1,512.21
Description: Nikon 4S015-227 Network Interface Board PCB NK8601A 4S700-995 NSR-S307E Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,512.21
Description: VMIC 332-000131-B VMIVME PCB Card 5530M Lam Research 810-077433-001 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,001.22
Description: AMAT Applied Materials 0100-90385 Contactor Drive PCB Card Issue ZC XR80 Working
usedeqsales
[view on eBay]
Used 1
in stock
$401.22
Description: AMAT Applied Materials 0100-09224 Expanded RS232 Interconnect PCB P5000 Working
usedeqsales
[view on eBay]
Used 4
in stock
$901.22
Description: Nikon 4S018-726 Backplane Interface PCB LC-MTR-X4 NSR System Working Surplus
usedeqsales
[view on eBay]
Used 12
in stock
$2,001.22
Description: AMAT Applied Materials 9090-00732 ITL Edwards Ion Gauge Module D04847000 Working
usedeqsales
[view on eBay]
Used 2
in stock
$150.73
Description: UNIT Instruments UFC-1100 Mass Flow Controller MFC AMAT 3030-01053 Working Spare
usedeqsales
[view on eBay]
Used 2
in stock
$150.73
Description: UNIT Instruments UFC-1100 Mass Flow Controller MFC AMAT 3030-01548 Working Spare
usedeqsales
[view on eBay]
Used 2
in stock
$251.22
Description: UNIT Instruments UFC-1100 Mass Flow Controller MFC AMAT 3030-01060 Working Spare
usedeqsales
[view on eBay]
Used 7
in stock
$301.22
Description: AMAT Applied Materials 0190-24484 Transponder Reader TLG-I2-AMAT-R1 Working
usedeqsales
[view on eBay]
Used 20
in stock
$180.73
Description: AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Working
usedeqsales
[view on eBay]
Used 4
in stock
$9,901.22
Description: Nikon SPA451B W X Linear Motor Controller 4S588-463 Used Working
chenjianju0
[view on eBay]
Used 2
in stock
$280.00
Description: APPLIED MATERIALS PIRANI GAUGE SENSOR 0190-26328, Working
usedeqsales
[view on eBay]
Used 1
in stock
$501.22
Description: AMAT Applied Materials 0200-10191 200mm Common Silane Ceramic Shield Working
usedeqsales
[view on eBay]
Used 2
in stock
$501.22
Description: AMAT Applied Materials 0021-41125 Gas Distribution Plate 1 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$501.22
Description: Pentagon 0190-12926 Exhaust Fan AMAT Applied Materials Copper Cu Exposed Working
usedeqsales
[view on eBay]
Used 3
in stock
$1,501.22
Description: AMAT Applied Materials 0200-09602 Quartz Insulating Pipe with Flange Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,001.22
Description: AMAT Applied Materials 0020-03434 5" EXT Heater Chuck Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$901.22
Description: AMAT Applied Materials 0020-03658 200mm Oxide Clamping Cylinder Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$901.22
Description: AMAT Applied Materials 0020-04172 P5000 EXT Cathode Base Insert Black Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,001.22
Description: AMAT Applied Materials 0010-10157 29 Slot WPS Reflector Assembly Working Surplus
usedeqsales
[view on eBay]
Used 4
in stock
$2,002.22
Description: AMAT Applied Materials 0100-09117 DIO Fuse Board PCB Reseller Lot of 10 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,502.22
Description: Apical Instruments DCR 100 MCVD End Point Detector AMAT 0190-35510 Working Spare
usedeqsales
[view on eBay]
Used 2
in stock
$1,202.22
Description: AMAT Applied Materials 0226-09996 Loader Interconnect Board PCB Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$202.22
Description: AMAT Applied Materials 0100-09020 Fuse PCB Rev. C P5000 Precision 5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$802.22
Description: AMAT Applied Materials 0100-09071 SBC I/O Breakout Board PCB Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,502.22
Description: Lam Research 605-016005-001 CRT Video Bottom Board PCB 91196-005 w/Mount Working
usedeqsales
[view on eBay]
Used 2
in stock
$802.22
Description: AMAT Applied Materials 0100-09114 Gas Panel Board PCB Rev. B P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,502.22
Description: AMAT Applied Materials 0100-11000 Analog Input PCB Card Precision 5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$602.22
Description: AMAT Applied Materials 0100-09262 VDS Distribution Board PCB Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$502.22
Description: AMAT Applied Materials 0021-77074 Mirra Lower Platen Bracket Lot of 3 Working
usedeqsales
[view on eBay]
Used 13
in stock
$853.22
Description: Nikon 2S701-009 Processor PCB Card 2S020-061 v1.09 OPTISTATION 3 Working Surplus
usedeqsales
[view on eBay]
Used 33
in stock
$901.93
Description: Nikon 2S700-581 SCNT Board PCB Card 2S014-034-1 OPTISTATION 3 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$4,103.22
Description: Apex 1500/13 AE Advanced Energy 660-900984R009 RF Generator Tested Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,503.22
Description: Lam Research 02-426825-00 Heater Pedestal PED Assembly Copper Exposed Working
usedeqsales
[view on eBay]
Used 5
in stock
$8,503.22
Description: Nikon 4S015-446 ALGFP PCB Card 4S015-446-ACP-112C-1 Aval Data ACP-112C Working
xiahk82
[view on eBay]
Used 1
in stock
$1,600.00
Description: Applied Materials HF10-783, 1140-00480 Power Supply, working
usedeqsales
[view on eBay]
Used 1
in stock
$8,503.22
Description: Nikon 4S088-534-SIAOI720-0 PCB Card 4S019-243 SU-AOI NSR FX-601F Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$5,003.22
Description: Nikon 4S025-252 BSA-CLCNT PCB Card CAB07NK004A NSR FX-601F System Working Spare
usedeqsales
[view on eBay]
Used 12
in stock
$5,003.22
Description: MTI Instruments 8000-6905 PCB Card AS-3-BL Nikon 4S008-563 NSR FX-601F Working
usedeqsales
[view on eBay]
Used 9
in stock
$7,503.22
Description: Zygo 8020-0700 PCB Card ZMI-4104 MEAS BOARD Nikon 4S019-682 NSR FX-601F Working
usedeqsales
[view on eBay]
Used 3
in stock
$8,003.22
Description: Nikon 4S015-337 IFSUBFPX6-3 PCB Card 4S087-197 4S013-503-1 NSR FX-601F Working
usedeqsales
[view on eBay]
Used 4
in stock
$5,503.22
Description: Nikon 4S013-603 PCB Card IF3X6-2 4S019-393-1 NSR FX-601F Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$8,503.22
Description: Nikon 4S015-612-1 PCB Card IFO1 4S019-393-1 4S025-171 NSR FX-601F Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$5,003.22
Description: Nikon 4S065-531 Laser Optics PCB LZR-OPT-2 NSR FX-601F System Working Surplus
usedeqsales
[view on eBay]
Used 4
in stock
$3,003.22
Description: Nikon 4S013-773 Interconnect PCB SBIIX6 NSR FX-601F Lithography System Working
usedeqsales
[view on eBay]
Used 4
in stock
$3,503.22
Description: Nikon 4T070-123 I/O PCB Module SBII6A 4S013-880 NSR FX-601F System Working Spare
usedeqsales
[view on eBay]
Used 2
in stock
$1,503.22
Description: Nikon 2S700-555 MST Video Sync PCB Card 2S015-064-4 OPTISTATION 3 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,253.22
Description: CTI-Cryogenics ASM 502-034 FastRegen Control PCB Module 002-034F Working Spare
usedeqsales
[view on eBay]
Used 4
in stock
$403.22
Description: AMAT Applied Materials 0100-09224 RS232 Interconnect PCB P5000 Rev. B Working
usedeqsales
[view on eBay]
Used 4
in stock
$3,003.22
Description: Nikon 4S013-626 PCB Card NOZZLE-I/F NSR FX-601F FPD Lithography System Working
usedeqsales
[view on eBay]
Used 6
in stock
$3,003.22
Description: Nikon 4S008-218 PCB Card AIS-A/D NSR FX-601F FPD Lithography System Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,003.22
Description: Nikon 4S008-219 PCB Card AGIO NSR FX-601F FPD Lithography System Working Surplus
usedeqsales
[view on eBay]
Used 5
in stock
$3,003.22
Description: Nikon 4S008-539 REC-AD0 PCB Card ACP-550 NSR FX-601F Working Surplus
usedeqsales
[view on eBay]
Used 5
in stock
$3,003.22
Description: Nikon 4S008-540 REC-AD1 PCB Card ACP-550 NSR FX-601F Working Surplus
usedeqsales
[view on eBay]
Used 81
in stock
$271.93
Description: AMAT Applied Materials 9090-00273 DeviceNet I/O Block DIP294-2 Working Surplus
usedeqsales
[view on eBay]
Used 5
in stock
$3,003.22
Description: Nikon 4S008-542 REC-DA1 PCB Card ACP-560 NSR FX-601F Working Surplus
usedeqsales
[view on eBay]
Used 5
in stock
$3,003.22
Description: Nikon 4S008-541 REC-DA0 PCB Card ACP-560 NSR FX-601F Working Surplus
usedeqsales
[view on eBay]
Used 4
in stock
$3,003.22
Description: Nikon 4S013-625 PCB Card iPot-I/F NSR FX-601F Lithography System Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,203.22
Description: Nor-Cal Products 3870-07100 Pneumatic Gate Valve AMAT Applied Materials Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,003.22
Description: VAT 25128-EA24-ADG1 HV Inline Valve Assembly AMAT 0200-21946 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,503.22
Description: AMAT Applied Materials 0190-26387 LCF Sensor Interface PCB Panel Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$5,003.22
Description: Nikon 4S019-562 PCB Card BSA-OTH-X6 NSR FX-601F FPD Lithography System Working
usedeqsales
[view on eBay]
Used 1
in stock
$304.22
Description: AMAT Applied Materials 0190-70810 Pressure Gauge CPG100 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$304.22
Description: AMAT Applied Materials 0190-70144 Universal Gauge HDP100 Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$2,004.22
Description: Nikon 4S008-564 RCP-D9C/NIK1 Backplane PCB E101532M000 NSR FX-601F Working Spare
usedeqsales
[view on eBay]
Used 5
in stock
$1,004.22
Description: Nikon 4S025-028 Backplane Interface PCB 650-CPCI06LA NSR FX-601F Working Spare
techequipsales
[view on eBay]
Used 1
in stock
$3,250.00
Description: Advanced Energy RFPP RF30S RF30SWC MT 3150017-013 RF Generator *tested working
usedeqsales
[view on eBay]
Used 1
in stock
$3,504.22
Description: AMAT Applied Materials 0242-13341 MXP+ Lid Assembly 0020-32013 Working Surplus
usedeqsales
[view on eBay]
Used 4
in stock
$1,204.22
Description: AMAT Applied Materials 0190-09263 200mm Plenum Pumping Plate Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$8,504.22
Description: Nikon 4S019-243 PCB Card SU-AOI 4S019-500 4S019-665 NSR FX-601F Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,004.22
Description: Lam Research 605-016006-001 CRT Video Top Board PCB 90441-009 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$454.22
Description: AMAT Applied Materials 0100-09008 Pneumatic Control Board PCB Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$604.22
Description: AMAT Applied Materials 0190-24476 PCB Card Cage Power Supply Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$7,352.95
Description: AMAT Applied Materials 0010-57289 300mm Wafer Rotation Transfer Robot Working
usedeqsales
[view on eBay]
Used 1
in stock
$7,004.22
Description: Nikon 4S008-470 Sensor Relay PCB AFSNSX6B1-MAIN NSR FX-601F System Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$604.22
Description: SMC SS5V1-DUQ00588 Pneumatic Manifold AMAT Applied Materials 0190-51632 Working
media-xpert
[view on eBay]
Used 1
in stock
$489.50
Description: TDK MSE280E Power Supply PCB Card Nikon 4S001-102 NSR System Working
usedeqsales
[view on eBay]
Used 3
in stock
$7,504.22
Description: Zygo 8020-0500-01 PCB Card 8020-0500 Rev. H Nikon 4S019-765 NSR FX-601F Working
usedeqsales
[view on eBay]
Used 2
in stock
$3,504.22
Description: AMAT Applied Materials 0040-09002 Etch Chamber Body Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,504.20
Description: AMAT Applied Materials 0010-09306 5000 CVD DXZ/EXZ Throttle Valve P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,504.20
Description: AMAT Applied Materials 0010-37804 TEOS Downstream Throttle Valve P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,504.22
Description: AMAT Applied Materials 0190-51977 Diaphragm Valve Reseller Lot of 17 Working
usedeqsales
[view on eBay]
Used 2
in stock
$6,504.22
Description: Nikon 4S019-795-1 PCB Card MACIF2-X6 4S019-796 4S025-087-1 NSR FX-601F Working
usedeqsales
[view on eBay]
Used 15
in stock
$6,504.22
Description: Nikon 4S025-226 PZT Driver PCB Card PZTDRV-4AXIS-X6-2A NSR FX-601F Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$5,004.22
Description: Yamatake 81420174-001 PCB Card EDC50B Nikon 4S013-571 NSR FX-601F Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$4,504.22
Description: Nikon 4S087-142 Interface PCB AFSNSX6B1 4S008-471 NSR FX-601F Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$4,504.22
Description: Nikon 4S018-763 Driver PCB IU-DRV2 NSR FX-601F FPD Lithography System Working
usedeqsales
[view on eBay]
Used 1
in stock
$4,504.22
Description: Nikon 4S018-764 Driver PCB IU-DRV3 NSR FX-601F FPD Lithography System Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,504.22
Description: Nikon 4S015-227 Network Interface PCB NK8601A NSR FX-601F Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$3,504.22
Description: Nikon 4S018-773 Control PCB IU-CTRL1 NSR FX-601F FPD Lithography System Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,504.22
Description: Nikon 4S018-789 Power Distribution PCB IU-PWR1 NSR FX-601F Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,004.22
Description: Nikon 4K186-969 Servo Motor Assembly Mitsubishi HF-KP053-S1 NSR FX-601F Working
usedeqsales
[view on eBay]
Used 1
in stock
$8,003.22
Description: Ebara Technologies AA20 V1 Dry Vacuum Pump Tested Working
usedeqsales
[view on eBay]
Used 1
in stock
$604.22
Description: AMAT Applied Materials 0226-31273 Strip Chamber Interconnect PCB Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$804.22
Description: AMAT Applied Materials 0100-76046 Gas Panel Board PCB Rev. A P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$804.22
Description: AMAT Applied Materials 0100-09114 Gas Panel Board PCB Rev. A P5000 Working
usedeqsales
[view on eBay]
Used 5
in stock
$604.22
Description: AMAT Applied Materials 1140-00480 Rack Mount Power Supply HF10-783 Working
usedeqsales
[view on eBay]
Used 3
in stock
$1,504.22
Description: AMAT Applied Materials 0100-01944 Tower Interface (CCT) PCB Card Working Surplus
usedeqsales
[view on eBay]
Used 6
in stock
$804.22
Description: Yaskawa SGMPS-01ACA-YA13 Servo Motor AMAT Applied Materials 0190-64456 Working
usedeqsales
[view on eBay]
Used 5
in stock
$2,004.22
Description: AE Advanced Energy 1303282 20kW Pinnacle 208v Output Drive PCB 2301459-A Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,004.20
Description: AE Advanced Energy 1303176 20kW Pinnacle SW Aux Supply MDX PCB 2301304-A Working
usedeqsales
[view on eBay]
Used 3
in stock
$1,204.22
Description: AE Advanced Energy 1303113 20kW Pinnacle 4-Digit Panel MDX PCB 2303109-A Working
usedeqsales
[view on eBay]
Used 4
in stock
$1,004.22
Description: AE Advanced Energy 1301740 20kW Pinnacle 186 Controller PCB 2301260-A Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,004.22
Description: AE Advanced Energy 1301967 20kW Pinnacle Resonant Cap PCB 2303103-A Working
usedeqsales
[view on eBay]
Used 1
in stock
$904.22
Description: AE Advanced Energy 1303297C Pinnacle Interconnect PCB 2301471-B Lot of 6 Working
usedeqsales
[view on eBay]
Used 5
in stock
$1,504.22
Description: AE Advanced Energy 1303193 20kW Pinnacle DeviceNet MDX PCB 2301549-A Working
usedeqsales
[view on eBay]
Used 6
in stock
$1,204.22
Description: AE Advanced Energy 1301918 20kW Pinnacle Inverter Drive PCB 2301350-A Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,504.22
Description: AE Advanced Energy 1301992 20kW Pinnacle ISO AEBUS PCB 2301390-B Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,204.22
Description: VAT 95034-KHGQ-ADQ1 Butterfly Pressure Control Valve AMAT 3870-06227 Working
usedeqsales
[view on eBay]
Used 2
in stock
$354.22
Description: VAT 26528-KA01-BCN1 HV Inline Valve Manual Actuator AMAT 3870-05684 Working
usedeqsales
[view on eBay]
Used 2
in stock
$3,004.22
Description: Nikon 4S019-608 Backplane PCB AFX6BB NSR FX-601F FPD Lithography System Working
usedeqsales
[view on eBay]
Used 9
in stock
$1,504.22
Description: VAT 61234-KAGQ-BFT2 Butterfly Valve Control System AMAT 0190-63480 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$2,504.22
Description: Nikon 4S019-408 Backplane PCB NAIO-BP-X6 E100674M000-A1 NSR FX-601F Working
usedeqsales
[view on eBay]
Used 1
in stock
$604.22
Description: SMC SS5V1-DUP03166 Pneumatic Manifold AMAT Applied Materials 4060-00910 Working
usedeqsales
[view on eBay]
Used 1
in stock
$6,504.22
Description: Nikon 4S015-339 ALGFP PCB Card ACP-112B-1N NSR FX-601F Broken Tab Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$2,005.22
Description: Nor-Cal 3870-03464 IQ Series Throttling Butterfly Valve Intellisys AMAT Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,005.22
Description: Celerity UFC-8565C Mass Flow Controller UNIT Lot of 6 AMAT 0190-16330 Working
usedeqsales
[view on eBay]
Used 1
in stock
$905.22
Description: Celerity UFC-8565C Mass Flow Controller UNIT Lot of 5 AMAT 0190-16331 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,005.22
Description: Nikon 4S787-063 Camera Interface Power Supply 4S588-333-1 NSR FX-601F Working
usedeqsales
[view on eBay]
Used 1
in stock
$405.22
Description: Omron E6B2-CWZ1X Rotary Encoder 4K774-770 Nikon NSR FX-601F Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$355.22
Description: AMAT Applied Materials 0190-62192 Mass Flow Controller 15 SCCM TiCl4 Working
usedeqsales
[view on eBay]
Used 2
in stock
$255.22
Description: Horiba STEC SEC-Z724AGX Mass Flow Controller MFC AMAT 0190-34245 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$255.22
Description: Horiba STEC SEC-Z714AGX Mass Flow Controller MFC AMAT 0190-33207 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$255.22
Description: Horiba STEC D514MG Mass Flow Controller MFC AMAT 0190-45976 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$805.22
Description: Nikon Microscope Turret Assembly with Mount OPTISTATION 3 & 7 2S700-409 Working
usedeqsales
[view on eBay]
Used 1
in stock
$505.22
Description: AMAT Applied Materials 0190-83681 PLC Programmable Logic Controller Working
usedeqsales
[view on eBay]
Used 1
in stock
$505.22
Description: AMAT Applied Materials 0190-83666 PLC EtherCAT DeviceNet Controller Working
usedeqsales
[view on eBay]
Used 1
in stock
$505.22
Description: AMAT Applied Materials 0190-83667 PLC Programmable Logic Controller Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,505.22
Description: Control Concepts 2028B-1007 SCR Power Controller AMAT 0500-01139 Working Surplus
usedeqsales
[view on eBay]
Used 12
in stock
$705.22
Description: AMAT Applied Materials 0120-01139 PSD Board PCB Card E-CHUCK 0110-01139 Working
usedeqsales
[view on eBay]
Used 1
in stock
$705.22
Description: AMAT Applied Materials 0120-01139 PSD Board PCB Card X SENSOR 0110-01139 Working
usedeqsales
[view on eBay]
Used 1
in stock
$705.22
Description: AMAT Applied Materials 0120-01139 PSD Board PCB Card Y SENSOR 0110-01139 Working
usedeqsales
[view on eBay]
Used 2
in stock
$705.22
Description: AMAT Applied Materials 0120-01139 PSD Board PCB Card FARADAY 0110-01139 Working
usedeqsales
[view on eBay]
Used 8
in stock
$405.22
Description: AMAT Applied Materials 0100-98079 PCB Card I/O 0110-98079 0120-98079 Working
usedeqsales
[view on eBay]
Used 1
in stock
$405.22
Description: Proteus 9160B24P6 Flow Meter AMAT Applied Materials 0190-35440 Lot of 2 Working
usedeqsales
[view on eBay]
Used 1
in stock
$605.22
Description: Sanyo Denki PMDPC1C3PA0-A1 Driver AMAT Applied Materials 1080-00417 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,505.22
Description: Nikon 4B079-124 Process Pump Manifold Assembly NSR FX-601F Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,505.22
Description: AMAT Applied Materials 0021-31504 Pneumatic Distribution Panel Producer Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,505.22
Description: AMAT Applied Materials 0190-81245 TB50 Digital I/O PLC Module D8 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$705.22
Description: AMAT Applied Materials 0040-86369 Circuit Breaker Panel Producer Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$305.22
Description: Nor-Cal Products 3870-01161 Angle Valve AMAT Applied Materials Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$605.22
Description: SMC LFU20-0250-DUS01560 Flow Sensor AMAT Applied Materials 0190-47021 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,605.22
Description: AMAT Applied Materials 0195-14429 Aeris-Series Control Isolator Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,505.22
Description: Control Concepts 2028P-1022 SCR Power Controller AMAT 0190-03327 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$706.22
Description: Yaskawa Electric SGMPH-01AAE-YA11 AC Servo Motor AMAT 1080-00143 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,006.22
Description: Nikon 4S017-556 Processor PCB Card PELCL NSR 4S017-556Ⓑ System Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$5,006.22
Description: Nikon 4S025-580 LHC-IF PCB Card E102204M000 RCB-LHCIF2-X6C/NIK1 NSR Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,006.22
Description: AMAT Applied Materials 0090-07029 RPS Advanced Controller Lot of 3 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,806.22
Description: AMAT Applied Materials 0190-37798 Siconi-Producer Interlock Module PCB Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,506.22
Description: AMAT Applied Materials 0090-08114 Interface Module MESA C3 CIOC Producer Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,006.22
Description: AMAT Applied Materials 0090-24476 Power Supply Producer Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$4,206.22
Description: Apex 1513 AE Advanced Energy A3L3L000CA140D111A RF Generator Tested Working
usedeqsales
[view on eBay]
Used 2
in stock
$4,206.22
Description: Apex 1513 AE Advanced Energy A3L5L000BA140D111A RF Generator Tested Working
usedeqsales
[view on eBay]
Used 16
in stock
$2,006.22
Description: AMAT Applied Materials 0100-02933 PCB GPLIS TEMPERATURE CONTROL Working Surplus
xihu888
[view on eBay]
NEW 5
in stock
$1,498.00
Description: AE Advanced Energy 1303193 20kW Pinnacle DeviceNet MDX PCB 2301549-A Working
usedeqsales
[view on eBay]
Used 1
in stock
$606.22
Description: AMAT Applied Materials 0100-35267 Gas Pallet Interlocks Matrix PCB 5200 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,906.22
Description: Nikon 4S008-242 Driver X6WXDRV PCB NSR Series System Chipped Plastic Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,006.22
Description: Nikon 4S008-242 Driver Board X6WXDRV PCB NSR Series System Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,506.22
Description: Control Concepts 3096-1007 SCR Power Controller AMAT 0190-10928 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$2,506.22
Description: Nikon 4S015-215 PCB Card LC ADPT Copper Exposed NSR Series Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$2,006.22
Description: AMAT Applied Materials 0090-00811 Dual Gas Leak Detector PCB Card Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$806.22
Description: Nikon 4S019-026 Driver PCB IU-DRV2-EX4P Copper Exposed NSR Series Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,206.22
Description: Nikon 4S018-754 PCB Card WTDRVX4 Copper Exposed NSR Series Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,106.22
Description: Nikon 4S018-693 VME PCB Card FPIF-IMAC Copper Exposed NSR Series Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,206.22
Description: Nikon 4S025-192 Interface PCB RYIF NSR Series Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,006.22
Description: Nikon 4S008-609 Driver PCB RYDRV3 NSR Series Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$1,006.22
Description: AMAT Applied Materials 0100-02324 Chamber Distribution Backplane PCB Working
usedeqsales
[view on eBay]
Used 1
in stock
$8,010.17
Description: Nikon 4S013-487 Interface Control Board PCB IFIOPIF3 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,207.22
Description: AMAT Applied Materials 0040-40159 200mm Dual Wafer Tray Holder Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,507.22
Description: AMAT Applied Materials 0040-99997 200mm ESC Notch Pedestal 0040-09957 Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,007.22
Description: AMAT Applied Materials 0020-23042 PVD Chamber Lid Cover Endura 5500 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,806.22
Description: AMAT Applied Materials 0010-21745 Operator Control Panel Endura 5500 Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,507.22
Description: AMAT Applied Materials 0040-33998 MXP+ Etch Liner Wall Rev. B Working Surplus
xihu888
[view on eBay]
NEW 1
in stock
$1,098.00
Description: AE Advanced Energy 1301918 20kW Pinnacle Inverter Drive PCB 2301350-A Working
usedeqsales
[view on eBay]
Used 9
in stock
$1,007.22
Description: AMAT Applied Materials 0100-90881 Vacuum Interlock PCB Card 0120-91654 Working
usedeqsales
[view on eBay]
Used 21
in stock
$604.33
Description: AMAT Applied Materials 0100-90710 Vacuum Gauge Interface PCB Card Working Spare
usedeqsales
[view on eBay]
Used 16
in stock
$1,007.22
Description: AMAT Applied Materials 0100-01326 Turbo Pump Interface PCB Card Quantum Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.22
Description: AMAT Applied Materials 0100-00790 Vendor Interface Pre-Accel PCB Card Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.22
Description: AMAT Applied Materials 0100-01698 Source Magnet Control PCB Card Quantum Working
usedeqsales
[view on eBay]
Used 1
in stock
$4,207.22
Description: Apex 1500/13 AE Advanced Energy A3L3L000BA140D111A RF Generator Tested Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.22
Description: AMAT Applied Materials 0100-91134 Beamline Instrumentation PCB XR80 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.22
Description: AMAT Applied Materials 0100-91055 Gab Servo PCB Card XR80 Implanter Working
usedeqsales
[view on eBay]
Used 22
in stock
$603.73
Description: AMAT Applied Materials 0100-90385 Contactor Drive PCB Card Issue ZD XR80 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.22
Description: AMAT Applied Materials XR80 Backplane PCB Set of 2 0100-90875 0100-91104 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,107.22
Description: AMAT Applied Materials 0100-91135 Vacuum Control motherboard PCB XR80 Working
usedeqsales
[view on eBay]
Used 4
in stock
$3,507.22
Description: MERCURY 10013 AE Advanced Energy 27-256558-00 RF Match 3150273-004 F/R B Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,504.22
Description: AMAT Applied Materials 0040-44822 Producer Lift Assembly 0040-44820 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,007.22
Description: Maxon Motor 232842 Servo Motor A-max Nikon 4S602-385 NSR Series Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$607.22
Description: Sayno Denki PV2A015SMT1P30-01 Pedestal Lift Servo Driver AMAT 0190-12138 Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,007.22
Description: Nikon 4S013-373 Linear Motor Interface PCB PADATAIF-X4A NSR Series Working Spare
usedeqsales
[view on eBay]
Used 10
in stock
$2,207.22
Description: Kollmorgen MAG02-25021-001 2-Channel Magnet Driver AMAT 0190-16633 Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,608.22
Description: ADTEC AXR-2000III Plasma Generator Novellus 27-360919-00 No Key Tested Working
usedeqsales
[view on eBay]
Used 1
in stock
$808.22
Description: AMAT Applied Materials 0100-02041 300mm AFEOL R/C Out Interface PCB Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,008.22
Description: AMAT Applied Materials 0100-02258 300mm AFEOL RF Current Detector PCB Working
grandbirdnet
[view on eBay]
Used 2
in stock
$7,900.00
Description: AMAT 0010-77681 ASSY, ELECTRONIC BOX, SYSTEM, LOWER, WORKING
usedeqsales
[view on eBay]
Used 1
in stock
$2,108.22
Description: AMAT Applied Materials 0100-00499 DC Power/Seriplex Bus Distribution PCB Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,008.22
Description: Maxon Motor 119248 Servo Motor Nikon 2S602-142 NRM-3100 Working Surplus
usedeqsales
[view on eBay]
Used 6
in stock
$3,008.22
Description: Tazmo NSR17 Robot Controller Nikon 4S064-549 NSR-S205C Step-and-Repeat Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,508.22
Description: Nikon 2S013-220-1 LD-IF Interface Backplane Board 2S701-487 PCB NRM-3100 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,008.22
Description: Nikon 2S701-423 Interface Connector PCB STGX 2S007-238-3 NRM-3100 Working
vizko2017
[view on eBay]
Used 1
in stock
$504.00
Description: Nikon Power PCB IU-PWR2-X4 4S008-261 Working IU-IOP2 4S064-413-1
usedeqsales
[view on eBay]
Used 1
in stock
$1,508.22
Description: Nikon 2S701-427 Interface Connector PCB STGB-2 2S007-242-1 NRM-3100 Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,008.22
Description: Nikon 2S701-460 Driver Processor Board PCB 2S003-052-1 300mm NRM-3100 Working
usedeqsales
[view on eBay]
Used 38
in stock
$2,284.93
Description: ADTEC AX-2000EUII-N RF Generator Novellus 27-286651-00 Tested Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$4,508.22
Description: VHF Ovation 35162 AE Advanced Energy 0190-16109 RF Generator AMAT Tested Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,508.22
Description: Nikon Z-4B1A-A1901 DUV Scanning Power Supply Module 4S013-448 NSR-S307E Working
usedeqsales
[view on eBay]
Used 8
in stock
$7,508.22
Description: Kawasaki C62C-A002 Robot Controller Nikon 4S064-985 4S211-573 NSR Series Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,508.22
Description: Nikon 2S701-425 Interface Connector PCB STGY-2 2S007-240-1 NRM-3100 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,508.22
Description: Nikon 2S701-424 Interface Connector PCB STGY-1 2S007-239-1 NRM-3100 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,508.22
Description: Yaskawa Electric CLSR-CA-4590N2A Linear Motor PCB 4S013-373 Nikon NSR Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,208.22
Description: Nikon 2S598-580 CCD Video Camera FC310-T2 Assembly with Filter NRM-3100 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,008.22
Description: Nikon 2S598-580 CCD Video Camera FC310-T2 Assembly with Mount NRM-3100 Working
usedeqsales
[view on eBay]
Used 2
in stock
$4,508.22
Description: Nikon 2S005-253 300mm Wafer AFCCD Optical Inspection Sensor NRM-3100 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,508.22
Description: XP Power 102346-P1 Power Supply AMAT Applied Materials 0190-62069 Working
usedeqsales
[view on eBay]
Used 1
in stock
$408.22
Description: AMAT Applied Materials 0020-24962 8" Lower Shield Endura 5500 Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$2,008.22
Description: Nikon 4S008-390 PRE2-EL INV Module Board PCB Nikon NSR Series Working Surplus
usedeqsales
[view on eBay]
Used 5
in stock
$558.22
Description: Nikon 4S025-081 Interface PCB Board ALG-ILM-CTL2.1-2 Nikon NSR Series Working
usedeqsales
[view on eBay]
Used 2
in stock
$359.19
Description: Nikon 4S019-489 Relay PCB RMD-ENC NSR-S610C Scanning System Working Spare
usedeqsales
[view on eBay]
Used 5
in stock
$2,608.22
Description: Nikon 4S025-080 Control PCB ALG-ILM-CTL2.1-1 Nikon NSR Series Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$9,008.22
Description: AMAT Applied Materials 0021-11382 Endura CPI-VCM Chamber Source 3 Magnet Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,009.22
Description: Nikon 2S015-210-2 Processor VME PCB Card AF 2S701-319 NRM-3100 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,009.22
Description: Nikon 2S014-072-3 Digital I/O VME PCB Card LDIO 2S701-422 NRM-3100 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$3,509.22
Description: Nikon 2S015-200-7 ST Controller VME PCB Card STCNT 2S701-365 NRM-3100 Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,509.22
Description: Nikon 4S025-313 REX-IF3 Interface PCB CSB07NK005A NSR Series Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$609.22
Description: SBS Technologies 85950000-000 Interface PCB AMAT 0190-23314 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$262.19
Description: Aera FC-7810CD Mass Flow Controller MFC 20 SLM He Novellus 22-127300-00 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,509.22
Description: AMAT Applied Materials 0620-00516 RF Cable Top Generator to Match 150' Working
usedeqsales
[view on eBay]
Used 1
in stock
$9,509.22
Description: Nikon 4S013-373 WYL Linear Motor Controller SPA432A 4S586-949-4 NSR-S204 Working
usedeqsales
[view on eBay]
Used 1
in stock
$7,706.45
Description: Kollmorgen MAG05-25041-007 EMAG 4 Chan Power System AMAT 0195-05598 Cu Working
usedeqsales
[view on eBay]
Used 2
in stock
$509.22
Description: AMAT Applied Materials 0100-90491 Wafer Arm Position PCB Card Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$5,509.22
Description: RF Navigator Z'Scan AE Advanced Energy 3155999-138 RF Match Network Working
usedeqsales
[view on eBay]
Used 1
in stock
$5,509.22
Description: RF Navigator Z'Scan AE Advanced Energy 3155999-139 RF Match Network Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,509.22
Description: AMAT Applied Materials 0040-09960 Electrostatic Chuck 200mm ESC Notch Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,509.22
Description: MKS Instruments AS01397-01 Sys/Dryer Interlock PCB Card AMAT 0090-05098 Working
usedeqsales
[view on eBay]
Used 2
in stock
$509.22
Description: AE Advanced Energy 2305638-B 208V Bus Filter PCB Card 1305748 5513 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$2,010.22
Description: AMAT Applied Materials 0040-43138 300mm Chamber Lid Cover Producer Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,510.22
Description: AMAT Applied Materials 0200-02043 Ceramic External Insulator Producer Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,010.22
Description: MKS Instruments AS01500-63 Interlock PCB Card CDN500R-63 AMAT 0090-05655 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,510.22
Description: MKS Instruments AS01491-AB-1 PCB Card CDN491R AMAT 0190-27072 Lot of 2 Working
usedeqsales
[view on eBay]
Used 2
in stock
$3,510.22
Description: AMAT Applied Materials 0040-20505 200mm Dual Zone PVD Heater Pedestal Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,010.22
Description: AE Advanced Energy 2305738 Digital Controller PCB Card 1315033 5513 Working
usedeqsales
[view on eBay]
Used 1
in stock
$660.22
Description: AE Advanced Energy 2305993-C Rigid Flex "H" Connector PCB 5513 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$710.22
Description: AE Advanced Energy 2300660-B Secondary Motherboard PCB V3B APEX 5513 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,510.22
Description: Novellus Systems 15-116157-00 Spindle Lift Assembly 17-116167-00 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,810.22
Description: AMAT Applied Materials 0010-07620 ISRM Laser Module Full Scan INT Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,210.22
Description: AMAT Applied Materials 0040-32073 Universal Gasbox Lid Reseller Lot of 2 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,510.22
Description: AMAT Applied Materials 0020-13995 200mm Wafer Lift Fork Set of 2 Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$2,510.22
Description: AMAT Applied Material 0040-09136 200mm Wafer CVD Bare Chamber P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$910.22
Description: AE Advanced Energy 2305905-A Measurement Fast Loop PCB Card 1306638 5513 Working
usedeqsales
[view on eBay]
Used 1
in stock
$610.22
Description: AMAT Applied Materials 0100-13011 RF Match Controller PCB Working Surplus
usedeqsales
[view on eBay]
Used 4
in stock
$860.22
Description: AE Advanced Energy 2305737-A 186 Controller Module PCB Card 1315199 5513 Working
usedeqsales
[view on eBay]
Used 6
in stock
$3,010.22
Description: AMAT Applied Materials 0090-03402 PCB Card PYRO DSP Working Surplus
usedeqsales
[view on eBay]
Used 8
in stock
$1,010.22
Description: MKS Instruments Tenta AS00720-03 PCB Card cPCI PWRS-0720 AMAT 0190-06173 Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,010.22
Description: MKS Instruments Tenta AS00700-08 Analog I/O PCB Card AMAT 0190-22967 Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,010.22
Description: DIP 15049602 CDN496 PCB Card 516-042 AMAT Applied Materials 0190-06172 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,510.22
Description: DIP 486-025 PCB Card CDN497 AMAT Applied Materials 0190-06178 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,510.22
Description: AMAT Applied Materials 0090-02619 300mm Gas Interlock PCB Card Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,510.22
Description: CTI-Cryogenics 3PH 3-Phase Motor Controller Unit On-Board Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$911.22
Description: Nikon 2S701-367 Interface PCB STS-I/F 2S017-393 300mm NRM-3100 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$711.22
Description: AMAT Applied Materials 0150-00427 LF RF Cable 4.2M Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,511.22
Description: AMAT Applied Materials 0190-05111 Control Video Switch Unit Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$611.22
Description: AMAT Applied Materials 0100-35563 Leak Detector Configuration PCB Card Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,011.22
Description: Delta Tau 603625-104 Turbo PMAC2 CPU PCB Card AMAT 0190-26873 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$2,511.22
Description: Celerity UFC-8165 Mass Flow Controller MFC AMAT 3030-14778 Lot of 10 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,511.22
Description: MKS Instruments AS03840-15 PCB Card CPCI-3840 AMAT 0190-41137 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,511.22
Description: MKS Instruments AS03840-11 PCB Card CPCI-3840 AMAT 0190-39551 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$511.22
Description: AMAT Applied Materials 0100-35178 CHX Distribution Module Centura Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,511.22
Description: AMAT Applied Materials 0040-09095 XCVD Gas Box Lid Assembly Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$1,511.22
Description: Sony XC-56BB-FEP Progressive Camera Module 4S588-495 Nikon NSR-S205C Working
usedeqsales
[view on eBay]
Used 2
in stock
$711.22
Description: AMAT Applied Materials 0021-10213 Wafer Transfer Lid Transplant Plate Working
usedeqsales
[view on eBay]
Used 1
in stock
$711.22
Description: SST Woodhead AMAT-DNP-CPCI-1 DeviceNet Pro PCB Card AMAT 0190-05400 Working
usedeqsales
[view on eBay]
Used 4
in stock
$9,011.22
Description: Nikon 4S065-172-1 IU-IOP2 Controller 4S018-776 NSR-S307E DUV Scanning Working
usedeqsales
[view on eBay]
Used 1
in stock
$811.22
Description: AMAT Applied Materials 0100-01492 Source Conditioning Board PCB Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$3,511.22
Description: Newport 15-3701-1425-25 300mm Wafer Transfer Robot AMAT 0190-19124 Cu Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,512.22
Description: CTI-Cryogenics 8043155G600 Cryopump Supply CryoLine 50' AMAT 3400-01374 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,512.22
Description: CTI-Cryogenics 8043156G600 Cryopump Return CryoLine 50' AMAT 3400-01375 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,512.22
Description: CTI-Cryogenics 8043340G600 Cryopump Supply CryoLine 50' AMAT 3400-00060 Working
usedeqsales
[view on eBay]
Used 2
in stock
$3,012.22
Description: Nikon 4S018-750 I/O PCB Card SPIOX4 4S700-994 4S700-995 NSR Series Working Spare
usedeqsales
[view on eBay]
Used 2
in stock
$2,509.22
Description: AMAT Applied Materials 0190-01632 300mm RF Cable 72 Foot Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$2,509.22
Description: AMAT Applied Materials 0190-01378 300mm RF Cable 72 Foot Working Surplus
usedeqsales
[view on eBay]
Used 4
in stock
$762.22
Description: Novellus Systems 03-283469-00 RF Generator Cable 60 Foot Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$762.22
Description: Novellus Systems 03-283472-00 RF Generator Cable 60 Foot Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$762.22
Description: Novellus Systems 03-283470-00 RF Generator Cable 60 Foot Working Surplus
usedeqsales
[view on eBay]
Used 4
in stock
$762.22
Description: Novellus Systems 03-283473-00 RF Generator Cable 60 Foot Working Surplus
usedeqsales
[view on eBay]
Used 4
in stock
$762.22
Description: Novellus Systems 03-283468-00 RF Generator Cable 60 Foot Working Surplus
usedeqsales
[view on eBay]
Used 6
in stock
$2,512.22
Description: AMAT Applied Materials 0190-05576 RF Cable 75 Foot Endura Mainframe Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT Applied Materials 0190-14431 RF Cable Supply To Target 74 Foot Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,512.22
Description: Leybold 800152V0006-001 Turbomolecular Pump Cable 23M AMAT 0620-00933 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,512.22
Description: Leybold 800152V0053-001 Turbomolecular Pump Cable 23M AMAT 0620-00933 Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,612.22
Description: AMAT Applied Materials 0190-07765 RF Lug Cable Supply To Target 74 Foot Working
usedeqsales
[view on eBay]
Used 1
in stock
$662.22
Description: AMAT Applied Materials 0100-13011 RF Match Control PCB Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$1,012.22
Description: Novellus Systems 02-152265-00 296MM PPS Cup Cleaned Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$1,012.22
Description: AMAT Applied Materials 0190-81898 RF Filter Inner 500W @ 13.56/42.7MHz Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,212.22
Description: Oriental Motor UDK5214NW 5-Phase Driver AMAT 0190-00569 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$662.22
Description: Inficon CG100 Capacitance Gauge AMAT Applied Materials 0190-70322 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,012.22
Description: Nor-Cal Products 3870-01455 Pneumatic Angle Valve Reseller Lot of 2 AMAT Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,212.22
Description: Inficon CGS045 Capacitance Diaphragm Gauge AMAT 0190-60759 Lot of 2 Working
txsemisales
[view on eBay]
Used 1
in stock
$225.00
Description: Nor-Cal Lam Research 839-032905-001 Pneumatic Valve Lam 490/590
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 324-0301// AMAT APPLIED 0730-01010 LEYBOLD TURBOTRONIC NOT WORKING
usedeqsales
[view on eBay]
Used 1
in stock
$1,012.22
Description: AMAT Applied Materials 0040-09098 200mm Wafer Blade Paddle End Effector Working
usedeqsales
[view on eBay]
Used 2
in stock
$4,012.22
Description: Nikon 4S008-538 Optical Sensor PCB Assembly PPD-CCD3 NSR-S205C Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$4,512.22
Description: RF Navigator II AE Advanced Energy 3155999-193 RF Match 13.56MHz Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$501.22
Description: AMAT Applied Materials 0100-00386 Light Select Interconnect PCB Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$2,501.23
Description: Lam Research 02-287781-00 15" Heater Pedestal Assembly PED Rev. C Working Spare
lokinb
[view on eBay]
Used 1
in stock
$220.00
Description: ADVANCED ENERGY GENCAL POWER METER Used Working, No power Supply (C6B5)
usedeqsales
[view on eBay]
Used 2
in stock
$1,001.23
Description: Yamatake DMC50CS Multi-Loop Controller DMC50 Nikon 4S087-739 NSR-S610C Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,001.23
Description: Yamatake DMC50CS Multi-Loop Controller DMC50 Nikon 4T081-669 Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$1,003.23
Description: AMAT Applied Materials 0190-81901 RF Filter Outer 500W @ 13.56/42.7MHz Working
usedeqsales
[view on eBay]
Used 4
in stock
$901.23
Description: AMAT Applied Materials 0620-08511 RF Coaxial Cable 9 Foot Working Surplus
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 320-0201 AMAT APPLIED 0100-00011 PCB ASSEMBLY CHOPPER DRIVE I [NOT WORKING]
techequipsales
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT Applied Materials 0019-09340 G 5000 CVD Susceptor Lift *used working
techequipsales
[view on eBay]
Used 1
in stock
$1,000.00
Description: HNL 0190-09315 A Magnetron Waveguide Assembly AMAT P5000 CVD *used working
techequipsales
[view on eBay]
Used 1
in stock
$2,000.00
Description: HNL 0190-09315 A Magnetron Waveguide Assembly AMAT P5000 CVD *used working
usedeqsales
[view on eBay]
Used 1
in stock
$808.23
Description: AMAT Applied Materials 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 Working
usedeqsales
[view on eBay]
Used 2
in stock
$602.23
Description: AMAT Applied Materials 0020-10059 Wafer Lift Ring NI/SO Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$702.23
Description: AMAT Applied Materials 0020-32182 100mm Wafer Pedestal Reseller Lot of 2 Working
usedeqsales
[view on eBay]
Used 5
in stock
$2,502.23
Description: AMAT Applied Materials 0040-09957 200mm ESC Notch 0020-32963 0040-09961 Working
dgold32
[view on eBay]
Used 1
in stock
$2,599.99
Description: LAM RESEARCH VORTEX PRE-ALIGNER ASSY 853-228778-004 Working
usedeqsales
[view on eBay]
Used 2
in stock
$5,502.23
Description: AMAT Applied Materials 0041-12766 300mm ESC Electrostatic Chuck Base Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,003.23
Description: Kensington 15-3600-0300-01 Wafer Prealigner PRE-OA2 AMAT 0190-16360 Working
usedeqsales
[view on eBay]
Used 1
in stock
$4,503.23
Description: Navigator 3013 AE Advanced Energy 3155132-005 RF Match Working Surplus
j316gallery
[view on eBay]
Used 2
in stock
$13,562.50
Description: 21703 APPLIED MATERIALS ASSY, ELEAL, SYNERGY V452 SBC W (WORKING) 0090-03467
j316gallery
[view on eBay]
Used 1
in stock
$52,500.00
Description: 32555 KAWASAKI A3 ROBOT, AMAT 0190-24327 (WORKING) 3NX540B-A302
usedeqsales
[view on eBay]
Used 1
in stock
$703.23
Description: AMAT Applied Materials 0150-07713 Ozone Generator AC Cable Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$254.23
Description: AMAT Applied Materials 0100-09058 Operator Control Panel Board PCB P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$904.23
Description: Copley Controls 800-1511 Accelnet AMAT Applied Materials 0190-23542 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,304.23
Description: AMAT Applied Materials 0190-84016 Control Throttle Valve Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,804.23
Description: Lam Research 853-017340-001 Resistor Bank Assembly Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$1,004.23
Description: AMAT Applied Materials 0100-02655 UPA DeviceNet Interface PCB Rev. 04 Working
usedeqsales
[view on eBay]
Used 10
in stock
$804.23
Description: MKS Instruments AS01491-0-4 CDN91R PCB Card AMAT 0190-34282 Rev. 04 Working
usedeqsales
[view on eBay]
Used 7
in stock
$804.23
Description: MKS Instruments AS01496-0-3 CDN496R PCB Card AMAT 0190-24283 Rev. 04 Working
usedeqsales
[view on eBay]
Used 1
in stock
$304.23
Description: TeNTA PWRS-0721 cPCI Power Board PCB Card MKS AS00721-06 AMAT 0190-28493 Working
usedeqsales
[view on eBay]
Used 7
in stock
$604.23
Description: MKS Instruments AS01500-30 CDN497R Interlock PCB Card AMAT 0190-37947 Working
usedeqsales
[view on eBay]
Used 2
in stock
$654.23
Description: AMAT Applied Materials 0100-00572 300mm Gas Panel Distribution PCB Working
usedeqsales
[view on eBay]
Used 2
in stock
$604.23
Description: Sanyo Denki PMDPC1C3PA0-A1 Driver AMAT Applied Materials 1080-00417 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,204.23
Description: Nor-Cal Products 3870-07100 Pneumatic Gate Valve with Heater Jacket AMAT Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,902.54
Description: Osaka Vacuum TG1113MBW-09 Turbomolecular Pump Novellus 27-033321-00 Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,902.54
Description: Osaka Vacuum TG1133EMBW-07 Turbomolecular Pump Novellus 27-033321-00 Working
usedeqsales
[view on eBay]
Used 3
in stock
$1,004.23
Description: XP Power 10017043 Power Supply AMAT Applied Materials 1140-01688 Working Surplus
usedeqsales
[view on eBay]
Used 8
in stock
$1,504.23
Description: Vicor WP5-77567 Power Supply MegaPAC AMAT 1140-01576 Reseller Lot of 4 Working
usedeqsales
[view on eBay]
Used 2
in stock
$804.23
Description: Vicor WP5-77567 Power Supply MegaPAC AMAT Applied Materials 1140-01576 Working
usedeqsales
[view on eBay]
Used 1
in stock
$362.16
Description: CTI-Cryogenics 8039345 Cryopump Helium CryoLine Lot of 4 MRC Eclipse Working
usedeqsales
[view on eBay]
Used 13
in stock
$503.18
Description: Nikon 4S005-344 Interface Board PCB AF-SENSOR21 NSR System Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,406.18
Description: Nikon 4S013-202 Interface Encoder Board PCB IM-ENCD1 NSR-S202A Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$406.18
Description: Nikon 4S013-211 Interface Board PCB NSR-S202A Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,110.19
Description: Nikon 4S018-693 FPIF-IMAC VME PCB Card NSR-S307E DUV Scanning System Working
usedeqsales
[view on eBay]
Used 8
in stock
$2,006.17
Description: Nikon 4S013-510 Interface PCB Card SPAIFX4B NSR-S307E DUV Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$803.18
Description: Nikon 4S007-664 Relay Sensor Board PCB FIAAF-SENSOR-D NSR-S205C Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$503.18
Description: Nikon 4S013-189 LINK-RZ Interface Board PCB NSR System Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$755.23
Description: AMAT Applied Materials 0190-89414 Baratron Pressure Transducer Tested Working
usedeqsales
[view on eBay]
Used 2
in stock
$755.23
Description: AMAT Applied Materials 0190-80891 Baratron Pressure Transducer Tested Working
usedeqsales
[view on eBay]
Used 1
in stock
$755.23
Description: AMAT Applied Materials 0190-63269 Baratron Pressure Transducer Tested Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,505.23
Description: Nikon 4S019-160 Interface PCB Card ALG-ACE2 NSR Series Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$755.23
Description: AMAT Applied Materials 0190-52494 Baratron Transducer CG100 Tested Working
usedeqsales
[view on eBay]
Used 1
in stock
$505.23
Description: AMAT Applied Materials 0190-70705 Baratron Transducer CGA100 Tested Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,005.23
Description: Nikon 4S019-691 Power Supply PCB EXYCPWR EXYC-R Amplifier Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$4,505.23
Description: Nikon 4S008-445 Driver Board EXYCDRV2 PCB EXYC-R Amplifier Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$3,005.23
Description: Nikon 4S003-087 Power Module PCB EXYCFLT EXYC-R Amplifier Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$4,505.23
Description: Nikon 4S019-866 Interface PCB WSIF2 4S013-684-1 SBILX6 EXYC-R Working Surplus
usedeqsales
[view on eBay]
Used 9
in stock
$505.23
Description: DIP 15049105 DeviceNet I/O PCB Card CDN491 DIP-506-300 AMAT 0190-04457 Working
usedeqsales
[view on eBay]
Used 2
in stock
$505.23
Description: DIP 15049602 DeviceNet I/O PCB Card CDN496 506-159 AMAT 0190-04397 MKS Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,005.23
Description: AMAT Applied Materials 0190-04098 Interface PCB SBS 900-009-27B Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$505.23
Description: AMAT Applied Materials 0190-48897 Baratron Transducer CGA100 Tested Working
expertsurplus
[view on eBay]
Used 1
in stock
$225.00
Description: Lam Research 810-077393-002 Rev E2, Chamber Inter., PCB, Working When Removed
expertsurplus
[view on eBay]
Used 1
in stock
$225.00
Description: LAM Research 810-077616-001 Rev E2, Vacuum Interface, PCB, Working When Removed
usedeqsales
[view on eBay]
Used 1
in stock
$805.23
Description: AMAT Applied Materials 0020-22242 Lift Hoop 150mm Reseller Lot of 10 Working
usedeqsales
[view on eBay]
Used 16
in stock
$605.23
Description: AMAT Applied Materials 0020-21708 8" Chuck Orienter Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,205.23
Description: AMAT Applied Materials 0040-36180 200mm TxZ SNNF Heater Chuck w/Cable Working
usedeqsales
[view on eBay]
Used 1
in stock
$305.23
Description: AMAT Applied Materials 0100-09058 Operator Control Panel PCB Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$805.23
Description: AMAT Applied Materials 0020-09031 200mm Insulating Washer Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$3,005.23
Description: AMAT Applied Materials 0010-09837 Wafer Lift Precision 5000 Working Surplus
usedeqsales
[view on eBay]
Used 4
in stock
$1,705.23
Description: AMAT Applied Materials 0010-09301 Throttle Valve Assembly TEOS P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,505.23
Description: AMAT Applied Materials 0100-01894 I/O Analog Output PCB Assembly Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$905.23
Description: AMAT Applied Materials 0020-03433 100mm 4" Pedestal Reseller Lot of 2 Working
usedeqsales
[view on eBay]
Used 1
in stock
$805.23
Description: AMAT Applied Materials 0190-35522 Heater Jacket Controller Working Surplus
dch2023
[view on eBay]
Used 2
in stock
$1,760.00
Description: APPLIED MATERIALS 0190-61144 POWER SUPPLY, MODEL NUMBER: 101714-02, working
usedeqsales
[view on eBay]
Used 1
in stock
$805.23
Description: AMAT Applied Materials 0190-13071 30 Station EV Valve P5000 Manifold Working
usedeqsales
[view on eBay]
Used 1
in stock
$905.23
Description: AMAT Applied Materials 0020-03435 150mm 6" Pedestal Reseller Lot of 2 Working
usedeqsales
[view on eBay]
Used 1
in stock
$905.23
Description: AMAT Applied Materials 0020-42248 200mm Wafer Storage Tray Assembly 8" Working
usedeqsales
[view on eBay]
Used 1
in stock
$305.23
Description: LAM Research 853-330316-032 Chamber Heater Cartridge Reseller Lot of 18 Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,006.23
Description: AMAT Applied Materials 0040-36180 200mm Wafer TxZ SNNF Heater Chuck Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,506.23
Description: AMAT Applied Materials 0100-02355 SCR Interface Board PCB Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$2,996.23
Description: AMAT Applied Materials 0190-83797 Servo Drive Assembly 1080-01809 Working Spare
usedeqsales
[view on eBay]
Used 2
in stock
$2,996.23
Description: AMAT Applied Materials 0190-75695 Servo Drive Assembly 1080-01809 Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$806.23
Description: Copley Controls 800-2122 Servo Drive AMAT Applied Materials 1080-02356 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,006.23
Description: Copley Controls 800-1831 Servo Drive AMAT Applied Materials 0195-13833 Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,506.23
Description: Yaskawa Electric XU-ACP130-A14 Prealigner AMAT 0190-49757 Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$4,006.23
Description: AMAT Applied Materials 0190-02032 RF Cable 75 Foot Reseller Lot of 2 Working
usedeqsales
[view on eBay]
Used 1
in stock
$506.23
Description: Nor-Cal Products 3870-01919 Pneumatic Angle Valve NW40 AMAT Working Surplus
cosplity
[view on eBay]
Used 1
in stock
$7,800.00
Description: AMAT 1040-01153 METER PRESS 0-30 PSI DHI RPM3 G0030 S/N 1077 , WORKING
usedeqsales
[view on eBay]
Used 1
in stock
$2,506.23
Description: Phasetronics P1183-2/2 PVD Chamber Lamp Heater Driver AMAT 0190-76273 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,506.23
Description: AMAT Applied Materials 0190-24808 Linear Actuator Assembly 0060-02044 Working
techequipsales
[view on eBay]
Used 1
in stock
$3,000.00
Description: Daihen SGP-15B 1140-01216 Microwave Power Generator AMAT *used working
usedeqsales
[view on eBay]
Used 1
in stock
$706.23
Description: Horiba STEC SEC-Z524MGXN Mass Flow Controller AMAT 0190-75613 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$806.23
Description: CTI-Cryogenics 8112463G050 Cryo Pump Power Cable 5 Foot Reseller Lot 4 Working
usedeqsales
[view on eBay]
Used 2
in stock
$3,507.23
Description: Deublin 20502-50006 300mm Rotary Union AMAT Applied Materials 0010-54284 Working
usedeqsales
[view on eBay]
Used 9
in stock
$1,007.23
Description: SMC NCDQ2B-UIA97 0691 300mm Pneumatic Cylinder AMAT 0060-13113 Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$806.23
Description: AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 MKS Working Surplus

This tag has been viewed 4 times

Most recent views:

Singapore Saturday, Sep/07/2024 at 8:39 pm CST
Korea (Republic of) Saturday, Sep/07/2024 at 8:39 pm CST
Malaysia Saturday, Sep/07/2024 at 8:37 pm CST
Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
usedeqsales Used - $254.06 0 Aug/15/12 Feb/26/13
Description: Lam 4420 Deltron V300 DC Power Supply V300B working 853-017230-001
usedeqsales Used - $54.56 1 Sep/04/12 May/23/13
Description: Varian 572 Ionization Gauge Assembly K7360301 working 0040-97150
usedeqsales Used - $1,809.12 1 Sep/06/12 Dec/05/12
Description: Alcatel ACT 1300M 109670 Turbopump Controller 3620-00273 working 0620-01951
usedeqsales Used - $1,009.12 1 Sep/21/12 May/28/15
Description: Lam 4420 Pedestal Cylinder Lifter Assy 853-011661-001-H working 853-011632-001
usedeqsales Used - $702.12 1 Feb/29/12 Nov/06/13
Description: Lam Research 4420 Endpoint Detector 853-001983-005-H-PCBELE Used Working
usedeqsales Used - $808.12 2 Aug/20/12 Jul/08/21
Description: Lam Research 810-17031-2 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working
usedeqsales Used - $808.12 0 Aug/20/12 Sep/16/22
Description: Lam Research 810-17031-4 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working
usedeqsales Used - $1,008.12 0 Aug/20/12 Jan/11/13
Description: Lam Research 4420 853-012123-500-E1-230 Loadlock Chamber 715-011202-001 working
usedeqsales Used - $52.56 1 May/22/12 Feb/26/13
Description: Lam Research Protector Drive Gap Assembly 853-011168-001-C Used Working
usedeqsales Used - $2,509.12 0 Sep/19/12 Dec/31/19
Description: LAM Research 4420 RF Generator Cart 852-014681-005-F working OEM-650A
usedeqsales Used - $754.56 1 Sep/19/12 Dec/12/13
Description: LAM Research 4420 RF Generator Cart 852-014681-005-J working OEM-650A
usedeqsales Used - $309.12 1 Sep/19/12 Jun/12/15
Description: Tylan Lam Research 4420 Manometer 853-017653-001-A-MDFT CMLA-11S02 Used Working
usedeqsales Used - $1,502.12 1 Feb/22/12 Jul/12/13
Description: AMAT Applied Materials P5000 Mini-Controller 0010-76036 Rev.J working 0090-09116
usedeqsales Used - $3,002.12 0 Feb/22/12 Jan/13/16
Description: AMAT Applied Materials 0290-76088 P5000 Remote AC Box Rev.V working
usedeqsales Used - $311.11 1 Nov/14/11 Nov/13/17
Description: LAM Research 4420 Loadlock Bottom View Assembly Parts 771-090453-001 working
usedeqsales Used - $1,203.12 2 Mar/13/12 Jan/21/15
Description: LAM Research 4420 Etcher Wafer Transport Assembly 853-012726-001 Rev.G Working
usedeqsales Used - $403.12 1 Mar/13/12 Feb/14/20
Description: Lam Research 4420 RF Switch Box 853-017163-001-D-3710 Used Working
usedeqsales Used - $803.12 0 Mar/14/12 Jan/20/14
Description: Lam Research 4420 DIP High Frequency Board 810-017003-004 Rev. B Used Working
usedeqsales Used - $282.18 1 Mar/14/12 Oct/06/13
Description: Lam Research 4420 RF Sense Box Autotune 853-025944-001-1-C221 Used Working
usedeqsales Used - $603.12 1 Mar/14/12 Feb/02/13
Description: Lam Research 4420 Transformer Assembly 853-025902-001-4-C474 Used Working
usedeqsales Used - $1,003.12 0 Mar/14/12 Jun/03/22
Description: Lam Research 853-013610-001-D 4420 Solenoid Tray Assembly Used Working
usedeqsales Used - $405.12 0 May/11/12 May/05/15
Description: Turck Bus Stop Hub w/ Voltage Monitor JBBS 57-E811 lot of 5 working
usedeqsales Used - $504.12 30 Apr/11/12 Jun/07/22
Description: MKS Instruments AS01391-22 Control PCB Card AMAT 0190-26786 Used Working
usedeqsales Used - $357.12 0 Jul/10/12 May/05/15
Description: Powertec Power Relay Board 070-25134-006 Rev. T Used Working
usedeqsales Used - $153.03 4 Dec/13/11 Dec/12/12
Description: Cutler-Hammer HFD3150L Industrial Circuit Breaker 6639C98G99 working 73043-98
usedeqsales Used - $3,509.07 1 Dec/05/07 Nov/09/12
Description: Equipe PRE-301B PRI Automation 300mm Prealigner used working surplus
usedeqsales Used - $506.08 1 Oct/16/08 Nov/23/12
Description: AE Navigator RF Match Network 3155156-001B working
usedeqsales Used - $202.06 1 Apr/13/12 Dec/07/12
Description: Westinghouse Circuit Breaker MC3800F 0680-01431 Used Working
usedeqsales Used - $1,208.12 2 Aug/16/12 Dec/07/12
Description: Neslab Merlin M25 Chiller 262112030000 Working
usedeqsales Used - $203.56 1 Jul/20/12 Dec/07/12
Description: Pro-Face Operator Panel GP37W2-BG41-24V Used Working
usedeqsales Used - $153.04 1 Sep/29/08 Dec/07/12
Description: RF Services Inc. RFS 5005 RF Match 5kW icp working surplus
usedeqsales Used - $101.06 3 Feb/08/12 Dec/07/12
Description: Brooks TT1ENR2-1-TVS-ES-BROOKS8 Teach Pendant 112017 working 0190-16139
usedeqsales Used - $203.56 1 Jul/19/12 Dec/06/12
Description: Allen Bradley PLC Controller SLC500 1746-A7 Used Working
usedeqsales Used - $250.11 1 Oct/05/11 Dec/06/12
Description: Lot of 3 MKS HPS Angle Valves UHV-25-AKC-ENVN KF25 Flange Working
usedeqsales Used - $752.55 1 May/25/10 Dec/06/12
Description: AE Navigator RF Match 3155169-007A working
usedeqsales Used - $707.12 1 Jul/27/12 Dec/06/12
Description: Therma-Wave 18-022643 Laser Diode Driver Rev.B working
usedeqsales Used - $252.56 1 May/24/12 Dec/06/12
Description: Cosel DC Power Supply MMB75U-1 Lot of 5 Used Working
sparepartssolution Used - $49.99 1 Feb/22/12 Dec/14/12
Description: (AG01) ORIENTAL MOTOR CSD5807N-P 5PH MOTOR DRIVER WORKING
usedeqsales Used - $606.12 1 Jun/27/12 Jan/03/13
Description: VAT 0750X-UA24-AIP2 Gate Valve Assembly working
usedeqsales Used - $504.06 1 Aug/23/12 Dec/15/12
Description: Thermo Electron Corp. Cryotiger Cold End T2118-01-290-14 Used Working
fa-parts Used - $4,800.00 1 Dec/04/12 Jul/16/24
Description: NOVELLUS AS,SYS_CONT,QNX4,DUAL_ETHER,P/N 02-157591-00 CONTROLLER WORKING FREE
usedeqsales Used - $1,011.11 2 Nov/08/11 Dec/18/12
Description: LAM Research Lifter & Spinner Assembly 853-012525-001 Rev. E Working LAM 4420
usedeqsales Used - $1,962.18 8 Mar/18/11 Dec/18/12
Description: ENI OEM-2000 RF Generator 2000W 13.56MHz working
usedeqsales Used - $1,012.11 3 Dec/21/11 Dec/18/12
Description: Applied Materials AMAT Endura 5500 Hoist Lift working
usedeqsales Used - $1,009.12 1 Sep/10/12 Dec/18/12
Description: Asyst 300FL, S2.1, HAMA ROX Load Port 9700-8106-01 300mm Used, Working
usedeqsales Used - $753.56 1 Jul/24/12 Dec/18/12
Description: Radisys 149101-005 PSBC28614 CPU Board working
usedeqsales Used - $752.06 1 Apr/26/12 Dec/18/12
Description: Lindberg/Blue M Mechanical Oven M01490A-1 working
usedeqsales Used - $3,507.78 1 Dec/11/12 Dec/18/12
Description: AMAT Quantum Leap 9010-00049 Gas Box 0010-99158 good working
usedeqsales Used - $908.12 1 Aug/01/12 Dec/18/12
Description: Daihen HFA-50A-V RF Match working
sparepartssolution Used - $250.00 0 May/09/12 Dec/19/12
Description: (AA01) AMAT APPLIED MATERIALS 3870-01213 PENUMATIC VALVE USED WORKING
sparepartssolution Used - $99.99 1 Dec/20/11 Dec/21/12
Description: (AG01) ORIENTAL MOTOR VEXTA PH544-NA-A15 5PH STEPPING MOTOR WORKING
usedeqsales Used - $809.12 1 Sep/18/12 Jul/09/14
Description: Lam Research Transfer Arm 15-024611-00 Rev. C Used Working
usedeqsales Used - $1,012.12 4 Dec/03/12 Dec/27/12
Description: Cybor 5116CE Photo Resist Pump Option 00511-01 working
usedeqsales Used - $312.12 0 Dec/31/12 May/05/15
Description: Moxa NPort 6650-8-AMAT 8-Port Secure Device Server 0190-33980 working
usedeqsales Used - $912.09 1 Nov/15/10 Jan/01/13
Description: AE MDX-5K DC Power Supply 3152011-035H working
usedeqsales Used - $3,512.12 1 Dec/31/12 Jan/02/13
Description: Kawasaki 3NS001S-L003 300mm NF Prealigner 0190-14786 working
usedeqsales Used - $510.12 1 Oct/04/12 Jan/02/13
Description: Nikon Interface Board 2S700-579-1 Rev.A working
usedeqsales Used - $512.12 1 Dec/04/12 Jan/02/13
Description: Nikon 2S700-579-1 Circuit Interface Board 2S013-072-5 Rev.A working
usedeqsales Used - $4,558.48 1 Dec/27/12 Jan/05/13
Description: ENI Genesis GHW-50 RF Generator GHW50Z-08010 Rev.2 working 0190-29438
usedeqsales Used - $104.56 1 Sep/07/12 Jan/06/13
Description: Baldor Motor and Gear Head GP7304 Used Working
capitolareatech Scrap, for parts - $38.25 1 Mar/26/12 Jan/07/13
Description: QTERN DISPLAY, FLAT PANEL W/TOUCHSCR QTERM-K65 *FOR PARTS/NOT WORKING*
usedeqsales Used - $216.38 1 Sep/11/12 Jan/07/13
Description: Jennings Capacitor CMV1-4000-0605 Used Working
usedeqsales Used - $601.12 1 Jan/08/13 Oct/01/13
Description: Novellus 02-149841-01 VCTR L/LK R LTM Assembly Rev.C working
usedeqsales Used - $502.12 1 Oct/25/12 Jan/07/13
Description: MKS Baratron Pressure Transducer 852B-13384 Lot of 12 Used Working
usedeqsales Used - $1,001.13 1 Jan/09/13 Jan/10/13
Description: AMAT Applied Materials P5000 0010-09978 Gold Lamp Module Assembly Rev.B working
usedeqsales Used - $216.38 1 Sep/11/12 Jan/08/13
Description: Jennings Capacitor CMV1-4000-0305 Used Working
usedeqsales Used - $217.78 2 Nov/23/11 Jan/08/13
Description: Bay Engineered Systems BES-6115-0002 Pneumatic Manifold 0190-01625 working
usedeqsales Used - $76.06 6 Feb/17/12 Jan/08/13
Description: Jennings JCSF-100-15S Capacitor lot of 9 working 100PF 15KV
usedeqsales Used - $281.48 2 Feb/10/12 Jan/08/13
Description: Watlow Anafaze CLS204 Temperature Controller 88-30500-510 Rev.K working
usedeqsales Used - $424.98 3 Jul/20/12 Jan/08/13
Description: Socapel AC Servo Drive STI-10-310 Used Working
usedeqsales Used - $1,512.12 1 Dec/20/12 Jan/08/13
Description: Yaskawa SMC-2000-8MR 8-Axis Motion Controller 0190-26513 working
usedeqsales Used - $281.48 1 Feb/16/12 Jan/09/13
Description: Watlow Anafaze CLS204 Temperature Controller 88-30500-510 Rev.J working
usedeqsales Used - $281.48 2 Feb/17/12 Jan/09/13
Description: Watlow Anafaze CLS208 Temperature Controller 208-1100100 Rev.G working
usedeqsales Used - $283.58 1 May/16/12 Jan/09/13
Description: Watlow Anafaze Temperature Controller 88-30500-550 Rev. A Used Working
usedeqsales Used - $505.12 1 May/24/12 Jan/09/13
Description: Applied Materials AMAT XR80 Cooled Tube 0040-96386 Used Working
usedeqsales Used - $5,001.06 1 Feb/20/12 Jan/09/13
Description: Axcelis 1183060C 5" GSD Disk 125mm working
usedeqsales Used - $1,001.12 1 Jan/10/13 Feb/22/13
Description: Novellus 02-113640-00 P166/64 System Controller Assembly working
usedeqsales Used - $1,501.13 0 Jan/10/13 Dec/31/13
Description: AMAT Applied Materials 9090-00042 E84 Interface Controller Rev.3X8H working
usedeqsales Used - $610.12 1 Nov/01/12 Jan/13/13
Description: Lam 4420 Mykrolis AC-2 Valve Controller 796-006238-001 Rev.K working
usedeqsales Used - $705.68 1 Aug/10/12 Jan/13/13
Description: Lam 4420 Edge Sensor Assembly 853-012500-002-E-3117 Used Working
usedeqsales Used - $5,258.48 1 Dec/31/12 Jan/12/13
Description: CTI-Cryogenics 9600 Compressor 8135900G001 tested working
usedeqsales Used - $5,258.48 1 Dec/31/12 Jan/12/13
Description: CTI-Cryogenics 9600 Compressor 8135900G001 working 26,147.3 run hours
usedeqsales Used - $512.11 1 Dec/29/11 Jan/11/13
Description: MKS 628B-23459 Baratron Transducer Assembly 750B11TCD2GG working IDAA7600A-07
usedeqsales Used - $1,504.09 1 May/06/11 Jan/11/13
Description: Agilent Logic Analysis System 16702B working
usedeqsales Used - $2,454.26 1 Jun/16/09 Jan/11/13
Description: Brooks Transfer Robot ABM-405-1-S-CE-S293 working
usedeqsales Used - $318.58 1 May/15/12 Jan/10/13
Description: MKS Pressure Gauge LDM-B12PA2CC1 1040-01218 LDM-B12PA2CC3 Lot of 6 Used Working
sparepartssolution Used - $999.99 1 Jun/13/12 Jan/14/13
Description: (AJ01) SGDS-08A12A SGMAS-08ACA21 YASKAWA SERVOPACK SERVO MOTOR USED WORKING
usedeqsales Used - $1,001.13 1 Jan/15/13 Jun/18/14
Description: AMAT Applied Materials 9090-01128 Vacuum Robot Amplifier Chassis working
usedeqsales Used - $150.57 1 Jan/15/13 Apr/14/13
Description: AMAT Applied Materials 0010-03051 300mm Slit Valve NCDQ2B125-UIA97 working
usedeqsales Used - $5,011.12 0 Jan/15/13 Jan/17/13
Description: AMAT Quantum Leap 9010-00049 Gas Box 0010-99158 good working
onvideolarry Used - $9.99 1 Jan/10/13 Jan/17/13
Description: Sony PVM-14M2U Trinitron 14" Color Video Monitor working
usedeqsales Used - $311.11 1 Nov/02/11 Jan/17/13
Description: Oriental Motors Vexta 5-Phase Driver DFU1514W Working Hitachi I-900SRT
sparepartssolution Used - $899.99 1 Aug/24/12 Jan/19/13
Description: (AC03) TYLAN GENERAL AC-2S06 AC206 796-006238-002 ADAPTORR USED WORKING
usedeqsales Used - $104.56 1 Sep/05/12 Jan/19/13
Description: Mitsubishi Contactor SD-N50 Lot of 4 Used Working
sparepartssolution NEW - $99.99 0 Sep/04/12 Sep/02/15
Description: (127-0602) AMAT APPLIED MATERIALS 0020-20716 FINGER USED WORKING
sparepartssolution Used - $799.99 1 Aug/29/12 Jun/25/14
Description: (127-0205) AMAT APPLIED MATERIALS 0010-21336 SHUTTER BAR ASSEMBLY USED WORKING
sparepartssolution Used - $199.99 0 Dec/27/11 Apr/23/14
Description: (AG01) OMRON E5ER-CTB-DRT DIGITAL CONTROLLER WORKING
sparepartssolution Used - $49.99 0 Dec/27/11 Apr/23/14
Description: (AG01) RORZE RC-204A I/O MASTER WORKING
sparepartssolution Used - $99.99 0 Dec/27/11 Apr/23/14
Description: (AG01) SMC MXS16-20ASF AIR CYLINDER WORKING
sparepartssolution Used - $149.99 0 Dec/27/11 Apr/23/14
Description: (AG01) YASKAWA SGDL-04AS SERVO PACK WORKING
usedeqsales Used - $7,150.73 1 Jan/17/13 Jan/20/13
Description: AE Advanced Energy RFG 5500 RF Generator 3155051-015A working 5kW 525V
usedeqsales Used - $607.12 0 Jul/25/12 Jan/25/19
Description: Hitachi 568-5531 Sense-CN PCB working
usedeqsales Used - $507.12 0 Jul/25/12 Apr/23/13
Description: Hitachi BBB1-02 M-712E Interface Board working
usedeqsales Used - $208.11 2 Aug/30/11 May/18/17
Description: KLA-Tencor AIT 2 Video Switch 525227 working
usedeqsales Used - $1,108.11 0 Aug/30/11 Aug/09/22
Description: Nikon 4S085-431 Carrier Module Board 4S019-327 PCB Card NSR-S307E Used Working
usedeqsales Used - $656.12 3 Jun/25/12 Apr/04/23
Description: VAT 26332-KA11-1001 Right Angle Isolation Valve Lot of 9 Used Working
usedeqsales Used - $1,812.09 1 Dec/08/09 Mar/31/20
Description: AMAT XR80 Implanter Controller 0090-91404 ITL working
usedeqsales Used - $407.12 3 Jul/25/12 Jul/09/13
Description: TEL Unity 2 AC Pow FAIL PCB 1D81-000065-1 Used Working
usedeqsales Used - $907.10 0 Jul/06/10 Jun/16/17
Description: ETEL Digital Servo Amplifier DSB2P123-111E-000H Working
usedeqsales Used - $706.12 1 Sep/24/12 Jan/18/13
Description: Varian EX-929-7003-M001 Dual Ion Pump Control 0190-A5831 working
usedeqsales Used - $350.78 1 Jan/11/12 Jan/18/13
Description: Nikon PSM-11520 Optical Fiber Light Source working
usedeqsales Used - $1,205.12 4 May/02/12 Jul/12/13
Description: Applied Materials AMAT Mirra Mesa Pneumatic Box 0010-77682 Used Working
used1eqsales Used - $3,501.13 0 Jan/25/13 May/25/13
Description: AMAT Quantum X Scan Head 9011-01884 Working
usedeqsales Used - $4,501.13 4 Jan/24/13 Jan/26/13
Description: ENI NOVA-25A RF Generator NOVA-25A-01 Rev.F working 0920-00060
usedeqsales Used - $5,000.56 2 Jan/16/12 Jan/26/13
Description: Daihen Advanced Microwave Generator System ATM-30A 0190-03117 Used Working
sparepartssolution Used - $499.99 2 Jul/08/12 Jan/26/13
Description: (AB01) AC SERVO MOTOR OMRON R88M-H10030 R88M WORKING
systasemi Used - $7,000.00 0 Jan/27/13 Feb/26/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $3,006.10 1 Jun/16/10 Jan/29/13
Description: Nikon Robot WDR1D244DNR WDZ1D238R Working
sparepartssolution Used - $999.99 0 Jan/30/13 Sep/02/15
Description: (HA01) AMAT APPLIED MATERIALS 0020-20691 COOLDOWN CH PEDESTAL ASSY USED WORKING
sparepartssolution Used - $1,499.99 1 Jan/30/13 Jun/03/13
Description: (126-0105) AMAT APPLIED MATERIALS 0010-09874 0010-09871 VDS CONTROL USED WORKING
sparepartssolution Used - $459.99 0 Jan/30/13 Aug/27/13
Description: (126-0103) AMAT APPLIED MATERIALS 1080-01133 MOTOR DRIVER USED WORKING
sparepartssolution Used - $19.99 1 Jan/30/13 Mar/26/13
Description: (126-0103) AMAT APPLIED MATERIALS 0720-01597 CONNECTOR USED WORKING
sparepartssolution Used - $299.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0090-70000 MTR-BRK-ENCDR ASSY USED WORKING
sparepartssolution Used - $149.99 1 Jan/30/13 Feb/22/13
Description: (126-0103) AMAT APPLIED MATERIALS 0050-21983 GAS LINE USED WORKING
sparepartssolution Used - $199.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0040-20056 ADAPTOR ELBOW .25VCR USED WORKING
sparepartssolution Used - $249.99 1 Jan/30/13 Mar/31/15
Description: (126-0103) AMAT APPLIED MATERIALS 0020-23635 LIFT HOOP 6 101% W/B USED WORKING
sparepartssolution Used - $499.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0020-21690 PLATE CCD VACUUM SEAL USED WORKING
sparepartssolution Used - $299.99 1 Jan/30/13 Feb/19/13
Description: (126-0103) AMAT APPLIED MATERIALS 0010-20511 ASSY, DC BAIS SHORT USED WORKING
sparepartssolution Used - $999.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0010-05574 P5000 ZA SLIT VALVE USED WORKING
sparepartssolution Used - $5,999.99 0 Jan/30/13 May/13/14
Description: (117-0101) AMAT APPLIED MATERIALS 0010-76152 HTHU 8inch HEATER USED WORKING
sparepartssolution Used - $199.99 0 Jan/30/13 Oct/17/13
Description: (116-0201) AMAT APPLIED MATERIALS 0030-20006 SOURCE COVER USED WORKING
sparepartssolution Used - $4,599.99 0 Jan/30/13 Aug/03/13
Description: (116-0201) AMAT APPLIED MATERIALS 0010-70441 MOTORIZED LIFT ASSEMBY USED WORKING
sparepartssolution Used - $3,999.99 0 Jan/30/13 Apr/11/13
Description: (116-0201) AMAT APPLIED MATERIALS 0010-13629 MOTORIZED LIFT ASSY USED WORKING
usedeqsales Used - $1,750.57 1 Jan/31/13 May/20/13
Description: AE Advanced Energy 3155132-004 RF Match Rev.B 0190-27599 working
sparepartssolution Used - $49.99 3 Sep/21/12 Jan/30/13
Description: (118-0101) NUPRO SS-BNV51-C PENUMATIC VALVE USED WORKING
sparepartssolution Used - $49.99 5 Sep/21/12 Jan/30/13
Description: (118-0101) NUPRO SS-BNVCR4-C PENUMATIC VALVE USED WORKING
sparepartssolution Used - $9,999.99 0 Feb/01/13 Jun/24/14
Description: (HA01) AMAT APPLIED MATERIALS 0010-21844 G12 DURA SOURCE MAGNET USED WORKING
alphatronique Used - $550.00 1 Jan/02/13 Feb/01/13
Description: USED Zevatech PM460 Pick and Place Complete and working HEAD
usedeqsales Used - $3,511.09 1 Nov/13/09 Jan/31/13
Description: Genmark Automation Robot Controller S08P4.RD working
usedeqsales Used - $205.12 1 May/31/12 Jan/31/13
Description: MKS Pressure Transducer 131882-G3 Lot of 3 Used Working
usedeqsales Used - $204.12 1 Apr/09/12 Jan/31/13
Description: Carlo Gavazzi Contactor RJ1P23V50E Lot of 4 Used Working
usedeqsales Used - $509.12 1 Sep/06/12 Jan/31/13
Description: Edwards Sprecher Schuh LA7-40-1753 EMO lot of 24 working
usedeqsales Used - $753.12 1 Mar/21/12 Jan/31/13
Description: SMC Cylinder Actuator NCDQ1B32-G0069-100 Lot of 11 Used Working
usedeqsales Used - $757.12 1 Jul/26/12 Jan/31/13
Description: Mitsubishi QJ61BT11N CC-Link PLC Master Unit lot of 5 working
usedeqsales Used - $806.12 1 Jun/22/12 Jan/31/13
Description: Nova 210-46000-00 Wafer Handler Controller lot of 7 working
esolutions1 Used - $199.00 1 Feb/03/13 Nov/03/14
Description: Nor-Cal Valve Actuator AMAT 0190-25722 Used Working
nevadasurplus-com Used - $2,099.99 1 Feb/06/13 Sep/19/13
Description: ENI / AMAT OEM-12 / OEM-12B-02 / 0190-76028 1250W 13.56MHz 3 Phase Working!
usedeqsales Used - $5,002.13 0 Feb/08/13 Jun/03/13
Description: Applied Materials AMAT Quantum Beamline Flight Tube 9010-00940 Used Working
d-dog0818 Used - $749.95 0 Feb/12/13 Feb/19/13
Description: AMAT Applied Materials P5000 0010-09978 Gold Lamp Module Assembly Rev.A Working
usedeqsales Used - $752.13 0 Feb/12/13 Feb/28/13
Description: STL AMAT Quantum Leap Stewart Transformers London 9240-01235 Transformer working
goodworldgadgetsrom Used - $255.00 0 Feb/14/13 Jun/14/13
Description: AMAT Applied Materials 0100-20003 Digital I/O Board Rev.G working
d-dog0818 Used - $629.95 1 Feb/19/13 Aug/29/13
Description: AMAT Applied Materials P5000 0010-09978 Gold Lamp Module Assembly Rev.A Working
sparepartssolution Used - $299.99 1 Feb/20/13 Feb/22/13
Description: (126-0103) AMAT APPLIED MATERIALS 0010-20511 ASSY, DC BAIS SHORT USED WORKING
systasemi Used - $7,000.00 0 Mar/05/13 Apr/04/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
sparepartssolution Used - $2,499.99 0 Mar/11/13 Jun/18/14
Description: (127-0103) AMAT APPLIED MATERIALS 0010-10973 0010-30064 HOT DETECTOR WORKING
usedeqsales Used - $1,003.13 0 Mar/12/13 Oct/22/13
Description: AMAT 0010-99090 Quantum Leap 3 Process Assembly 0020-81401 Used Working
usedeqsales Used - $803.13 1 Mar/12/13 Jul/22/13
Description: AMAT 0020-87074 XR80 Cylinder Drive Assembly 0010-92377 Used, Working
used1eqsales Used - $9,001.88 0 Mar/18/13 Jun/16/13
Description: Nikon NSR-307 Controller Rack 4S019-117 working 4S019-424
used1eqsales Used - $703.13 2 Mar/18/13 Aug/22/13
Description: AMAT Applied Materials 0020-31347 P5000 Lamp Module Assembly Used Working
semiguy2596 NEW - $150.00 0 Mar/18/13 Mar/21/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
usedeqsales Used - $1,011.11 1 Mar/19/13 Sep/24/13
Description: LAM Research 4420 RF Match Gear Drive Assembly 853-015130-103 working
semiguy2596 NEW - $0.99 0 Mar/21/13 Mar/28/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
semiguy2596 NEW - $150.00 0 Mar/23/13 Apr/03/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
usedeqsales Used - $503.13 1 Mar/26/13 Jun/18/13
Description: Millipore CMHT-11S02 Manometer 685-008451-001 REV.D LAM 4420 Used Working
usedeqsales Used - $2,503.13 0 Mar/26/13 May/23/14
Description: ENI GHW12Z-13DF2N0-001 RF Generator AMAT 0190-25527 GHW-12Z Rev.00J working
usedeqsales Scrap, for parts - $2,003.13 3 Mar/26/13 Aug/10/13
Description: Comdel FP7526RB RF Generator CB3500 AMAT 0190-38882 not working as-is
usedeqsales Used - $303.13 1 Mar/27/13 Oct/02/18
Description: Mykrolis CMHT110232E Manometer 853-011053-002 LAM 4420 Used Working
usedeqsales Used - $403.13 0 Mar/28/13 Sep/24/13
Description: LAM Research 715-130080-008 200mm End Effector REV. F LAM 4500 Used Working
usedeqsales Scrap, for parts - $604.13 0 Apr/01/13 May/04/15
Description: Yaskawa XU-ACP130-A13 300mm Prealigner AMAT 0190-34910 Untested Not Working
usedeqsales Scrap, for parts - $404.13 0 Apr/02/13 May/05/15
Description: Comdel FP6287R1 RF Switch ESC-2 AMAT 0190-37057 Untested Not Working
semiguy2596 NEW - $0.99 1 Apr/03/13 Apr/10/13
Description: NO RESERVE!!(127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
semiguy2596 NEW - $0.99 0 Apr/03/13 Apr/10/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
usedeqsales Used - $404.13 0 Apr/03/13 May/05/15
Description: Mass-Vac 355084 MV Multi-Trap Vacuum AMAT 0190-34121 Used Working
usedeqsales Used - $654.13 1 Apr/04/13 Sep/06/13
Description: MDC 996035 Manual Operated Angle Valve AMAT 3870-01352 Lot of 4 Used Working
usedeqsales Used - $1,804.13 0 Apr/05/13 Apr/30/15
Description: Horiba STEC LF-310A-EVD Liquid Mass Flow Meter AMAT 3030-08009 Used Working
usedeqsales Used - $2,104.13 0 Apr/05/13 Feb/20/15
Description: Horiba STEC LF-410A-EVD Liquid Mass Flow Meter AMAT 3030-09966 Used Working
systasemi Used - $7,000.00 0 Apr/07/13 May/07/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $504.13 0 Apr/08/13 Oct/16/19
Description: AMAT Applied Materials 0100-90710 Vacuum Gauge Interface Board Rev.D working
usedeqsales Used - $454.13 0 Apr/08/13 Oct/19/17
Description: AMAT Applied Materials 0100-90881 Vacuum Interlock Board Rev.B XR80 working
usedeqsales Used - $504.13 1 Apr/08/13 Jun/12/13
Description: AMAT Applied Materials 0120-00272 A.Magnet PSU Interface Board Rev.B working
usedeqsales Used - $504.13 2 Apr/08/13 May/14/14
Description: AMAT Applied Materials 0120-93017 Gap Servo Board Rev.A working
usedeqsales Used - $504.13 1 Apr/08/13 Dec/12/13
Description: AMAT Applied Materials 0120-00273 Pre-Accel Vendor Interface Board Rev.A working
usedeqsales Used - $752.07 0 Apr/09/13 Dec/12/13
Description: Novellus 02-256142-01 Loadlock Robot Rev.C Concept II Altus working
usedeqsales Used - $752.07 0 Apr/09/13 Dec/12/13
Description: Novellus 02-853993-01 Loadlock Robot Rev.B Concept II Altus working
usedeqsales Used - $752.07 0 Apr/09/13 Dec/12/13
Description: Novellus 02-853993-00 Loadlock Robot Rev.A Concept II Altus working
usedeqsales Used - $752.07 0 Apr/09/13 Dec/12/13
Description: Novellus 02-853993-01 Loadlock Robot Rev.A Concept II Altus working
usedeqsales Used - $752.07 1 Apr/09/13 Nov/21/13
Description: Novellus 02-053993-00 Loadlock Robot Rev.C Concept II Altus working
usedeqsales Used - $752.07 0 Apr/09/13 Dec/12/13
Description: Novellus 02-853993-00 Loadlock Robot Rev.C Concept II Altus working
usedeqsales Scrap, for parts - $902.13 1 Apr/09/13 Apr/11/13
Description: Edwards A409-04-977 Dry Vacuum Pump IPX 100A AMAT 3620-00219 not working as-is
usedeqsales Used - $1,504.13 18 Apr/10/13 Jan/01/19
Description: Digital Dynamics 27-810157-00 I/O Controller Novellus Concept II Altus working
usedeqsales Used - $504.13 2 Apr/11/13 Jan/24/14
Description: VAT 03110-NA24-AMK1 Slit Valve Novellus 02-115274-00 Concept II Altus working
usedeqsales Used - $304.13 1 Apr/16/13 Sep/11/13
Description: Lam Research 713-011205-001 180 Degree Loadlock Cover Rev.G 4420 Etcher working
sparepartssolution Used - $999.99 0 Apr/16/13 Apr/23/14
Description: (AC06) NOVELLUS 02-034833-00 SESIOC WTS 0 USED WORKING
systasemi Used - $3,500.00 0 Apr/17/13 May/17/13
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
usedeqsales Used - $1,004.13 3 Apr/18/13 May/01/15
Description: Yaskawa SGDH-75AEY906 Platen Rotation Motor Driver AMAT 0190-08040 working
usedeqsales Used - $454.13 1 Apr/18/13 Apr/18/13
Description: Kawasaki 30C61E-B014 Robot Master Controller AMAT 0190-17941 working
sparepartssolution Used - $199.99 1 Nov/05/11 Apr/17/13
Description: (AA01) DYNAMICS ENCODER C25FE85B15-2500Z842 WORKING
usedeqsales Used - $153.55 2 Jul/07/10 Apr/17/13
Description: MKS CDN396R Analog I/O Board AS01396-6-11 working
usedeqsales Used - $4,504.13 1 Apr/19/13 Apr/21/13
Description: Kawasaki 30C61E-B014 Robot Master Controller AMAT 0190-17941 working
usedeqsales Scrap, for parts - $804.13 1 Apr/22/13 Apr/22/13
Description: VAT 10846-UE24-AAM1 Gate Valve AMAT 3870-03069 not working as-is
usedeqsales Used - $252.07 2 Apr/22/13 May/27/13
Description: Yaskawa SGDH-08AE-SY905 AC Servo Drive AMAT 0190-08005 working
usedeqsales Used - $604.13 3 Apr/22/13 May/23/13
Description: TDK TAS-MAIN CPU Daughter Main PCB AMAT 0190-30311 Rev.6.10B working
kinneycorp Used - $365.87 0 Apr/25/13 May/05/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
usedeqsales Used - $804.13 1 Apr/25/13 Sep/04/13
Description: Fujikin 038819 Diaphragm Valve AMAT 3870-01766 Lot of 17 Used working
sparepartssolution Used - $99.99 0 Apr/26/13 Sep/02/15
Description: (AA01) AMAT APPLIED MATERIALS 0020-26691 HTHU LIFT FINGER NEW WORKING
sparepartssolution Used - $1,399.99 0 Apr/29/13 Apr/20/15
Description: (127-0201) AMAT APPLIED MATERIALS 1210-02865 RES DUMMY LOAD 1.5K USED WORKING
dr.dantom Used - $4,000.00 0 Apr/30/13 Feb/12/15
Description: Applied Materials / AMAT Endura Controller 0010-22911 PVD Chamber 300MM WORKING!
dr.fantom Used - $4,000.00 0 Apr/30/13 Feb/12/15
Description: Applied Materials / AMAT Endura Controller 0010-31159 PVD Chamber 300MM WORKING!
sparepartssolution Used - $2,799.99 1 May/01/13 Jul/01/13
Description: (117-0501) AMAT APPLIED MATERIALS 0010-70254 STANDARD HEATER USED WORKING
usedeqsales Used - $8,005.13 0 May/02/13 Apr/30/15
Description: MKS AX7685-20 Remote Plasma Source ASTRONex AMAT 0190-34542 Rev. B Used Working
usedeqsales Used - $504.12 1 Apr/10/12 May/01/13
Description: Oriental Motor Stepping Motor A3723-9215 C5347-9212M Lot of 10 Used Working
usedeqsales Used - $5,505.13 0 May/03/13 May/23/14
Description: MKS FI80131 Remote Plasma Source ASTRONex AMAT 0920-00131 Rev C Used Working
usedeqsales Used - $505.13 4 May/03/13 Dec/11/14
Description: Novellus 03-032706-00 DLCM Interlock Board Used Working
used1eqsales Used - $1,205.13 1 May/06/13 Oct/13/15
Description: AMAT Applied Materials 9090-01162 Energy Control Chassis Rev. C Used Working
usedeqsales Used - $510.12 2 Oct/29/12 May/07/13
Description: Pearl Kogyo ZDK-916L2C-P Tuner Controller working Hitachi M-712E
usedeqsales Used - $505.13 2 May/08/13 Jan/31/14
Description: Novellus 03-034719-00 Left Loadlock Interface Rev G PCB Used Working
usedeqsales Used - $105.13 1 May/09/13 Jun/26/13
Description: Leica 86 11101553/0208 BZ:2 Lamp House AMAT 0060-21446 Rev. A Used Working
usedeqsales Used - $305.13 1 May/09/13 May/21/13
Description: Deublin 957-600 Air Rotary Union AMAT 0190-01272 used working
kinneycorp Used - $355.77 0 May/16/13 May/26/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
systasemi Used - $7,000.00 0 May/12/13 Jun/11/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $605.13 5 May/17/13 Jun/22/13
Description: Applied Materials 50001344-01 ConnectPort TS 16 AMAT 0190-27952 Used Working
systasemi Used - $3,500.00 0 May/20/13 Jun/19/13
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
usedeqsales Scrap, for parts - $505.13 1 May/23/13 May/23/13
Description: Sekidenko 0190-00220 4 Channel Controller AMAT Not Working As-Is
used1eqsales Used - $2,005.13 1 May/29/13 Apr/22/16
Description: AMAT Applied Materials 0190-01769 Endura Cable Rev. 003 Used Working
used1eqsales Used - $2,005.13 1 May/29/13 Apr/22/16
Description: AMAT Applied Materials 0190-05576 Endura Mainframe Cable Rev. 003 Used Working
used1eqsales Used - $2,005.13 1 May/29/13 May/23/17
Description: AMAT Applied Materials 0190-02032 Endura RF Cable Rev. 006 Used Working
sparepartssolution Used - $2,999.99 0 Jun/08/13 Apr/20/15
Description: (127-0203) AMAT APPLIED MATERIALS 0010-76600 BLADE ASSY 8 THIN META USED WORKING
sparepartssolution Used - $999.99 0 Jun/08/13 Sep/02/15
Description: (125-0501) AMAT APPLIED MATERIALS 0190-22545 SPECIFICATION, 5.X FI USED WORKING
sparepartssolution Used - $499.99 0 Jun/08/13 Sep/02/15
Description: (125-0501) AMAT APPLIED MATERIALS 0190-22543 SPECIFICATION, 5.X FI USED WORKING
systasemi Used - $700.00 0 Jun/10/13 Jul/10/13
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
usedeqsales Used - $506.13 2 Jun/10/13 May/05/15
Description: Schneider Electric ATV32HU40N4 Variable Speed Drive AMAT 0190-34535 Used Working
bobsgoodies Used - $1,250.00 0 Jun/11/13 Jul/09/13
Description: Applied Materials 0010-35249 Transformer Assembly working AMAT
sparepartssolution Used - $399.99 0 Jun/13/13 Sep/02/15
Description: (128-0201) AMAT APPLIED MATERIALS 3870-01331 NOR-CAL VALVE USED WORKING
sparepartssolution Used - $299.99 0 Jun/13/13 Sep/02/15
Description: (118-0201) AMAT APPLIED MATERIALS 3870-01919 NOR-CAL VALVE USED WORKING
sparepartssolution Used - $299.99 0 Jun/13/13 Sep/02/15
Description: (118-0201) AMAT APPLIED MATERIALS 3870-01244 NOR-CAL VALVE USED WORKING
systasemi Used - $7,000.00 0 Jun/11/13 Jul/11/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $503.07 1 Jun/17/13 Dec/18/13
Description: Xantrex XHR 150-7 DC Power Supply AMAT 1140-00153 Used Tested Working
usedeqsales Used - $1,006.13 0 Jun/17/13 Dec/31/13
Description: Xantrex XHR 7.5-80 DC Power Supply AMAT 1140-00057 Used Tested Working
usedeqsales Used - $306.13 2 Jun/17/13 Oct/12/13
Description: MDC KAV-100 Manual Angle Valve AMAT 3870-02742 Lot of 2 Used Working
usedeqsales Used - $4,006.13 0 Jun/18/13 Sep/06/13
Description: Leybold Turbovac 400 MC Turbopump 89452 AMAT 3620-01456 Tested Working
usedeqsales Used - $1,006.13 1 Jun/18/13 Sep/17/14
Description: Leybold NT 340 MA Turbopump Controller 85732 AMAT 0730-01040 Used Tested Working
sparepartssolution Used - $2,999.99 0 Jun/19/13 Mar/06/14
Description: (112-0301) AMAT APPLIED MATERIALS 0010-20351 5/6 PVD Degas USED WORKING
usedeqsales Used - $300.13 0 Jun/19/13 May/04/15
Description: Yaskawa SGDH-01AE-N3Y929 Servo Drive AMAT 0190-41094 Used Working
usedeqsales Scrap, for parts - $3,206.13 1 Jun/19/13 Sep/05/13
Description: MKS AX7685-20 Remote Plasma Source AMAT 0190-34542 Used Tested Not Working As-Is
usedeqsales Used - $5,506.13 0 Jun/20/13 Apr/30/15
Description: MKS Instruments AX7685-20 Remote Plasma Source ASTeX AMAT 0190-34542 Working
usedeqsales Used - $306.13 1 Jun/21/13 Oct/05/14
Description: MDC KAV-150-P-10 Pneumatic Angle Valve AMAT 3870-02890 Lot of 2 Used Working
systasemi Used - $3,500.00 0 Jun/23/13 Jul/23/13
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
usedeqsales Used - $6,006.13 1 Jun/24/13 Jul/03/13
Description: MKS Instruments Spectrum 10513-00 RF Generator AMAT 0190-17877 Tested Working
usedeqsales Used - $6,506.13 1 Jun/24/13 Jun/25/13
Description: ENI GHW50A-12DF3S0-001 RF Generator Rev. D AMAT 0190-34296 Tested Working
usedeqsales Used - $6,506.13 1 Jun/24/13 Jun/25/13
Description: ENI GHW50A-12DF3S0-001 RF Generator Rev. E AMAT 0190-34296 Used Tested Working
usedeqsales Used - $2,506.13 1 Jun/25/13 Sep/04/14
Description: Comdel FP1335R4 RF Generator CLX-2500 AMAT 0190-01370 Used Tested Working
usedeqsales Used - $122.45 3 Jun/26/13 May/18/14
Description: SMC VV5Q11-ULB990135 Pneumatic Manifold AMAT 4060-01172 Used Working
usedeqsales Used - $302.13 0 Jun/27/13 Jul/16/13
Description: Granville-Phillips 332124-45 45ft Ion Gauge Cable AMAT 0190-70059 working
usedeqsales Used - $153.07 1 Jun/28/13 Dec/29/14
Description: Granville-Phillips 20360140 Ion Gauge Cable AMAT 0190-21223 Used Working
sparepartssolution Used - $2,799.99 0 Jul/05/13 Jul/02/14
Description: (117-0501) AMAT APPLIED MATERIALS 0010-70254 STANDARD HEATER USED WORKING
kinneycorp Used - $299.73 0 Jul/18/13 Jul/28/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
usedeqsales Used - $2,707.13 1 Jul/17/13 Sep/19/14
Description: Comdel FP3206R1 RF Generator CX1250S AMAT 0190-22925 Used Tested Working
systasemi Used - $7,000.00 0 Jul/12/13 Aug/11/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $507.13 1 Jul/11/13 Oct/09/19
Description: Novellus Systems 03-283968-00 Interface FE/LL C3 Vector Used Working
systasemi Used - $700.00 0 Jul/10/13 Aug/09/13
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
usedeqsales Used - $307.13 0 Jul/15/13 Dec/15/20
Description: AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Used Working
usedeqsales Used - $407.13 1 Jul/19/13 Apr/03/14
Description: Norgren VM10AMATACP161 Pneumatic Manifold AMAT 0190-24983 Used Working
usedeqsales Used - $307.13 1 Jul/22/13 Sep/29/14
Description: Exactus IFM8-915 8 Channel HUB Pyro/Em AMAT 0190-15988 Used Working
usedeqsales Used - $407.13 0 Jul/23/13 May/05/15
Description: AMAT Applied Materials 0270-70685 Centura Endura LLK Assembly Stand Used Working
usedeqsales Scrap, for parts - $153.57 2 Jul/23/13 Dec/30/14
Description: VAT 0750X-UE24-AAL7 Slit Valve AMAT 0520-00037 Not Working As-Is
systasemi Used - $3,500.00 0 Jul/24/13 Aug/23/13
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
usedeqsales Used - $657.13 1 Jul/25/13 Sep/27/16
Description: LAM Research 853-001983-010 Endpoint Detector 4420 Rev. T Used Working
usedeqsales Used - $307.13 0 Jul/25/13 May/18/23
Description: AMAT Applied Materials 0270-04365 EVR Extraction Tool Used Working
kinneycorp Used - $240.68 0 Aug/01/13 Aug/11/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
usedeqsales Used - $608.13 3 Aug/01/13 Aug/01/13
Description: Horiba STEC SEC-Z512MGX Digital Mass Flow Device AMAT 3030-16848 Working
usedeqsales Used - $608.13 1 Aug/01/13 Aug/02/13
Description: Stober P821SPZ0070MT Precision Gearhead AMAT 3970-00029 Lot of 6 Used Working
usedeqsales Used - $605.13 2 Aug/02/13 Dec/05/17
Description: AMAT Applied Materials 0190-27952 ConnectPort TS 16 50001344-01 Used Working
usedeqsales Used - $308.13 5 Aug/02/13 Jan/21/14
Description: Sensor Technics SQ01568 Transducer AMAT 0090-00963 Lot of 4 Used Working
usedeqsales Used - $508.13 0 Aug/02/13 May/04/15
Description: AMAT Applied Materials 0090-06586 Rack Tool Control Server Rev. 01 Used Working
yayais2012 Used - $350.00 0 Aug/03/13 Sep/02/13
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $808.13 3 Aug/05/13 Aug/18/13
Description: Synergy V440 I/O Module Board V440G9 AMAT 0090-03467 Working
usedeqsales Used - $308.13 0 Aug/05/13 Aug/05/13
Description: AMAT Applied Materials 0540-00083 Filter Assembly Working
usedeqsales Used - $408.13 0 Aug/05/13 May/05/15
Description: NANOmetrics 3200-016471 Power Pack Assembly AMAT 0500-00243 Used Working
usedeqsales Used - $308.13 2 Aug/05/13 Aug/01/14
Description: SMC VV5Q11-15-DAN00444 Pneumatic Manifold AMAT 0190-00798 Working
usedeqsales Used - $308.13 0 Aug/06/13 May/05/15
Description: SMC SS5V1-DUO02365 Pneumatic Manifold AMAT 0190-38051 Used Working
usedeqsales Used - $308.13 0 Aug/06/13 May/05/15
Description: AMAT Applied Materials 0190-27313 Capacitance/Pirani Gauge Used Working
usedeqsales Used - $408.13 1 Aug/07/13 Oct/02/13
Description: Control Instruments SNT476 Sensor AMAT 0140-04933 Lot of 4 Used Working
usedeqsales Used - $708.13 1 Aug/07/13 Aug/10/13
Description: Oriental Motor K0043-D Brushless DC Motor Driver AMAT 1080-01190 Used Working
usedeqsales Used - $2,008.13 0 Aug/07/13 Oct/09/14
Description: AMAT Applied Materials 9090-00945 ITL MAG PSU Assembly XR80 Used Working
usedeqsales Used - $1,006.10 3 Aug/09/13 Mar/08/17
Description: Brooks Automation WTM-511-2-FWS02-V1-CU Wet Robot AMAT 0190-08246 Used Working
usedeqsales Used - $2,006.10 0 Aug/09/13 Aug/19/14
Description: Brooks Automation WTM-511-2-FWS02-V1-CU Wet Robot AMAT 0195-02883 Used Working
systasemi Used - $700.00 0 Aug/11/13 Sep/10/13
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
systasemi Used - $7,000.00 0 Aug/11/13 Sep/10/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $352.10 1 Aug/14/13 Oct/17/18
Description: AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
usedeqsales Used - $604.07 1 Aug/19/13 Jan/28/14
Description: AMAT Applied Materials 1080-90117 Servo Motor S32 With End Effector Kit Working
usedeqsales Used - $804.13 0 Aug/22/13 May/04/15
Description: Vicor MP6-77532 DC Power Supply AMAT 1140-00310 Tested Working
usedeqsales Used - $154.56 3 Aug/22/13 Oct/29/13
Description: Sanyo Denki P30B04010DXS1CM AC Servo Motor AMAT 0190-15759 Lot of 2 Working
used1eqsales Used - $317.32 1 Aug/29/13 Jul/28/15
Description: AMAT Circuit Board daq Mk11 Type H 0090-90967 Rev A AMAT Quantum working
used1eqsales Used - $1,208.13 0 Aug/29/13 Sep/10/13
Description: AMAT Circuit Board daq Mk11 Type H 0090-90967 Rev A AMAT Quantum working
systasemi Used - $3,500.00 0 Aug/25/13 Sep/24/13
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
used1eqsales Used - $1,408.13 0 Aug/29/13 Oct/22/13
Description: AMAT Circuit Board arc control dsp 9090-90976 with Cables AMAT Quantum working
usedeqsales Used - $258.13 0 Aug/30/13 May/04/15
Description: MDC KAV-150-P Pneumatic Angle Valve AMAT 3870-01141 Used Working
usedeqsales Used - $308.13 0 Aug/30/13 May/05/15
Description: Yaskawa SGDF-A2CSY39 Servopack AMAT 0190-13840 Working
used1eqsales Used - $12,008.10 1 Aug/30/13 Sep/26/13
Description: AMAT Wafer Transfer Arm 0010-19041 300MM Dual Blade CR AMAT Centra 2525 working
usedeqsales Used - $3,404.07 1 Aug/30/13 Mar/17/14
Description: MKS AX7670-72 Remote Plasma Source ASTRONi AMAT 0190-40690 Used Tested Working
usedeqsales Used - $1,008.13 1 Aug/29/13 Jan/08/16
Description: SMC XLA160-30-1-M9BA Pneumatic High Vacuum Angle Valve AMAT 0090-01100 Working
yayais2012 Used - $350.00 0 Sep/02/13 Oct/02/13
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $43.65 1 Sep/05/13 May/14/14
Description: MKS LPV1-50-AK-CNVS Isolation Pneumatic Angle Valve AMAT 3870-03372 Used Working
usedeqsales Used - $154.57 1 Sep/05/13 Nov/13/13
Description: A-B Allen Bradley 1764-28BXB DC Power MicroLogix 1500 AMAT 0190-12646 Working
usedeqsales Used - $509.13 0 Sep/05/13 May/04/15
Description: AMAT Applied Materials 0010-09933 HV Module ESC Assembly Used Working
usedeqsales Used - $359.13 0 Sep/09/13 Mar/28/22
Description: AMAT Applied Materials 9090-00273 DeviceNet I/O Block DIP294-2 Used Working
used1eqsales Used - $3,505.15 0 Sep/09/13 May/26/17
Description: AMAT Control Chassis 9090-01144 AMAT Quantum X Process PDU Control Rack working
used1eqsales Used - $3,009.13 0 Sep/09/13 May/26/17
Description: Glassman Power Supply PS/ER06N25.0YZ4 9090-00473 AMAT Quantum working
usedeqsales Used - $409.13 0 Sep/09/13 May/05/15
Description: SMC US447 24 Port Manifold Valve AMAT 0190-02046 Used Working
usedeqsales Used - $309.13 0 Sep/10/13 May/05/15
Description: SMC VV5Q11-13-DAN00058 Pneumatic Manifold AMAT 0190-33070 Used Working
usedeqsales Used - $309.13 0 Sep/10/13 May/05/15
Description: SMC US15677 Pneumatic Manifold AMAT 4060-00301 Used Working
usedeqsales Used - $359.13 0 Sep/10/13 May/05/15
Description: SMC IN587-23 Pneumatic Manifold AMAT 0190-37883 Used Working
usedeqsales Used - $309.13 0 Sep/10/13 May/05/15
Description: SMC VV5Q11-16-DAP00260 Pneumatic Manifold AMAT 4060-00870 Used Working
used1eqsales Used - $705.15 0 Sep/10/13 May/26/17
Description: AMAT Circuit Board Focus PSU Interface 0100-01877 AMAT Quantum working
used1eqsales Used - $705.15 0 Sep/10/13 May/26/17
Description: AMAT Circuit Board Monitor Interface 0120-93347 AMAT Quantum working
used1eqsales Used - $705.15 0 Sep/10/13 May/26/17
Description: AMAT Circuit Board Decel PSU Interface 0100-01697 AMAT Quantum working
systasemi Used - $7,000.00 0 Sep/10/13 Oct/10/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
systasemi Used - $700.00 0 Sep/10/13 Oct/10/13
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
usedeqsales Used - $509.13 1 Sep/11/13 Sep/28/16
Description: Watlow CLS208 Temperature Controller Assembly AMAT 0010-17447 Working
usedeqsales Used - $259.13 1 Sep/11/13 Sep/23/14
Description: Hubbell HBL5100PS1W Cable Plug Assembly AMAT 0150-18918 Working
used1eqsales Used - $705.15 0 Sep/11/13 May/26/17
Description: AMAT Arc Voltage Control Circuit Board 0120-93701 AMAT Quantum Impanter working
used1eqsales Used - $705.15 0 Sep/11/13 May/26/17
Description: AMAT Arc Voltage Control Circuit Board 0120-93701 AMAT Quantum Impanter working
used1eqsales Used - $705.15 0 Sep/11/13 May/26/17
Description: AMAT Guiding Tube Circuit Board 0090-91085 AMAT Quantum Impanter working
usedeqsales Used - $359.13 3 Sep/12/13 Mar/23/15
Description: Kollmorgen 1000-0121-01 Preliminary Magnet Drive AMAT 0190-03554 Used Working
usedeqsales Used - $309.13 0 Sep/13/13 May/05/15
Description: AMAT Applied Materials 0100-01363 Signal Conditioning Board Working
usedeqsales Used - $509.13 1 Sep/13/13 Aug/29/14
Description: SBS Technologies CPC1-100A-BP IP Carrier PCB AMAT 0190-22255 Working
usedeqsales Used - $509.13 0 Sep/13/13 Dec/03/13
Description: Tenta AS00700-08 Analog Input/Output Card AMAT 0190-22967 Working
usedeqsales Used - $509.13 0 Sep/13/13 May/04/15
Description: AMAT Applied Materials 0190-03705 Interlock Module SE/E DIP-060-020 Working
d-dog0818 Used - $574.95 1 Sep/13/13 Jan/17/14
Description: AMAT Applied Materials P5000 0010-09978 Gold Lamp Module Assembly Rev.A Working
usedeqsales Used - $509.13 0 Sep/13/13 May/04/15
Description: TeNTA AS00710-02 Dido Board cPCI AMAT 0190-07450 Digital 48 I/O Working
usedeqsales Used - $509.13 9 Sep/16/13 Apr/01/20
Description: MKS Instruments AS01491-AB-1 Control PCB CDNR491R Board AMAT 0190-27072 Working
usedeqsales Used - $1,909.13 0 Sep/16/13 Jul/09/14
Description: Adixen 114462 Turbopump Controller AMAT 3620-00689 ACT 1300M Tested Working
jygdiy1976 NEW - $399.00 0 Sep/18/13 Jul/04/16
Description: Applied Materials AMAT 0100-01366 Vibration Monitor Board Used Working XR80
usedeqsales Used - $2,510.09 4 Sep/18/13 Jul/09/20
Description: Shimadzu EI-D3603M Turbo Molecular Pump Controller AMAT 0010-32353 Working
usedeqsales Used - $1,207.08 1 Sep/18/13 Jan/10/18
Description: Shimadzu EI-3203MD-A1 TMP Turbopump Power Unit AMAT 3620-01616 Tested Working
usedeqsales Used - $129.57 1 Sep/19/13 Jan/05/15
Description: Hubbell HBL5100PS1W Cable Plug Assembly AMAT 0150-18919 Working
bobsgoodies Used - $1,395.00 1 Sep/25/13 Nov/23/13
Description: Applied Materials 0010-35249 Transformer Assembly working AMAT Power Supply
systasemi Used - $3,500.00 0 Sep/25/13 Oct/25/13
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
usedeqsales Used - $3,510.10 0 Sep/26/13 May/01/15
Description: Asyst UTX-F5500-16 Transfer Robot AMAT 0190-14191 Used Working
usedeqsales Used - $8,009.13 0 Sep/27/13 Apr/30/15
Description: Leybold 12132 Turbo Molecular Pump MAGW2010C AMAT 3620-01573 Tested Working
usedeqsales Used - $6,004.57 1 Sep/27/13 Mar/06/14
Description: Leybold 12132 Turbo Molecular Pump MAG2000 AMAT 3620-01573 Tested Working
usedeqsales Used - $7,506.85 0 Sep/27/13 Oct/24/13
Description: ASTeX 80-S10-HP RF Generator Rack AMAT 0920-01076 Working
jammin928 Refurbished - $300.00 0 Sep/28/13 Oct/28/13
Description: Lam Research PN 853-017163-101 Rev 3 50 turn RF sense box Working
usedeqsales Used - $454.57 6 Sep/30/13 Dec/16/13
Description: VAT 10846-XE28-AXI1 Gate Valve AMAT 0190-37618 Used Working
sparepartssolution Used - $3,999.99 0 Sep/30/13 Sep/19/14
Description: (116-0201) AMAT APPLIED MATERIALS 0010-13235 MOTORIZED LIFT ASSEMBY USED WORKING
sparepartssolution Used - $3,999.99 0 Sep/30/13 Sep/19/14
Description: (116-0201) AMAT APPLIED MATERIALS 0010-70441 MOTORIZED LIFT ASSEMBY USED WORKING
sparepartssolution Used - $3,999.99 0 Sep/30/13 Sep/01/14
Description: (116-0201) AMAT APPLIED MATERIALS 0010-13629 MOTORIZED LIFT ASSEMBY USED WORKING
usedeqsales Used - $4,510.13 0 Oct/01/13 Apr/30/15
Description: Kawasaki C61C-B002 Robot Controller AMAT 0190-06225 Used Working
usedeqsales Used - $510.13 1 Oct/01/13 Nov/05/13
Description: Nor-Cal 021010-1 Gate Valve AMAT 3870-03322 Used Working
used1eqsales Used - $20,008.10 0 Oct/02/13 Oct/22/13
Description: AMAT Wafer Transfer Arm 0010-19041 300MM Dual Blade CR AMAT Centra 2525 working
usedeqsales Used - $1,755.07 4 Oct/02/13 Dec/30/13
Description: Daihen ATM-15C 1.5 kW Microwave Magnetron Assembly AMAT 0190-35935 Working
usedeqsales Used - $501.12 0 Oct/03/13 May/05/15
Description: Ham-Let 2LNS4C-CM2-HB Hybrid Diaphragm Valve AMAT 3870-04809 Lot of 21 Working
usedeqsales Used - $1,510.13 4 Oct/03/13 May/01/15
Description: Daihen ATP-15B Microwave Power Generator AMAT 0190-35783 Used Working
yayais2012 Used - $350.00 0 Oct/03/13 Nov/02/13
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Scrap, for parts - $2,510.13 0 Oct/04/13 Oct/09/13
Description: AE Advanced Energy 2272 002-A DC Power Supply AMAT 0190-21110 Tested Not Working
usedeqsales Used - $4,510.13 0 Oct/04/13 Nov/01/13
Description: ENI OEM-28B-02 Solid State Power Generator AMAT 0190-36663 Tested Working
usedeqsales Scrap, for parts - $5,510.13 1 Oct/04/13 Oct/04/13
Description: MKS ENI 11002-00 RF Generator Spectrum AMAT 0190-22201 Tested Not Working As-Is
usedeqsales Used - $2,107.09 1 Oct/04/13 Sep/04/14
Description: AE Advanced Energy 3155083-151 RF Power Supply AMAT 0190-01457 Copper Working
usedeqsales Used - $455.07 1 Oct/04/13 Dec/02/13
Description: VAT 10846-XE28-ANL1 Gate Valve AMAT 0190-37618 Used Working
used1eqsales Used - $505.07 0 Oct/04/13 Nov/05/13
Description: AMAT High Voltage Cylinder Assy 9090-00881 Quantum X working
usedeqsales Used - $5,510.13 1 Oct/08/13 Nov/21/13
Description: ASTRONi MKS AX7670-19 Remote Plasma Source Rev. F AMAT 0190-26744 Used Working
usedeqsales Used - $3,157.09 1 Oct/08/13 Nov/07/13
Description: ASTRONi MKS AX7670-19 Remote Plasma Source Rev. E AMAT 0190-26744 Used Working
usedeqsales Used - $4,086.08 1 Oct/08/13 Dec/18/13
Description: ASTRONi MKS AX7670-72 Remote Plasma Source Rev. A AMAT 0190-40690 Used Working
usedeqsales Scrap, for parts - $2,510.13 1 Oct/09/13 Oct/09/13
Description: Spectrum MKS ENI 11002-00 RF Generator AMAT 0190-15449 Tested Not Working As-Is
usedeqsales Used - $310.13 2 Oct/10/13 Mar/30/15
Description: AMAT Applied Materials 0100-90863 Hi-Standard Gas Interlock XR80 Used Working
usedeqsales Used - $310.13 2 Oct/10/13 Oct/14/14
Description: Applied Materials AMAT 0110-99011 Hi Standard Gas Interlock XR80 Used Working
keykorea Used - $350.00 0 Oct/10/13 Oct/17/13
Description: LAM RESEARCH 715-443202-001 RING, CPLG, ESC, JEIDA, 8", WORKING
usedeqsales Scrap, for parts - $2,510.13 0 Oct/11/13 Oct/14/13
Description: CDX-2000 Comdel FP5320R3 Dual RF Generator AMAT 0190-15399 Not Working
usedeqsales Used - $3,510.13 0 Oct/11/13 Oct/21/13
Description: CX-600S Comdel FP3113RG Ultra Stable RF Generator AMAT 0190-21755 Tested Working
usedeqsales Used - $5,010.13 0 Oct/11/13 Apr/30/15
Description: CDX-2000 Comdel FP5320R3 Dual RF Generator AMAT 0190-15399 Tested Working
usedeqsales Used - $3,306.08 1 Oct/11/13 Feb/27/15
Description: MKS Instruments AX7685-20 Remote Plasma Source AMAT 0190-34542 Used Working
keykorea Used - $230.00 0 Oct/13/13 Oct/20/13
Description: AMAT 0100-35175 CHAMBER INTERFACE BOARD, WORKING
keykorea Used - $80.00 0 Oct/13/13 Oct/20/13
Description: AMAT 0010-20472 Assembly, wafer, sensor, WORKING
keykorea Used - $1,500.00 0 Oct/13/13 Oct/20/13
Description: AMAT 0100-09251 Seriplex PCB SPX-MUXADIO01, WORKING
keykorea Used - $300.00 0 Oct/13/13 Oct/20/13
Description: LAM RESEARCH 853-494678-010 CABLE, WORKING
keykorea Used - $500.00 0 Oct/15/13 Feb/04/14
Description: AMAT 0100-00003 VME STEPPER CONTROLLER I, WORKING
keykorea Used - $600.00 0 Oct/15/13 Oct/15/17
Description: AMAT 0100-20003 OBS DIGITAL INPUT OUTPUT PCB, WORKING
systasemi Used - $7,000.00 0 Oct/14/13 Nov/13/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
systasemi Used - $700.00 0 Oct/14/13 Nov/13/13
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
keykorea Used - $3,000.00 0 Oct/15/13 Sep/02/14
Description: AMAT 0010-10453 LAMP MODULE,1000W DELTA,ASP,MXP CENTURA, WORKING
keykorea Used - $750.00 0 Oct/16/13 Nov/06/13
Description: AMAT 0015-09091 PHASETRONICS MCVD Lamp Driver, WORKING
usedeqsales Used - $1,010.13 0 Oct/16/13 Jan/07/14
Description: Astex TS90 3-Stub Manual Microwave Tuner AMAT 0190-09246 Used Working
usedeqsales Used - $510.13 0 Oct/16/13 May/04/15
Description: Comdel 0190-14090 Electrostatic Chuck Power Supply AMAT Used Working
jabedow Used - $275.00 1 Oct/18/13 Jan/29/14
Description: Synergy V440G9 I/O Module Board V440 AMAT 0090-75015 Working
usedeqsales Used - $410.13 0 Oct/18/13 Oct/16/14
Description: CTI-Cryogenics 0190-19393 IS Controller On-Board AMAT Used Working
usedeqsales Used - $3,510.13 0 Oct/18/13 Apr/30/15
Description: Bay Voltex LT-HRE-1650-9650-WC-DC Thermo Chiller AMAT 0190-36058 Used Working
usedeqsales NEW - $310.13 5 Oct/18/13 Apr/03/14
Description: TRU RG-271/U RF Cable 50 Foot AMAT 0620-00517 Lot of 2 Used Working
keykorea Used - $4,500.00 1 Oct/17/13 Jul/17/20
Description: AMAT 0090-91494 SPIN SCAN CONTROL, WORKING
usedeqsales Used - $410.13 2 Oct/17/13 Jan/20/14
Description: CTI-Cryogenics 0190-11422 IS Controller On-Board AMAT Used Working
keykorea Used - $1,500.00 0 Oct/20/13 Oct/27/13
Description: AMAT 0100-09251 Seriplex PCB SPX-MUXADIO01, WORKING
keykorea Used - $900.00 1 Oct/20/13 Aug/16/15
Description: AMAT 0100-35175 CHAMBER INTERFACE BOARD, WORKING
keykorea Used - $80.00 1 Oct/20/13 Apr/15/14
Description: AMAT 0010-20472 Assembly, wafer, sensor, WORKING
usedeqsales Used - $310.13 0 Oct/21/13 May/23/14
Description: TRU RG-217U RF Cable 50 Foot AMAT 0620-00516 Lot of 2 Used Working
usedeqsales Used - $2,255.07 1 Oct/21/13 Dec/07/13
Description: AE Advanced Energy 3152439-402 DC Power Supply AMAT 0190-28821 Used Working
usedeqsales Used - $802.12 0 Oct/24/13 Aug/15/14
Description: AMAT Applied Materials P5000 Monitor Base Cart 0010-70386 Rev.A working
usedeqsales Used - $310.13 24 Oct/25/13 Feb/20/15
Description: SMC NVV5J3-UIB980146 24-Port Pneumatic Manifold AMAT 0190-09487 Used Working
usedeqsales Used - $310.13 0 Oct/25/13 May/05/15
Description: SMC VV5Q11-14-DAK00810 28-Port Pneumatic Manifold AMAT 4060-00680 Used Working
usedeqsales Used - $1,855.07 0 Oct/25/13 Mar/05/14
Description: Kawasaki 320032-B011 Interface Robot Track AMAT 0190-17959 Used Working
usedeqsales Used - $410.13 2 Oct/25/13 Jul/08/14
Description: Lambda LIS-3I-12 Regulated Power Supply AMAT 1140-01046 Lot of 2 Used Working
systasemi Used - $3,500.00 0 Oct/27/13 Nov/26/13
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
usedeqsales Used - $310.13 1 Oct/28/13 Aug/13/14
Description: SMC NCDQ2B69-UIA981030 Pneumatic Cylinder AMAT 0010-70822 Lot of 3 Used Working
used1eqsales Used - $10,010.10 0 Oct/28/13 Nov/05/13
Description: AMAT Quantum Leap 2 Wheel Motor 9010-00458 Process Module working
usedeqsales Used - $1,510.13 1 Oct/28/13 Dec/03/14
Description: Rexroth R055701426 Linear Slide Actuator System AMAT 3760-00274 Used Working
usedeqsales Used - $310.13 0 Oct/28/13 May/05/15
Description: SMC VV5Q11-14-DAK00786 24-Port Pneumatic Manifold AMAT 4060-00681 Used Working
used1eqsales Used - $3,011.13 0 Oct/30/13 May/26/17
Description: AMAT Quantum Leap 2 Process Module Load Lock Door 9010-00299 300mm working
usedeqsales Used - $2,511.11 0 Oct/31/13 May/01/15
Description: Daihen RMN-40A RF Dome Automatic Matching Unit AMAT 1110-01068 Used Working
used1eqsales Used - $755.07 0 Oct/31/13 Dec/11/13
Description: AMAT Quantum Leap 2 Assembly 9090-00785 9090-00790 working
usedeqsales Used - $310.13 0 Oct/31/13 May/05/15
Description: VAT 26432-KH11-BJI1 Pneumatic Angle Valve AMAT 3870-05916 Lot of 2 Used Working
usedeqsales Used - $510.13 0 Oct/31/13 May/04/15
Description: MKS Instruments 1036564-001 Exhaust Throttle Valve AMAT 3870-06353 Used Working
sagatron-inc Used - $270.00 1 Oct/31/13 Mar/20/15
Description: AMAT Applied Materials 0010-09933 HV Module ESC Assembly Used Working
usedeqsales Used - $1,011.13 2 Nov/01/13 Oct/01/14
Description: VAT 0340X-CA24-BXR1 Pneumatic Slit Valve AMAT 0190-34901 Used Working
used1eqsales Used - $505.57 0 Nov/01/13 Dec/11/13
Description: 0040-01275 AMAT Quantum Leap 2 Process Module Electrode working
used1eqsales Used - $1,011.13 0 Nov/01/13 Nov/05/13
Description: 0010-99120 AMAT Quantum Leap 2 Process Module Electrode Assembly working
used1eqsales Used - $505.57 1 Nov/01/13 Jul/22/14
Description: 0040-03818 AMAT Quantum Leap 2 Process Module Electrode working
used1eqsales Used - $6,011.14 1 Nov/01/13 Feb/18/15
Description: 1080-90184 AMAT Quantum Leap 2 Indexer Motor Bautz F1004F-BS101-00S0 working
sparepartssolution Used - $6,000.00 0 Nov/01/13 Nov/05/13
Description: AMAT APPLIED MATERIALS 0190-13203 HFV8000 3155083-002A GENERATOR USED WORKING
yayais2012 Used - $350.00 0 Nov/04/13 Dec/04/13
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $311.13 2 Nov/04/13 Sep/29/14
Description: Exactus IFM8-915 8 Serial Port Channel Hub AMAT 0190-19384 Used Working
keykorea Used - $200.00 1 Nov/04/13 May/29/19
Description: AMAT 0190-77184 BEARING, BALL, 2.5IDX3.0DX.25W, UNOCOL U, WORKING
usedeqsales Scrap, for parts - $1,407.79 0 Nov/05/13 Nov/15/13
Description: ASTeX AX8200A Ozone Generator AMAT 0190-09437 Rev. A Tested Not Working As-Is
usedeqsales Scrap, for parts - $1,511.13 0 Nov/05/13 Nov/05/13
Description: HFV-8000 AE Advanced Energy 3155083-108 RF Generator AMAT 0190-01182 Not Working
usedeqsales Scrap, for parts - $1,407.79 0 Nov/05/13 Nov/15/13
Description: ASTeX AX8200A Ozone Generator AMAT 0190-09437 Rev. B Tested Not Working As-Is
usedeqsales Used - $2,457.79 1 Nov/06/13 Nov/12/13
Description: Yaskawa XU-BCD2081D Robot Controller AMAT 0190-14741 Used Working
usedeqsales Used - $11,511.10 1 Nov/08/13 Nov/09/13
Description: Spectrum MKS ENI B-10513 RF Generator AMAT 0190-17877 Tested Working
usedeqsales Used - $2,457.79 1 Nov/08/13 Jan/20/14
Description: CDX-2000 Comdel FP5323R2 RF Generator AMAT 0190-22781 Tested Working
usedeqsales Used - $2,107.79 1 Nov/08/13 Nov/20/13
Description: CLX-2500 Comdel FP1336R6 RF Generator AMAT 0190-23570 Tested Working
usedeqsales Used - $5,011.13 0 Nov/08/13 Apr/30/15
Description: NESLAB DiMAX Thermo Electron 622023991801 Thermo Chiller AMAT 0190-06335 Working
usedeqsales Used - $411.13 0 Nov/12/13 May/05/15
Description: AMAT Applied Materials 0190-33337 Heater Jacket Gemini Used Working
usedeqsales Used - $3,511.13 0 Nov/14/13 Apr/30/15
Description: Yaskawa XU-ACP4870 300mm Prealigner AMAT 0190-12263 Used Working
usedeqsales Used - $2,255.57 0 Nov/13/13 Jan/22/14
Description: DCG-200Z Optima OPT-100Z-08174 Plasma Generator AMAT 0190-32405 Tested Working
usedeqsales Used - $2,255.57 0 Nov/13/13 Dec/18/13
Description: DCG-200Z ENI DC24M-Z141300110A DC Plasma Generator AMAT 0190-07964 Used Working
systasemi Used - $700.00 0 Nov/14/13 Dec/14/13
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
systasemi Used - $7,000.00 0 Nov/14/13 Dec/14/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $361.13 0 Nov/22/13 May/05/15
Description: AMAT Applied Materials 0190-76252 DeviceNet I/O Block DIP294 Used Working
grandbirdnet Used - $20.00 0 Nov/21/13 Sep/16/21
Description: AMAT 0020-79161 SEAL, WORKING
keykorea Used - $1,000.00 0 Nov/21/13 May/31/17
Description: AMAT 3800-01147 & 0140-77491 REGULATOR, PRESSURE,ELECTRO-PNEUMATIC,CABLE,WORKING
keykorea Used - $300.00 0 Nov/24/13 Jan/14/15
Description: AMAT 0015-01864 CLAMP, POLISHING HEAD, UNIVERSAL, WORKING
keykorea Used - $600.00 0 Nov/24/13 Apr/11/18
Description: AMAT 0140-03110 HARN ASSY, DI/O-5 MAINFRAME SIGNALS, WORKING
keykorea Used - $150.00 0 Nov/24/13 Apr/11/18
Description: AMAT 0140-78062 CABLE, EXTENSION, MNFRM BKHD-ROBOT X, WORKING
keykorea Used - $1,000.00 0 Nov/24/13 Mar/18/20
Description: AMAT 0195-00340 SANYO DENKI P30B04010DXS8FM AC Servo Motor BL Super, WORKING
keykorea Used - $670.00 0 Nov/24/13 Nov/18/14
Description: AMAT 0190-77212 SGMP-02U314CM AC SERVO MOTOR, 200W 200V 2.0A, WORKING
keykorea Used - $1,000.00 0 Nov/25/13 Jan/14/15
Description: AMAT 0020-51397 RAE-03773 RINGER, ROBOT, WORKING
grandbirdnet Used - $5,000.00 0 Nov/25/13 Nov/18/22
Description: AMAT 1080-00255 & 3970-00029 MOTOR SERVO AC+DRIVE RDCR PLANTARY GEARHEAD,WORKING
keykorea Used - $3,000.00 1 Nov/25/13 Nov/08/17
Description: AMAT 1080-00275 DRVR SERVO MOTOR FOR 7.5KW MOTOR 200VAC 300A W/ REGEN, WORKING
usedeqsales Used - $2,255.07 1 Nov/25/13 Jan/17/14
Description: ENI OEM-28B-02 Solid State Power Generator AMAT 0190-36663 Tested Working
usedeqsales Used - $6,510.13 0 Nov/25/13 Feb/13/14
Description: AE Advanced Energy MDX-L12M DC Power Supply AMAT 0190-21110 Tested Working
usedeqsales Used - $910.13 3 Nov/25/13 May/21/14
Description: MKS-Astex F120661 Ignition Upgrade Kit AMAT 0190-04581 Used Working
keykorea Used - $1,000.00 0 Nov/26/13 Apr/11/18
Description: AMAT 0090-01099 M-60-T31-01-012 HARNESS, CHEM FLOW SWITCH, LOW FLOW BRUS,WORKING
keykorea Used - $4,000.00 0 Nov/26/13 Feb/27/14
Description: AMAT 0190-14502 V6U/DSP MEI Motion Controller, WORKING
keykorea Used - $360.00 0 Nov/26/13 Apr/11/18
Description: AMAT 0090-77282 HARNESS, SWITCH, LEAK DETECT, BRUSH LDM, WORKING
keykorea Used - $220.00 0 Nov/26/13 Aug/23/14
Description: AMAT 0090-77026 ASSY, HCLU/PEDESTAL SENSO, WORKING
keykorea Used - $360.00 0 Nov/26/13 Mar/01/18
Description: AMAT 0090-77279 HARNESS, SWITCH, LQ. LEVEL HI, BRUSH LDM, WORKING
keykorea Used - $120.00 0 Nov/26/13 Jun/16/20
Description: AMAT 0090-03251 ASSY MEGASONIC TANK, HH LEVEL SWITCH, WORKING
keykorea Used - $60.00 0 Nov/26/13 Jul/05/17
Description: AMAT 0140-77497 CABLE, SLURRY VALVE INTERLOCK, WORKING
keykorea Used - $800.00 2 Nov/26/13 Mar/18/15
Description: AMAT 0190-28951 CONTROL VIDEO SWITCH, WORKING
keykorea Used - $600.00 0 Nov/26/13 Mar/27/18
Description: AMAT 0190-77171 MOTOR SGM SERVO 200W 10:1, WORKING
keykorea Used - $7,500.00 1 Nov/26/13 Oct/21/17
Description: AMAT 3930-00257 SMC INR-244-647B TEMPERATURE CONTROLLER AC200-220V, WORKING
usedeqsales Used - $2,011.13 2 Nov/26/13 Apr/07/17
Description: Kawasaki 320032-B011 Interface Robot Track AMAT 0190-17959 Rev. 001 Used Working
keykorea Used - $230.00 0 Nov/27/13 Apr/11/18
Description: AMAT 0090-03252 ASSY MEGASONIC TANK, HIGH LEVEL SWITCH, WORKING
keykorea Used - $380.00 0 Nov/27/13 Apr/11/18
Description: AMAT 0090-77062 DROP DOWN SENSOR, WORKING
keykorea Used - $220.00 0 Nov/27/13 Apr/11/18
Description: AMAT 0090-77230 HARNESS MEGASONIC ENCLOSURE LEAK DETEC, WORKING
keykorea Used - $220.00 0 Nov/27/13 Apr/11/18
Description: AMAT 0090-77162 WAFER PRESENT SWITCH, WORKING
keykorea Used - $360.00 0 Nov/27/13 Mar/01/18
Description: AMAT 0090-77280 HARNESS, SWITCH, LIQ. LEVEL LO, BRUSH LD, WORKING
keykorea Used - $500.00 1 Nov/27/13 Dec/20/13
Description: AMAT 0090-00861 HARNESS, MEGASONIC CLEANER, WAFER PRESEN, WORKING
keykorea Used - $150.00 1 Nov/27/13 Jun/21/15
Description: AMAT 1270-03094 M-60-T23-01-002 SW FLOW IN-LINE NON-4DJ TEFLON 1/4FNPT S,WORKING
keykorea Used - $270.00 0 Nov/27/13 Dec/06/17
Description: AMAT 0090-77157 WAFER FLAT SWITCH, WORKING
grandbirdnet Used - $2,800.00 1 Nov/28/13 Feb/09/22
Description: AMAT 0010-77763 PNEUMATICS, ASSY DUAL WAFER ROBOT MIRRA, WORKING
keykorea Used - $6,500.00 0 Nov/28/13 Aug/25/14
Description: AMAT 0010-77769 INTERFACE SYSTEM (SET), WORKING
systasemi Used - $3,500.00 0 Nov/28/13 Dec/28/13
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
keykorea Used - $100.00 0 Dec/01/13 Nov/02/20
Description: AMAT 0010-34966 OPERATOR INTERFACE, WORKING
keykorea Used - $170.00 0 Dec/02/13 Apr/11/18
Description: AMAT 0140-78024 HARNESS, BRUSH POS MOTOR POWER, BRUSH2, WORKING
keykorea Used - $480.00 0 Dec/02/13 Mar/01/18
Description: AMAT 0090-77281 HARNESS, SWITCH, LIQ. LEVEL LO-LO, BRUSH, WORKING
usedeqsales Used - $1,012.13 2 Dec/02/13 Dec/09/14
Description: Animatics SM3410-APPMAT1 AC Servo Motor SmartMotor AMAT 1080-00125 Used Working
keykorea Used - $800.00 0 Dec/02/13 Apr/11/18
Description: AMAT 0190-77182 SERVO MOTOR, INPUT SHTTLE, 200W, WORKING
keykorea Used - $400.00 0 Dec/02/13 Dec/15/20
Description: AMAT 0190-14711 SANYO DENKI PV2A015S7F1PA2 SERVO AMPLIFITER, WORKING
grandbirdnet Used - $4,000.00 0 Dec/02/13 Feb/03/22
Description: AMAT 0190-24854 MKS REV3.0 G4 PLUS 4-PORT UPA 300MM REFL, NOT WORKING
keykorea Used - $290.00 3 Dec/02/13 Jul/12/17
Description: AMAT 0100-77042 PCB ASSY, PENTIUM INTERFACE, WORKING
keykorea Used - $1,200.00 1 Dec/02/13 Jul/03/17
Description: AMAT 0020-78120 RING HOLDER, WORKING
keykorea Used - $150.00 0 Dec/02/13 Nov/09/20
Description: AMAT 0090-77158 SRD DOOR OPEN/CLSD SW, WORKING
keykorea Used - $220.00 2 Dec/02/13 Jun/12/16
Description: AMAT 0090-77156 WAFER PRESENT SWITCH, BRUSH UNIT #1,2, WORKING
grandbirdnet Used - $5,900.00 2 Dec/03/13 Aug/03/22
Description: AMAT 0010-77681 ASSY, ELECTRONIC BOX, SYSTEM, LOWER, WORKING
keykorea Used - $3,800.00 1 Dec/03/13 Nov/21/19
Description: AMAT 0010-77680 ASSY, ELECTRONIC BOX, SRD, LOWER, WORKING
keykorea Used - $3,800.00 0 Dec/03/13 Apr/11/18
Description: AMAT 0010-23326 ASSY, ELECTRONIC BOX, MEGASONIC L.E, WORKING
keykorea Used - $3,800.00 0 Dec/03/13 Jan/14/16
Description: AMAT 0010-03151 ASSY, ELECTRONIC BOX, BRUSH, 300 MM HVM, WORKING
keykorea Used - $3,800.00 3 Dec/03/13 Jan/21/19
Description: AMAT 0010-77682 ASSY, ELECTRONIC BOX, MEGASONIC, LOWER, WORKING
keykorea Used - $3,000.00 0 Dec/03/13 Sep/19/17
Description: AMAT 0190-16739 Deublin 971-625 Rotary Union, WORKING
grandbirdnet Used - $1,100.00 2 Dec/03/13 Apr/21/24
Description: AMAT 0190-14827 SANYO DENKI P30B06010DXS8SM AC SERVO MOTOR BL SUPER, WORKING
yayais2012 Used - $350.00 0 Dec/04/13 Jan/03/14
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $2,706.08 1 Dec/04/13 Dec/18/13
Description: ASTRONi MKS AX7670-19 Remote Plasma Source Rev. E AMAT 0190-26744 Used Working
keykorea Used - $1,400.00 0 Dec/04/13 Jul/27/20
Description: AMAT 0190-12032 MOTOR SERVO 100W, W/DOUBLE FLATS, W/ CON, WORKING
keykorea Used - $3,800.00 3 Dec/04/13 Aug/02/20
Description: AMAT 3380-00035 SMC INR-244-646C Thermo Heat Exchanger, WORKING
usedeqsales Used - $411.13 6 Dec/05/13 Feb/19/14
Description: InUSA gFFOZ Full Flow Ozone Sensor AMAT 3030-06231 Used Working
keykorea Used - $300.00 0 Dec/05/13 Jan/07/20
Description: AMAT 0140-05045 HARNESS ASSY, EVC TO PC ADAPTOR 4PT, WORKING
keykorea Used - $350.00 1 Dec/05/13 Jun/16/20
Description: AMAT 0140-77421 CBL,CAROUSEL HOME SENSOR, WORKING
keykorea Used - $350.00 1 Dec/05/13 May/22/18
Description: AMAT 0140-78455 CBL, ASSY, PC/HCLU, PLTN PUMP SNSR, FLUI, WORKING
keykorea Used - $350.00 0 Dec/05/13 Aug/31/19
Description: AMAT 0140-77382 CABLE MAINFRAME BLKHD-PC/HCLU PLATEN PUM, WORKING
keykorea Used - $400.00 1 Dec/05/13 May/28/20
Description: AMAT 0090-77061 CASSETTE TANK OVERFLOW, WORKING
keykorea Used - $185.00 0 Dec/06/13 Apr/11/18
Description: AMAT 0140-02526 CABLE, SENSOR-CONTROL, BLKHD 1, SCRUBBER, WORKING
keykorea Used - $250.00 0 Dec/06/13 Apr/11/18
Description: AMAT 0140-14181 HARNESS ASSY, NH4OH TANK LVL/CDA PRESS, WORKING
keykorea Used - $350.00 0 Dec/05/13 Apr/11/18
Description: AMAT 0140-78116 CABLE FLOW/PRESSURE SWITCHES LOWER ELEC, MEGASONIC, WORKING
keykorea Used - $70.00 0 Dec/05/13 Apr/11/18
Description: AMAT 0140-78118 CABLE, MEG LDM COVER SW, LOWER ELCE, WORKING
keykorea Used - $160.00 0 Dec/06/13 Apr/11/18
Description: AMAT 0140-01946 CABLE BRUSH POS MOTORS POWER SCRUBBER 1, WORKING
keykorea Used - $135.00 0 Dec/06/13 Feb/02/17
Description: AMAT 0140-77003 HARNESS, PAD 2, MANIF, WORKING
keykorea Used - $120.00 0 Dec/06/13 Apr/11/18
Description: AMAT 0140-78045 CABLE, SENSOR-CONTROL, BLKHD 3, SCRUBBER, WORKING
keykorea Used - $80.00 0 Dec/06/13 Apr/11/18
Description: AMAT 0140-77895 CABLE, FLOW SWITCHES, DI WATER RINSE, WORKING
keykorea Used - $100.00 0 Dec/06/13 Apr/11/18
Description: AMAT 0140-01879 CABLE, SENSOR-CONTROL, BLKHD 2, SCRUBBER, WORKING
keykorea Used - $130.00 0 Dec/06/13 Apr/11/18
Description: AMAT 0140-01880 CABLE, SENSOR-CONTROL, BLKHD 3, SCRUBBER, WORKING
keykorea Used - $300.00 0 Dec/06/13 Apr/11/18
Description: AMAT 0140-77419 CABLE, LOWER PNEUMATIC, WORKING
keykorea Used - $200.00 1 Dec/06/13 Mar/20/14
Description: AMAT 0150-11835 CABLE ASSY STATUS LAMP ENDURA 75FT PKG, WORKING
usedeqsales Used - $412.13 0 Dec/06/13 Dec/06/13
Description: MKS Instruments 253B-14461 Exhaust Throttle Valve AMAT 3870-02937 Used Working
usedeqsales Used - $512.13 1 Dec/06/13 Apr/03/14
Description: ETO ABX-X348 SSD Driver Board Rev. F AMAT 0190-01292 Used Working
keykorea Used - $115.00 0 Dec/08/13 Apr/11/18
Description: AMAT 0140-78149 CABLE, SYS SENSOR-CONTR, BLKHD 1, SYSTEM, WORKING
keykorea Used - $175.00 0 Dec/08/13 Jun/01/16
Description: AMAT 0140-78190 CABLE MNFRM BKHD - ROBOT BKHD, WORKING
keykorea Used - $250.00 2 Dec/08/13 Sep/19/18
Description: AMAT 0140-77053 PLATEN 2 & 3, MP8-DVR 7& 8, WORKING
keykorea Used - $65.00 0 Dec/08/13 Apr/11/18
Description: AMAT 0140-78033 CABLE, ANALOG SIGNAL SRD/INPUT, WORKING
keykorea Used - $130.00 0 Dec/08/13 Feb/02/17
Description: AMAT 0140-77002 HARNESS, PAD 3, MANIF, WORKING
keykorea Used - $110.00 0 Dec/08/13 May/01/18
Description: AMAT 0140-04901 HARNESS PAD 2, POLISHER BKHD COND & SWP, WORKING
keykorea Used - $90.00 0 Dec/08/13 Apr/11/18
Description: AMAT 0140-78117 CABLE, H2O2 TANK LVL SW, LOWER ELEC, WORKING
keykorea Used - $75.00 0 Dec/08/13 Apr/11/18
Description: AMAT 0140-78035 CABLE, UPPER-LOWER ELECTRONIC SCRUBBER2, WORKING
keykorea Used - $240.00 0 Dec/08/13 Apr/11/18
Description: AMAT 0140-78538 CABLE MOTOR ENCODER SCRUB 1, WORKING
keykorea Used - $65.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78036 CABLE, UPPER-LOWER ELECTRONIC, SRD, WORKING
keykorea Used - $70.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78027 HARNESS, INPUT/MEG ROT MOTOR POWER,WORKING
keykorea Used - $180.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78537 CABLE BRUSH & ROLLER MOTORS PWR SCRUBBER, WORKING
keykorea Used - $130.00 0 Dec/09/13 Feb/02/17
Description: AMAT 0140-77004 HARNESS, PAD 1, MANIF, WORKING
keykorea Used - $70.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78120 CABLE, UPPER-LOWER MEGASONICS, WORKING
keykorea Used - $275.00 1 Dec/09/13 Feb/25/21
Description: AMAT 0150-05362 CABLE ASSY, 2 FLAT PANELS POWER INTERCON, WORKING
usedeqsales Used - $252.13 0 Dec/09/13 May/04/15
Description: Aera FCPIDN980C-ABA Mass Flow Controller PI-980 AMAT 0190-27879 Used Working
usedeqsales Refurbished - $1,512.13 10 Dec/09/13 May/01/15
Description: Aera FC-7710CD Mass Flow Controller AMAT 3030-08120 20 SLM N2O Used Working
usedeqsales Used - $11,012.10 1 Dec/09/13 Dec/13/13
Description: ENI B-10513 RF Generator Spectrum 10513-00 Rev. E AMAT 0190-17877 Tested Working
usedeqsales Used - $2,812.13 1 Dec/09/13 Jan/07/14
Description: PRI Automation ESC-218BT-FWS Robot Controller AMAT 0190-08247 Used Working
keykorea Used - $160.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78026 HARNESS, SPIN DRYER MOTOR/HEATER POWER, WORKING
keykorea Used - $400.00 0 Dec/09/13 Sep/28/14
Description: AMAT 0140-11190 CABLE COVER SWITCH MAINBKHD-SWCONN, WORKING
keykorea Used - $75.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78034 CABLE, UPPER-LOWER ELECTRONIC SCRUBBER1, WORKING
keykorea Used - $270.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78150 CABLE, WALKING BEAM MOTOR POWER/ENCOD, WORKING
keykorea Used - $200.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78539 CABLE BRUSH & ROLLER MOTORS PWR SCRUB 2, WORKING
keykorea Used - $120.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78025 HARNESS, SRD/INPUT/MN ROT MOTOR, ENCODER, WORKING
keykorea Used - $200.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78540 CABLE MOTORS ENCODER SCRUB 2, WORKING
keykorea Used - $250.00 1 Dec/09/13 Sep/19/18
Description: AMAT 0140-77708 CABLE, ABSOLUTE ENCODER, CMP CONTROLLER, WORKING
keykorea Used - $200.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78023 HARNESS, MOTOR ENCODER, BRUSH 2, WORKING
keykorea Used - $110.00 0 Dec/09/13 Jul/05/17
Description: AMAT 0140-77918 CABLE 60 FT INTGR CONT-ONTRAK, WORKING
grandbirdnet Used - $420.00 1 Dec/10/13 Jun/08/23
Description: AMAT 0150-16852 CABLE ASSY 35 FT EVC M/M, WORKING
keykorea Used - $500.00 2 Dec/10/13 Jun/16/20
Description: AMAT 0150-77077 CABLE ASSY, PAD CONDITIONER, WORKING
keykorea Used - $170.00 0 Dec/10/13 Jul/05/17
Description: AMAT 0140-77654 L.T. CABLE, P82-J81, WORKING
keykorea Used - $180.00 2 Dec/10/13 Feb/02/17
Description: AMAT 0140-77615 CBL, PORT SERVER-BKHD, WORKING
grandbirdnet Used - $200.00 0 Dec/10/13 Jan/06/22
Description: AMAT 0140-02342 WORKING
keykorea Used - $240.00 0 Dec/10/13 Jul/05/17
Description: AMAT 0140-78065 CABLE 80 FT ISRM PORT SERVER, WORKING
usedeqsales Used - $2,012.13 1 Dec/10/13 Feb/23/15
Description: Delatech 814-01-034 Spec Multinozzle Inlet Assembly AMAT 0190-18291 Used Working
keykorea Used - $480.00 0 Dec/10/13 Jun/14/20
Description: AMAT 0140-78295 CABLE, PWR, PLATEN 3, LOT BOX-MIRRA BLKH, WORKING
used1eqsales Used - $2,811.13 0 Dec/11/13 Nov/14/14
Description: Comdel RF Generator CX1250S FP3212RB 0190-09843 AMAT Endura working
keykorea Used - $430.00 0 Dec/11/13 Aug/18/14
Description: AMAT 0090-77222 HARNESS, MEGASONIC H202 FL0W SWITCH, WORKING
keykorea Used - $1,530.00 0 Dec/12/13 Dec/24/14
Description: AMAT 0010-04514 ASSY, INT, ISRM MODULE, FULL SCAN, WORKING
keykorea Used - $1,620.00 1 Dec/12/13 Dec/21/14
Description: AMAT 0010-05004 ASSY, FULL SCAN ISRM, WORKING
keykorea Used - $1,900.00 1 Dec/12/13 Jan/14/15
Description: AMAT 0010-05002 ASSY, INT. ISRM MODULE, WORKING
keykorea Used - $110.00 0 Dec/11/13 Apr/11/18
Description: AMAT 0140-78044 CABLE, SENSOR-CONTROL, BLKHD 2, SCRUBBER, WORKING
keykorea Used - $2,000.00 0 Dec/12/13 Jan/14/15
Description: AMAT 0010-06444 ASSY DIGITAL ISRM, WORKING
keykorea Used - $1,800.00 0 Dec/12/13 Dec/24/14
Description: AMAT 0010-37866 ASSY, INT, ISRM MODULE, FULL SCAN, WORKING
usedeqsales Used - $412.13 3 Dec/12/13 Jan/28/14
Description: Stober P821SPZ0070MT Precision Gearhead AMAT 3970-00029 Used Working
used1eqsales Used - $811.13 0 Dec/13/13 Dec/04/14
Description: AMAT EVR Lateral Servo 0100-01734 Circuit Board AMAT Quantum X working
used1eqsales Used - $705.15 8 Dec/13/13 Dec/20/17
Description: AMAT daq Mk11 type H 0090-90967 Circuit Board AMAT Quantum X working
used1eqsales Used - $705.15 0 Dec/13/13 May/26/17
Description: AMAT Contacter Drive 0100-90385 Circuit Board AMAT Quantum X working
systasemi Used - $700.00 0 Dec/16/13 Jan/15/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
systasemi Used - $7,000.00 0 Dec/16/13 Jan/15/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
ischoi3 Used - $420.00 1 Dec/16/13 Dec/23/13
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
used1eqsales Used - $705.15 0 Dec/16/13 May/26/17
Description: AMAT Vacuum Gauge Interface 0100-90710 Circuit Board AMAT Quantum X working
used1eqsales Used - $705.15 0 Dec/16/13 May/26/17
Description: AMAT Turbo Pump Interface 0100-01326 Circuit Board AMAT Quantum X working
used1eqsales Used - $705.15 0 Dec/16/13 May/26/17
Description: AMAT Vacuum Interlock 0100-90881 Circuit Board AMAT Quantum X working
used1eqsales Used - $705.15 0 Dec/16/13 May/26/17
Description: AMAT Enhanced Purge I/Lock 0100-01925 Circuit Board AMAT Quantum X working
used1eqsales Used - $2,006.07 1 Dec/16/13 Mar/20/14
Description: HiTek 9090-00801 Power Supply A1031250 A1030770 AMAT Quantum X working
semipart Used - $150.00 0 Dec/21/13 Jan/20/14
Description: AMAT 3870-01215 Pneumatic Angle Valve. Used, Rebuilt and Working
usedeqsales Used - $312.13 3 Dec/26/13 Apr/18/14
Description: AMAT Applied Materials 10762200 RF Cable 50 FT 0620-02885 Lot of 3 Used Working
ischoi3 Used - $420.00 0 Dec/25/13 Jan/24/14
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
usedeqsales Used - $312.13 0 Dec/26/13 Feb/20/14
Description: TRU RG-217U RF Cable 50 Foot AMAT 0620-00517 Lot of 2 Used Working
usedeqsales Used - $612.13 1 Dec/31/13 Dec/31/13
Description: AMAT Applied Materials 0100-20100 Analog I/O PCB Used Working
used1eqsales Used - $812.13 1 Dec/31/13 Oct/29/15
Description: AMAT Applied Materials Endura RF Rack Power Supply Chassis 0100-20051 working
systasemi Used - $3,500.00 0 Jan/01/14 Jan/31/14
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
yayais2012 Used - $350.00 0 Jan/03/14 Feb/02/14
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $601.14 1 Jan/03/14 Jun/22/17
Description: AMAT Applied Materials 0100-76124 Digital I/O PCB Board Used Working
usedeqsales Used - $601.14 1 Jan/03/14 Nov/14/14
Description: AMAT Applied Materials 0100-00396 Analog AI/O PCB Used Working
usedeqsales Used - $351.14 1 Jan/03/14 Mar/24/23
Description: Kinetics KM405618 Gas Flow Box AMAT 0240-97138 Millipore FC2900 Used Working
torastreasures Used - $750.00 0 Jan/05/14 Feb/04/14
Description: Xantrex XHR 150-7 DC Power Supply AMAT 1140-00153 Used Tested Working
used1eqsales Used - $801.14 0 Jan/06/14 May/26/17
Description: AMAT Applied Materials Electrode 0020-80664 0190-90758 0020-80667 working
used1eqsales Used - $350.14 1 Jan/06/14 Apr/07/14
Description: Celerity Unit UFC-1662 Mass Flow Controller AMAT 3030-12641 N2O 5cc working
usedeqsales Used - $251.14 0 Jan/07/14 May/01/15
Description: Horiba STEC LF-410A-EVD Liquid Mass Flow Meter AMAT 3030-06043 Used Working
usedeqsales Used - $251.14 0 Jan/07/14 May/01/15
Description: Horiba STEC LF-210A-EVD Liquid Mass Flow Meter AMAT 3030-14336 Used Working
usedeqsales Used - $251.14 0 Jan/07/14 May/01/15
Description: Horiba STEC LF-210A-EVD Liquid Mass Flow Meter AMAT 3030-10059 Used Working
usedeqsales Used - $501.14 1 Jan/07/14 May/09/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-12648 Used Working
usedeqsales Used - $251.14 0 Jan/07/14 May/01/15
Description: STEC LF-A40M-A-EVD Liquid Mass Flow Meter AMAT 3030-11068 Used Working
usedeqsales Used - $501.14 1 Jan/07/14 Jan/28/14
Description: STEC LF-410A-EVD Liquid Mass Flow Meter AMAT 3030-11633 Used Working
usedeqsales Used - $501.14 2 Jan/07/14 Mar/20/15
Description: STEC LF-310A-EVD Liquid Mass Flow Meter AMAT 3030-05744 Used Working
keykorea Used - $70.00 0 Jan/13/14 Apr/11/18
Description: AMAT 0140-78040 CABLE, SENSOR-CONTROL, BLKHD 2, SRD, WORKING
used1eqsales Used - $811.13 0 Jan/13/14 Nov/12/14
Description: AMAT daq Mk11 type H 0090-00314 Circuit Board AMAT Quantum X working
used1eqsales Used - $16,501.10 0 Jan/13/14 Jan/22/14
Description: AMAT 0040-48995 Wafer Transfer Robot 0040-03664 0040-13295 0021-22627 working
usedeqsales Used - $3,001.14 2 Jan/13/14 Aug/21/17
Description: Newport 15-3701-1425-26 300mm Wafer Transfer Robot AMAT 0190-19123 Used Working
usedeqsales Used - $3,001.14 4 Jan/13/14 Dec/06/17
Description: Newport 15-3702-1425-26 300mm Wafer Transfer Robot AMAT 0190-22250 Used Working
keykorea Used - $130.00 1 Jan/14/14 Jun/17/16
Description: AMAT 0140-06296 CABLE SENSOR-CONTROL BLKHD 3 SRD (SMOOTH SHIELD CNTRL), WORKING
keykorea Used - $150.00 0 Jan/14/14 Apr/11/18
Description: AMAT 0140-11359 CABLE MEG TANK LVL/DOOR CL SW LO ELECT M, WORKING
keykorea Used - $100.00 0 Jan/14/14 Apr/11/18
Description: AMAT 0140-01881 CABLE, SENSOR-CONTROL, BLKHD 4, SCRUBBER, WORKING
keykorea Used - $360.00 0 Jan/14/14 Apr/11/18
Description: AMAT 0140-02781 CABLE SYSTEM INTERLOCK SYSTEM, 300MM 36, WORKING
keykorea Used - $80.00 0 Jan/13/14 Apr/11/18
Description: AMAT 0140-05085 CABLE X-CAR, MOTORS MNFRM BKHD, PWR, WORKING
keykorea Used - $190.00 0 Jan/13/14 Apr/11/18
Description: AMAT 0140-78535 CABLE IM SENSOR-CNTRL, BLKHD 2 SYSTEM, WORKING
keykorea Used - $180.00 0 Jan/14/14 May/12/20
Description: AMAT 0140-02811 HARNESS START/STOP BLKHD, WORKING
keykorea Used - $150.00 0 Jan/14/14 Apr/11/18
Description: AMAT 0140-01947 CABLE BRUSH POS MOTORS POWER SCRUBBER 2, WORKING
keykorea Used - $80.00 0 Jan/14/14 Apr/11/18
Description: AMAT 0140-01844 CABLE OM SENSOR-CNTRL BLKHD 3 SYSTEM, WORKING
keykorea Used - $60.00 0 Jan/14/14 Apr/11/18
Description: AMAT 0140-78037 CABLE, UPPER-LOWER SYSTEM, WORKING
keykorea Used - $150.00 0 Jan/14/14 Apr/11/18
Description: AMAT 0140-78563 CABLE MOTION CONTROL SENSORS SYSTEM, WORKING
keykorea Used - $150.00 0 Jan/14/14 Apr/11/18
Description: AMAT 0140-11665 CABLE MEGASONIC SENSORS, UPPER ELECT, WORKING
grandbirdnet Used - $200.00 0 Jan/14/14 Nov/13/22
Description: AMAT 0150-16851 CABLE ASSY 25 FT EVC M/M, WORKING
keykorea Used - $170.00 0 Jan/14/14 Apr/11/18
Description: AMAT 0140-12771 55BLE FLOW/PRESSURE SW LOWER ELECT MEG, WORKING
usedeqsales Used - $3,501.14 0 Jan/14/14 May/01/15
Description: AE Advanced Energy 3155000-000 RF Match Navigator AMAT 0190-30887 Used Working
keykorea Used - $3,800.00 0 Jan/15/14 Mar/12/18
Description: AMAT 0190-10735 ASSY,LOWER ELECTRONIC BOX,BRUSH 2,2 CHEM, WORKING
used1eqsales Used - $1,501.14 1 Jan/16/14 Mar/14/15
Description: AMAT Applied Materials 0110-00077 Circuit Board 0100-00003 working
usedeqsales Used - $308.13 0 Jan/17/14 May/05/15
Description: Sanyo Denki P30B04010DXS8FM AC Servo Motor BL Super AMAT 0195-07983 Working
systasemi Used - $7,000.00 0 Jan/15/14 Feb/14/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
systasemi Used - $700.00 0 Jan/15/14 Feb/14/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
usedeqsales Used - $10,000.60 1 Jan/20/14 Oct/12/15
Description: Lam Research 685-495112-900 AC Power Box RPM A6-L9 Used Working
semipart Used - $150.00 1 Jan/20/14 Jan/28/14
Description: AMAT 3870-01215 Pneumatic Angle Valve. Used, Rebuilt and Working
usedeqsales Used - $3,201.14 0 Jan/21/14 May/02/15
Description: OEM-12B ENI OEM-12B-07 RF Plasma Generator AMAT 0190-76048 Tested Working
used1eqsales Scrap, for parts - $9,001.14 0 Jan/21/14 Jan/24/14
Description: AMAT 0040-46995 Wafer Transfer Robot Parts AMAT Endura working
usedeqsales Used - $301.14 1 Jan/23/14 Jan/27/14
Description: IRCON MRT39999C Infrared Thermometer AMAT 0090-03991 Lot of 2 Working
usedeqsales Used - $501.14 1 Jan/23/14 Jan/23/14
Description: NSK ELA-B014CF4-02 Servo Diver AMAT 0190-01031 Working
usedeqsales Used - $3,201.14 4 Jan/24/14 May/01/15
Description: ENI OEM-12B3-02 RF Generator 3 Phase AMAT 0190-76028 Tested Working
usedeqsales Scrap, for parts - $1,501.14 1 Jan/24/14 Jan/29/14
Description: ENI OEM-12B3-02 RF Generator 3 Phase AMAT 0190-76028 Not Working
usedeqsales Used - $3,001.14 0 Jan/24/14 May/01/15
Description: Comdel PF0113RK RF Power Source CPS-500AS AMAT 0920-01056 Tested Working
ischoi3 Used - $420.00 0 Jan/27/14 Feb/26/14
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
used1eqsales Used - $1,501.14 1 Jan/27/14 Dec/20/16
Description: AMAT 0010-17798 Rev. 2 Endura Heater 300mm Degas M11-415-0810 working
usedeqsales Used - $351.14 2 Jan/27/14 Apr/07/14
Description: Mitsubishi HC-UFS30MW8-S2-W AC Servo Motor AMAT 0190-09809 Used Working
keykorea Used - $230.00 0 Jan/28/14 Jul/03/17
Description: AMAT 0020-79207 ADAPTER, WORKING
used1eqsales Used - $1,350.51 2 Jan/28/14 Apr/08/15
Description: AMAT 0010-31663 Rev. 02 Endura Pneumatic Control Box AMAT Endura 300mm working
used1eqsales Used - $2,500.57 1 Jan/29/14 Feb/06/15
Description: CTI Cryogenics 0190-19390 On-Board IS-8F Cryopump AMAT Endura 300mm working
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: AMAT 0190-10208 Loadlock Interlock Circuit Board AMAT Centura 300mm working
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: Dip 0190-03373 CDN494 Circuit Board DIP-102-339 AMAT Centura 300mm working
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: AMAT 0190-10208 Mainframe Interlock Circuit Board AMAT Centura 300mm working
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: Dip 0190-03373 CDN494 Circuit Board DIP-102-327 AMAT Centura 300mm working
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: AMAT 0100-00637 Mainframe Relays Circuit Board AMAT Centura 300mm working
usedeqsales Used - $612.13 1 Jan/31/14 Aug/11/14
Description: AMAT Applied Materials 0100-20100 Analog I/O PCB Used Working
usedeqsales Used - $7,002.13 0 Jan/31/14 Apr/30/15
Description: Alcatel ATH 1600 M Vacuum Turbopump P25621B8 AMAT 3620-00272 Used Working
used1eqsales Used - $357.16 1 Jan/31/14 Nov/18/16
Description: SBS 89004890 Rev. A CPMC1 Circuit Board AMAT Centura 300mm working 0190-17952
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: MEI A022-0001 Rev. 3 XMP Circuit Board AMAT Centura 300mm working 0190-03601
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: SBS CPCI-100A-FP cPCI-100 Circuit Board AMAT Centura 300mm working 0190-17950
used1eqsales Used - $2,501.14 0 Jan/31/14 May/06/15
Description: Neport 15-3702-1425-25 AMAT Robot 0190-22248 AMAT Centura 300mm working
yayais2012 Used - $150.00 0 Feb/03/14 Mar/05/14
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
torastreasures Used - $750.00 1 Feb/04/14 Feb/05/14
Description: Xantrex XHR 150-7 DC Power Supply AMAT 1140-00153 Used Tested Working
used1eqsales Used - $352.57 0 Feb/04/14 Nov/26/15
Description: AMAT 0090-91694 PCB Chassis AMAT Quantum working
grandbirdnet Used - $890.00 3 Feb/04/14 May/30/23
Description: AMAT 0190-33934 IV-2410AV-02H-N Injection Valve, WORKING
keykorea Used - $350.00 0 Feb/05/14 May/27/15
Description: AMAT 0090-77297 PP PRESSURE TRANSDUCER -14.7 TO 10, WORKING
keykorea Used - $330.00 0 Feb/05/14 Mar/07/17
Description: AMAT 0090-00961 CABLE ASSY PRESS TRANSDUCER -14.7 - 15, WORKING
systasemi Used - $3,500.00 0 Feb/05/14 Mar/07/14
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
usedeqsales Used - $196.39 0 Feb/05/14 Apr/06/15
Description: AMAT Applied Materials 0190-14178 MAG-LEV Machine Controller Cable Used Working
usedeqsales Used - $35.75 4 Feb/05/14 Mar/18/14
Description: AMAT Applied Materials 3400-00434 40FT Flexline Cryo Hose Used Working
usedeqsales Used - $2,002.14 0 Feb/05/14 May/01/15
Description: ASTeX ARX-X491 Microwave Control Module AMAT 0190-00398 Used Working
usedeqsales Used - $73.54 5 Oct/12/11 Feb/28/14
Description: Adlink Single Board Computer CPCI-3720 Working 0190-17123 MKS-CIT AS03720
usedeqsales Used - $602.14 0 Feb/05/14 May/04/15
Description: Arcom 7041-64423-004-101 Rack Mounted Industrial PC Used Working
usedeqsales Used - $502.14 0 Feb/06/14 Nov/16/20
Description: Hitachi K8-022604-23 Gas Flow Control Box AMAT 0190-33364 Used Working
keykorea Used - $2,500.00 0 Feb/09/14 Aug/11/14
Description: AMAT 0760-01037 CPLG UNION ROTARY 4-PORT, WORKING
used1eqsales Used - $5,002.14 3 Feb/13/14 Nov/23/14
Description: CTI-Cryogenics IS-1000 Compressor LV 3620-00317 AMAT Quantum X working
used1eqsales Used - $32,002.10 0 Feb/20/14 Feb/26/14
Description: AMAT Desica Ultra Sonic Cleaner 0010-19001 Rev. 002 working
used1eqsales Used - $32,002.10 0 Feb/20/14 Feb/26/14
Description: AMAT Desica Ultra Sonic Cleaner 0010-19001 Rev. 001 working
usedeqsales Used - $452.14 5 Feb/20/14 May/30/14
Description: TRU RG-217U RF Cable 32m AMAT 0620-00516 Lot of 2 Used Working
systasemi Used - $7,000.00 0 Feb/19/14 Mar/21/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
systasemi Used - $700.00 0 Feb/17/14 Mar/19/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
usedeqsales Used - $802.14 0 Feb/21/14 May/04/15
Description: Spellman ESC5PN25X4250 Compact Power Supply X4250 AMAT 0190-37102 Used Working
usedeqsales Used - $3,502.14 1 Feb/21/14 Dec/12/14
Description: AE Advanced Energy 3155132-001 RF Match AMAT 0190-27576 Used Working
usedeqsales Used - $2,007.12 0 Feb/24/14 May/01/15
Description: STL Transformer 1360-90103 AMAT Applied Materials XR80 Transformer Working
usedeqsales Used - $1,007.12 0 Feb/24/14 Jan/10/19
Description: AMAT Applied Materials 9090-00785 High Voltage Module 3X2H XR80 Used Working
usedeqsales Used - $502.14 0 Feb/24/14 May/05/15
Description: TeNTA AS00720-04 PCI Power Board AMAT 0190-07502 Used Working
used1eqsales Used - $705.15 0 Feb/24/14 May/26/17
Description: AMAT 0100-00970 Spin Window Circuit Board AMAT Quantum X Control Rack working
semipart Used - $2,500.00 0 Feb/25/14 Mar/27/14
Description: AMAT 0010-70283 ASSY, HP ENDURA ROBOT HUB. Removed from working tool. Smooth
usedeqsales Used - $4,502.14 0 Feb/25/14 Nov/25/14
Description: ENI OEM-28B-02 Solid State Power Generator OEM-28B AMAT 0190-36663 Working
usedeqsales Used - $4,502.14 1 Feb/25/14 Nov/25/14
Description: ENI OEM-28B-02 Solid State Power Generator OEM-28B AMAT 0190-01264 Working
usedeqsales Used - $5,002.14 0 Feb/25/14 Apr/30/15
Description: CDX-2000 Comdel FP5323R2 Dual RF Generator AMAT 0190-22781 Tested Working
usedeqsales Used - $3,502.14 1 Feb/25/14 Feb/26/14
Description: MKS Instruments AX8407A Ozone Generator AX8400 AMAT 0190-17159 Working
semipart Used - $175.00 1 Feb/25/14 Feb/26/14
Description: AMAT 3870-01215 Pneumatic Angle Valve. Used, Rebuilt and Working
used1eqsales Used - $32,002.10 0 Feb/26/14 Apr/04/14
Description: AMAT Desica Ultra Sonic Cleaner 0010-19001 Rev. 002 working
used1eqsales Used - $32,002.10 0 Feb/26/14 Apr/04/14
Description: AMAT Desica Ultra Sonic Cleaner 0010-19001 Rev. 001 working
ischoi3 Used - $420.00 1 Feb/26/14 Mar/25/14
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
usedeqsales Used - $3,002.14 0 Feb/27/14 May/01/15
Description: AMAT Applied Materials 0195-08438 Disk Brush Driver Enclosure Used Working
usedeqsales Used - $402.14 0 Feb/28/14 Jan/22/18
Description: DIP Inc. 15049105 PCB Card CDN491 AMAT 0660-01879 Used Working
usedeqsales Used - $602.14 1 Feb/28/14 Dec/07/17
Description: AMAT Applied Materials 0100-00581 300mm Gas Interlock Card Used Working
usedeqsales Used - $602.14 1 Feb/28/14 Jan/21/18
Description: DIP Inc 15049602 Network PCB Card CDN496 AMAT 0660-01880 Used Working
yayais2012 Used - $550.00 25 Mar/11/14 Mar/28/14
Description: AMAT Mirra Mesa Lower Brush Electronics Assembly, 0010-77681 Working
usedeqsales Used - $253.14 0 Mar/12/14 May/04/15
Description: Cybex 500-103 PC Expander Plus AMAT 0650-01088 Used Working
systasemi Used - $3,500.00 0 Mar/09/14 Apr/08/14
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
usedeqsales Used - $503.14 2 Mar/07/14 Jul/06/18
Description: Novellus 03-034720-00 DCCM-S Right Loadlock Interface PCB Rev. A Used Working
usedeqsales Used - $1,003.14 0 Mar/13/14 Apr/17/14
Description: HVA 21251-1003Z-001 Pneumatic Gate Valve AMAT 3870-02881 Used Working
usedeqsales Used - $303.14 0 Mar/14/14 May/04/15
Description: AMAT Applied Materials 3310-00139 Capacitance Pirani Gauge Used Working
usedeqsales Used - $411.13 6 Mar/14/14 Jul/26/14
Description: InUSA gFFOZ Full Flow Ozone Sensor AMAT 3030-06231 Used Working
convertechs3 Used - $1,499.99 0 Mar/14/14 Mar/21/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
yayais2012 Used - $450.00 1 Mar/16/14 Mar/29/14
Description: FESTO CONTROL SYSTEMS, AMAT 0010-77557 FACILITY INTERFACE, WORKING
yayais2012 Used - $450.00 3 Mar/16/14 Mar/29/14
Description: FESTO CONTROL SYSTEMS, AMAT 0010-30955 FACILITY INTERFACE, WORKING
systasemi Used - $3,800.00 0 Mar/17/14 May/08/14
Description: AMAT 0010-30686 RF MATCH, Working
used1eqsales Used - $1,805.46 8 Mar/21/14 Apr/12/15
Description: HiTek 9090-00801 Power Supply A1031250 A1030770 AMAT Quantum X working
systasemi Used - $700.00 0 Mar/19/14 Apr/18/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
convertechs3 Used - $1,399.99 0 Mar/21/14 Mar/28/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
systasemi Used - $7,000.00 0 Mar/23/14 Apr/22/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $3,503.14 0 Mar/27/14 May/01/15
Description: AE Advanced Energy 3155301-007 RF Match Navigator AMAT 0190-42448 Used Working
semipart Used - $2,500.00 0 Mar/27/14 Apr/26/14
Description: AMAT 0010-70283 ASSY, HP ENDURA ROBOT HUB. Removed from working tool. Smooth
usedeqsales Used - $2,503.14 1 Mar/27/14 Apr/14/14
Description: ENI ACG-6B-02 RF Generator ACG-6B AMAT 0190-36686 Tested Working
usedeqsales Used - $1,003.14 1 Mar/26/14 Apr/01/14
Description: NSK M-RS0810FN544 Megatorque Motor AMAT 0190-14344 Used Working
usedeqsales Used - $2,503.14 1 Mar/27/14 Apr/16/14
Description: Novellus 02-252432-00 C3 Vector Spindle Assembly Rev. G Used Working
usedeqsales Used - $2,503.14 1 Mar/28/14 Apr/16/14
Description: Novellus 02-252432-00 C3 Vector Spindle Assembly Rev. J Used Working
usedeqsales Used - $253.14 0 Mar/28/14 May/04/15
Description: STEC SEC-7440M Mass Flow Controller AMAT 3030-02716 Used Working
usedeqsales Used - $253.14 0 Mar/28/14 May/04/15
Description: Unit Instruments 1660-100929 Mass Flow Controller AMAT 0227-10753 Used Working
usedeqsales Used - $10,003.10 0 Mar/28/14 Apr/30/15
Description: ETO 80-S09-UW RF Generator Rack A AMAT 0190-18181 0290-76193 Used Working
usedeqsales Used - $253.14 0 Mar/28/14 May/04/15
Description: Unit Instruments UFC-8160 Mass Flow Controller AMAT 3030-03237 Used Working
usedeqsales Used - $503.14 1 Mar/28/14 May/09/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-01517 Used Working
usedeqsales Used - $503.14 0 Mar/28/14 Oct/17/14
Description: Horiba STEC SEC-4400M Mass Flow Controller AMAT 0227-04814 Used Working
usedeqsales Used - $10,003.10 0 Mar/28/14 Apr/30/15
Description: ETO 80-S09-UW RF Generator Rack D AMAT 0190-18181 0290-76193 Used Working
usedeqsales Used - $503.14 1 Mar/28/14 Apr/17/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-16742 Used Working
usedeqsales Used - $10,003.10 0 Mar/28/14 Apr/30/15
Description: ETO 80-S09-UW RF Generator Rack B AMAT 0190-18181 0290-76193 Used Working
usedeqsales Used - $253.14 0 Mar/28/14 May/04/15
Description: Tylan FC-2902MEP5-T Mass Flow Controller AMAT 3030-04260 Used Working
convertechs3 Used - $1,249.99 0 Mar/28/14 Apr/04/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
usedeqsales Used - $4,503.14 1 Mar/31/14 Mar/31/14
Description: Kawasaki 30C61E-B003 Master Robot Controller AMAT 0190-12697 Used Working
usedeqsales Used - $125.78 0 Mar/31/14 Dec/10/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-04702 Used Working
usedeqsales Used - $6,009.13 0 Mar/31/14 Apr/30/15
Description: MAG2000 Leybold Turbo Molecular Pump 12132 AMAT 3620-01573 Tested Working
semipart Used - $300.00 1 Apr/01/14 Dec/04/16
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 REV 005 Removed from working tool
usedeqsales Used - $654.14 1 Apr/01/14 Oct/14/14
Description: MKS Instruments 683B-29016 Exhaust Throttle Valve AMAT 0190-32100 Used Working
used1eqsales Used - $705.15 0 Apr/01/14 May/26/17
Description: AMAT 0100-00567 Gas Box Distribution Circuit Board AMAT Endura 300mm working
usedeqsales Used - $3,504.14 0 Apr/01/14 Apr/04/14
Description: Neslab 327099991701 Heat Exchanger Chiller AMAT 0190-76118 Used Working
used1eqsales Used - $705.15 0 Apr/01/14 May/26/17
Description: DIP 0190-01270 Circuit Board DIP-216-075 AMAT Endura 300mm working
usedeqsales Used - $504.14 13 Apr/03/14 Apr/03/14
Description: Novellus 11362100 Spindle Housing Assembly AMAT 0020-79039 Used Working
usedeqsales Used - $1,004.14 0 Apr/04/14 Apr/10/14
Description: AMAT Applied Materials 0010-18129 Sidematch Centura Rev. D Used Working
usedeqsales Used - $404.14 0 Apr/04/14 May/05/15
Description: AMAT Applied Materials 7-213729 AC Servo Motor XBR-2310 AMAT 0010-70836 Working
convertechs3 Used - $1,224.99 0 Apr/04/14 Apr/11/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
yayais2012 Used - $150.00 0 Apr/06/14 May/06/14
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $354.14 0 Apr/07/14 May/05/15
Description: Copley Controls 800-1514A Servo Amplifier AMAT 0190-31257 Used Working
usedeqsales Used - $504.14 0 Apr/07/14 May/05/15
Description: VAT 26432-KA11-BJI1 Pneumatic Angle Valve AMAT 3870-04912 Lot of 3 Used Working
usedeqsales Used - $126.04 0 Apr/08/14 Dec/08/14
Description: Aera FC-7800CD Mass Flow Controller AMAT 3030-00313 Used Working
usedeqsales Used - $254.14 0 Apr/08/14 May/01/15
Description: Aera FC-7810CD Mass Flow Controller AMAT 3030-01857 Used Working
usedeqsales Used - $254.14 0 Apr/08/14 May/04/15
Description: Celerity UFC-1661 Mass Flow Controller AMAT 3030-15049 Used Working
usedeqsales Used - $254.14 0 Apr/08/14 May/04/15
Description: Celerity UFC-1660 Mass Flow Controller AMAT 3030-12920 Used Working
usedeqsales Used - $254.14 0 Apr/08/14 May/04/15
Description: Aera FC-D980C Mass Flow Controller AMAT 3030-09320 Used Working
usedeqsales Used - $2,504.14 0 Apr/08/14 May/01/15
Description: Daihen ATM-30A Microwave Generator AMAT 0190-28437 Used Working
yayais2012 Used - $550.00 0 Apr/09/14 May/09/14
Description: AMAT Mirra Mesa Lower Brush Electronics Assembly, 0010-77681 Working
systasemi Used - $3,500.00 0 Apr/08/14 May/08/14
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
grandbirdnet Used - $3,800.00 0 Apr/09/14 Apr/12/18
Description: AMAT 0190-10734 ASSY,LOWER ELECTRONIC BOX,BRUSH 1,2 CHEM, WORKING
keykorea Used - $3,000.00 0 Apr/09/14 Dec/18/16
Description: AMAT 0190-10085 ASSY, ELECTRONIC BOX,2 CHEM BRUSH 2 DIRE, WORKING
keykorea Used - $8,000.00 0 Apr/09/14 Apr/19/15
Description: AMAT 0190-19528 0660-00032 VME PIII 400MHZ SINGLE SLOT DUAL ENET, WORKING
keykorea Used - $1,500.00 0 Apr/09/14 Oct/26/16
Description: AMAT 0010-77557 FACILITY INTER CONTROL BOX, WORKING
dr.fantom Used - $1,600.00 0 Apr/09/14 Feb/12/15
Description: Novellus 02-113640-00 Assy SYS CONT P166/64 System Control Working!
usedeqsales Used - $2,204.14 2 Apr/09/14 Jan/14/15
Description: Daihen RMN-50M2 RF Match AMAT 0190-10906 Used Working
keykorea Used - $1,200.00 0 Apr/09/14 Sep/11/14
Description: AMAT 0100-20100 PCB ASSY, ANALOG INPUT/OUTPUT, WORKING
used1eqsales Used - $152.07 2 Apr/11/14 Feb/06/15
Description: Vicor MP6-67595 PFC Megapac Power Supply 1140-00342 AMAT Endura 300mm working
usedeqsales Used - $2,204.14 0 Apr/11/14 May/01/15
Description: Daihen RMN-50N6 Dual Frequency RF Match Box AMAT 0190-25187 Used Working
convertechs3 Used - $1,224.99 0 Apr/11/14 Apr/18/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
usedeqsales Used - $3,509.13 5 Apr/14/14 May/01/15
Description: IPUP A100L Alcatel A100 Dry Vacuum Pump AMAT 0190-01042 Used Working
keykorea Used - $220.00 4 Apr/15/14 Dec/17/14
Description: AMAT 0190-77016 SGM-01U3B4L ENCODER SERVMOTOR PC1-3, WORKING
usedeqsales Used - $3,504.14 1 Apr/15/14 Apr/17/14
Description: NSK RZ0810FN507 Megatorque Motor And Driver AMAT 0190-14344 Used Working
usedeqsales Used - $3,504.14 3 Apr/15/14 Apr/17/14
Description: NSK RS0810FN544 Megatorque Motor And Driver AMAT 0190-14344 Used Working
usedeqsales Used - $2,004.14 0 Apr/16/14 May/01/15
Description: Daihen AMN-30H RF Match Box AMAT 0190-15323 Used Working
usedeqsales Used - $1,004.14 1 Apr/16/14 Apr/17/14
Description: NSK RS0810FN544 Megatorque Motor AMAT 0180-00036 Used Working
usedeqsales Used - $1,004.14 0 Apr/16/14 May/01/15
Description: VAT 0750X-UA24-AIP2 ATM L-Motion Actuator AMAT 0190-31117 Used Working
usedeqsales Used - $2,004.14 0 Apr/16/14 May/01/15
Description: Comet 20045812 RF Match Box AMAT 0190-38179 Used Working
keykorea Used - $6,000.00 1 Apr/17/14 Nov/20/17
Description: AMAT 0100-01973 PCB ASSEMBLY, IMPROVED LAMP FAILURE DETE, WORKING
keykorea Used - $24,600.00 1 Apr/17/14 Jun/21/16
Description: AMAT 0190-17159 OZONE GENERATOR, AX8407A, SUPER HI-CON W, WORKING
used1eqsales Used - $154.14 0 Apr/17/14 May/26/17
Description: DIP 294 Device Net I/O Block 0190-36511 AMAT Quantum X Process Module working
used1eqsales Used - $154.14 1 Apr/17/14 May/26/17
Description: DIP 294-2 Device Net I/O Block 9090-00273 AMAT Quantum X Process Module working
keykorea Used - $5,200.00 1 Apr/17/14 Dec/31/14
Description: AMAT 0190-24282 CONTROLLER, MB340 MAG LEV, 300MM RADIAN, WORKING
used1eqsales Used - $32,002.10 0 Apr/18/14 May/07/14
Description: AMAT Desica Ultra Sonic Cleaner 0010-19001 Rev. 001 working
used1eqsales Used - $32,002.10 0 Apr/18/14 May/07/14
Description: AMAT Desica Ultra Sonic Cleaner 0010-19001 Rev. 002 working
usedeqsales Used - $7,504.14 1 Apr/18/14 Apr/18/14
Description: Kawasaki 3NS411B-F003 Wafer Handling Robot AMAT 0190-16621 Used Working
used1eqsales Used - $3,004.14 0 Apr/18/14 May/26/17
Description: PTC X-Scan Controller 0500-00232 AMAT Quantum X Process Module working
usedeqsales Scrap, for parts - $1,104.14 1 Apr/18/14 Apr/23/14
Description: CPS-1001S Comdel FP0213RK RF Power Supply AMAT 0190-70099 Tested Not Working
convertechs3 Used - $1,199.99 0 Apr/19/14 May/19/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
systasemi Used - $700.00 0 Apr/20/14 May/20/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
keykorea Used - $500.00 3 Apr/20/14 Aug/27/15
Description: AMAT 0140-20102 VEXTA A3723-9215 HARNESS ASSY, INDEXER MOTOR, WORKING
usedeqsales Used - $5,504.14 1 Apr/21/14 Jul/30/14
Description: HiTek Power HiVolt A1007990 High Voltage Stack AMAT 0090-90388 Used Working
usedeqsales Used - $604.14 0 Apr/21/14 May/04/15
Description: Spellman X3208 High Voltage Power Supply Rev. B11 AMAT 0190-17029 Used Working
keykorea Used - $3,500.00 0 Apr/22/14 Oct/29/14
Description: AMAT 0100-77017 ASSY, LOWER PNEUM CNTRLR, USED WORKING
keykorea Used - $100.00 0 Apr/22/14 Dec/18/14
Description: AMAT 0140-77182 CABLE, LOWER PNEUMATICS, USED WORKING
usedeqsales Used - $3,004.14 2 Apr/22/14 May/06/14
Description: Daihen RMN-100B1 RF Automatic Matching AMAT 0190-71954 Used Working
usedeqsales Used - $2,004.14 1 Apr/22/14 Aug/15/14
Description: Beckhoff C6340-0020 Industrial PC AMAT 0190-29169 Used Working
usedeqsales Used - $3,504.15 1 Apr/23/14 Apr/24/14
Description: Yaskawa XU-RCM6841 Robot AMAT 0190-14738 Used Working
systasemi Used - $7,000.00 0 Apr/23/14 May/23/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $3,004.14 1 Apr/24/14 Apr/24/14
Description: Neslab 327099991701 Thermo Chiller Endura 5500 AMAT 0190-02435 Tested Working
usedeqsales Used - $502.14 4 Apr/24/14 Jul/26/14
Description: Horiba STEC LF-A40M-A-EVD Liquid Mass Flow Meter AMAT 3030-11066 Used Working
usedeqsales Used - $254.14 0 Apr/24/14 May/01/15
Description: Aera FC-7810CD Mass Flow Controller AMAT 3030-08167 Used Working
usedeqsales Used - $254.14 0 Apr/24/14 May/04/15
Description: Unit Instruments UFC-1660 Mass Flow Controller AMAT 3030-04368 Used Working
usedeqsales Used - $254.14 0 Apr/24/14 May/04/15
Description: Unit Instruments UFC-1660 Mass Flow Controller AMAT 3030-04479 Used Working
usedeqsales Used - $304.14 0 Apr/24/14 May/04/15
Description: SMC VV5Q11-ULB970109 16-Port Pneumatic Manifold AMAT 4060-01110 Used Working
usedeqsales Used - $604.14 0 Apr/24/14 May/04/15
Description: Spellman X3208 High Voltage Power Supply Rev. B4 AMAT 0190-17029 Used Working
usedeqsales Used - $604.14 0 Apr/24/14 May/04/15
Description: Spellman X3862 High Voltage Power Supply Rev. B11 AMAT 0190-26332 Used Working
usedeqsales Used - $201.66 1 Apr/24/14 Sep/04/14
Description: Aera FC-D980C Mass Flow Controller AMAT 3030-07514 Used Working
usedeqsales Used - $504.14 1 Apr/24/14 May/28/14
Description: Verity Instruments 1006340 Spectrometer SD1024D-2-S AMAT 0190-19763 Used Working
semipart Used - $2,500.00 1 Apr/26/14 May/20/14
Description: AMAT 0010-70283 ASSY, HP ENDURA ROBOT HUB. Removed from working tool. Smooth
usedeqsales Used - $3,004.14 1 Apr/28/14 Apr/29/14
Description: LF10A AE Advanced Energy 3150010-001 RF Generator AMAT 0190-70100 Tested Working
usedeqsales Used - $654.14 2 Apr/28/14 Apr/11/15
Description: HVA 21251-1003Z-001 Pneumatic Gate Valve AMAT 3870-04276 Used Working
usedeqsales Used - $1,404.14 2 Apr/28/14 May/01/15
Description: Mitsubishi HC-UFS30MW8-S2-W AC Servo Motor AMAT 0190-09809 Used Working
usedeqsales Used - $3,504.14 1 Apr/28/14 Apr/29/14
Description: MKS Instruments AX8407A Ozone Generator AX8400 Rev. H AMAT 0190-17159 Working
usedeqsales Used - $3,504.14 1 Apr/28/14 Apr/29/14
Description: MKS Instruments AX8407A Ozone Generator AX8400 Rev. G AMAT 0190-17159 Working
sparepartssolution Used - $500.00 0 Apr/29/14 Sep/02/15
Description: (101-0201) AMAT APPLIED MATERIALS 3870-01212 NOR-CAL VALVE USED WORKING
sparepartssolution Used - $360.00 0 Apr/29/14 Apr/20/15
Description: (101-0201) AMAT APPLIED MATERIALS 3870-01455 NOR-CAL VALVE USED WORKING
usedeqsales Used - $3,504.14 1 Apr/29/14 Apr/29/14
Description: Astex AX8403A Ozone Generator Rev. 1N AMAT 0190-36233 Tested Working
usedeqsales Used - $3,504.14 1 Apr/29/14 May/05/14
Description: Astex AX8406A Ozone Generator Rev. B AMAT 0190-02404 Tested Working
usedeqsales Used - $2,504.14 0 Apr/29/14 Aug/19/14
Description: GHW-12Z Genesis ENI GHW12Z-13DF2N0-001 RF Generator AMAT 0190-06988 Working
usedeqsales Used - $304.14 0 Apr/29/14 May/04/15
Description: SMC US15471 32-Port Pneumatic Manifold AMAT 4060-00247 Used Working
usedeqsales Used - $604.14 0 Apr/29/14 May/04/15
Description: GEMU 1435000Z10001-0 Intelligent Positioner Module AMAT 0520-90086 Used Working
usedeqsales Used - $404.14 0 Apr/29/14 May/05/15
Description: SMC PF2D540-19-1 Digital Flow Switch AMAT 1350-00178 Used Working
usedeqsales Used - $404.14 2 Apr/29/14 Dec/11/14
Description: MKS Instruments 153D-3-80-2 Exhaust Throttle Valve AMAT 3870-01840 Used Working
usedeqsales Used - $2,204.14 1 Apr/29/14 Apr/29/14
Description: Neslab 327099990106 Thermo Chiller Endura 5500 AMAT 0190-76118 Tested Working
usedeqsales Used - $3,504.14 1 Apr/30/14 May/22/14
Description: Astex AX8403A Ozone Generator Rev. R AMAT 0190-36233 Tested Working
sparepartssolution Used - $600.00 1 May/01/14 May/12/14
Description: (103-0301) AMAT APPLIED MATERIALS 1350-01067 MKS 627A-15359 GAUGE USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01067 MKS 627A1TAB S BARATRO USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01067 MKS 627A.1TBD BARATRON USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01054 MKS 624A13TBC BARATRON USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01026 MKS 627A01TBC GAUGE USED WORKING
sparepartssolution NEW - $600.00 0 May/01/14 Sep/02/15
Description: (101-0301) AMAT APPLIED MATERIALS 0225-33295 122BA-00010BB GAUGE USED WORKING
sparepartssolution Used - $300.00 0 May/01/14 Oct/13/14
Description: (101-0202) AMAT APPLIED MATERIALS 0190-01186 SENSOR,HX/FCW FLOWSWI USED WORKING
sparepartssolution Used - $300.00 0 May/01/14 Sep/02/15
Description: (101-0202) AMAT APPLIED MATERIALS 0190-00544 SENSOR,HX/FCW FLOWSWI USED WORKING
sparepartssolution Used - $300.00 0 May/01/14 Sep/02/15
Description: (101-0202) AMAT APPLIED MATERIALS 0010-02509 ASSY, FCW VALVE W/FLO USED WORKING
usedeqsales Used - $1,005.14 0 May/01/14 May/01/15
Description: AMAT Applied Materials 0150-17462 Watlow Regal N2 Heater Used Working
usedeqsales Used - $1,005.14 0 May/01/14 May/01/15
Description: AMAT Applied Materials 0150-17461 Watlow Regal N2 Heater Used Working
usedeqsales Used - $3,005.14 0 May/02/14 May/08/14
Description: Comdel FP0213RK RF Power Source CPS1001S AMAT 0190-70099 Tested Working
usedeqsales Used - $2,505.14 1 May/02/14 Jun/28/14
Description: ACG-6B ENI ACG-6B-02 RF Generator AMAT 0190-36686 Tested Working
usedeqsales Used - $405.14 2 May/02/14 May/09/14
Description: Levitronix 100-10001 Pump Motor BSM-3.2 AMAT 3620-99071 Used Working
usedeqsales Used - $255.14 0 May/16/14 May/04/15
Description: Aera FC-PA7810C-BA Mass Flow Controller AMAT 3030-16525 Used Working
usedeqsales Used - $255.14 0 May/16/14 May/04/15
Description: Aera FC-PA7810C-BA Mass Flow Controller AMAT 3030-13508 Used Working
usedeqsales Used - $126.28 0 May/16/14 Dec/10/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-04795 Used Working
usedeqsales Used - $505.14 0 May/16/14 Jul/18/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-04800 Used Working
systasemi Used - $3,500.00 0 May/11/14 Jun/10/14
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
usedeqsales Used - $255.14 0 May/19/14 May/04/15
Description: Celerity UFC-3165 Mass Flow Controller AMAT 0190-15026 Used Working
usedeqsales Used - $202.06 0 May/19/14 Oct/06/14
Description: Celerity UFC-3165 Mass Flow Controller AMAT 0190-24671 Used Working
usedeqsales Used - $505.14 1 May/19/14 Mar/23/15
Description: Horiba STEC IV-2410AV Injection Valve AMAT 3870-04383 Used Working
usedeqsales Used - $505.14 1 May/19/14 Aug/08/14
Description: Horiba STEC SEC-4400M Mass Flow Controller AMAT 3030-12517 Used Working
usedeqsales Used - $255.14 0 May/19/14 May/04/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 0190-16327 Used Working
usedeqsales Used - $255.14 0 May/19/14 May/04/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 0190-12576 Used Working
usedeqsales Used - $3,505.14 0 May/19/14 Feb/11/16
Description: Novellus 02-034310-00 Module Controller P100 Rev. K Used Working
usedeqsales Used - $511.09 9 May/19/14 Feb/21/19
Description: AMAT Applied Materials 9090-00093 daq MkII type H Power Supply XR80 Used Working
convertechs3 Used - $1,174.99 0 May/19/14 May/26/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
usedeqsales Used - $705.14 0 May/20/14 May/04/15
Description: AMAT Applied Materials 0090-90967 daq MKII type H Power Supply XR80 Used Working
usedeqsales Used - $305.14 0 May/20/14 May/05/15
Description: AMAT Applied Materials 0120-00415 G2/G3 Protection And Delatch PCB Used Working
usedeqsales Used - $3,505.14 1 May/20/14 May/25/14
Description: NSK RS0810FN544 Megatorque Motor And Driver AMAT 0190-14344 Used Working
usedeqsales Used - $505.14 1 May/20/14 May/21/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15461 Used Working
usedeqsales Used - $505.14 1 May/20/14 May/21/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15725 Used Working
usedeqsales Used - $255.14 0 May/20/14 May/04/15
Description: Aera FC-PA7820C-6BM Mass Flow Controller AMAT 3030-15732 Used Working
usedeqsales Used - $405.14 0 May/20/14 May/05/15
Description: MKS Instruments Type 683 Throttle Control Valve AMAT 3870-03835 Used Working
usedeqsales Used - $305.14 0 May/21/14 Sep/02/14
Description: Granville-Phillips 010863-60 Ion Gauge Cable AMAT 0190-21305 Used Working
usedeqsales Used - $1,010.13 1 May/22/14 May/01/15
Description: VAT 10846-XE28-ANL1 Gate Valve AMAT 0190-37618 Copper Exposed Used Working
usedeqsales Used - $2,503.14 1 May/22/14 Mar/04/18
Description: Novellus 02-252432-00 C3 Vector Spindle Assembly Rev. G Used Working
systasemi Used - $700.00 0 May/22/14 Jun/21/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
usedeqsales Used - $152.57 2 May/23/14 Dec/17/14
Description: TRU 10762200 10m RF Cable AMAT 0620-03165 Used Working
convertechs3 Used - $1,199.99 0 May/26/14 Jun/25/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
usedeqsales Used - $305.14 3 May/27/14 Jul/13/14
Description: TRU 0620-02935 24m RF Coax Cable AMAT Applied Materials Used Working
usedeqsales Used - $605.14 1 May/28/14 May/28/14
Description: AMAT Applied Materials 322095 Pedestal Lift 0010-13927 Endura Used Working
usedeqsales Used - $3,504.14 0 May/29/14 May/30/14
Description: Neslab 327099991701 Heat Exchanger Chiller AMAT 0190-76118 Used Working
usedeqsales Used - $3,005.14 1 May/29/14 Oct/08/14
Description: Comdel FP0213RK RF Power Source CPS1001S AMAT 0190-70099 Tested Working
usedeqsales Used - $3,205.14 0 May/29/14 May/01/15
Description: OEM-12B ENI OEM-12B-02 RF Plasma Generator AMAT 0190-70080 Tested Working
used1eqsales Used - $377.14 1 Jul/30/14 May/02/17
Description: Festo Air Regulator Assembly 3800-00296 AMAT Quantum X used working
used1eqsales Used - $1,753.57 1 Jul/30/14 Jan/23/15
Description: AMAT Quantum X 9090-01385 62 Series Res & Voltage Strek Rev. B used working
usedeqsales Used - $3,007.14 2 Jul/30/14 Aug/06/14
Description: Comdel FP0213RJ RF Power Supply CPS1001S AMAT 0190-70099 Tested Working
usedeqsales Used - $3,507.14 0 Jul/29/14 May/01/15
Description: DCG-200Z ENI DC22M-Z041300111A DC Plasma Generator AMAT 0190-07961 Used Working
usedeqsales Used - $2,007.14 1 Jul/29/14 Aug/05/14
Description: OMS Oregon Micro System SPC0005 PCB Card VMEX AMAT 0190-76005 Used Working
convertechs3 Used - $999.99 0 Jul/24/14 Aug/23/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
systasemi Used - $700.00 0 Jul/23/14 Aug/22/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
used1eqsales Used - $903.21 3 Jul/31/14 Mar/27/15
Description: Motorola VME162PA 344SE VME CPU PCB 9240-06323 AMAT Quantum X used working
used1eqsales Used - $507.14 0 Jul/31/14 May/26/17
Description: AMAT Quantum X 9090-00879 Gas Interlock Chassis Module Rev. B used working
used1eqsales Used - $1,128.21 0 Jul/31/14 Mar/31/15
Description: HiTek 1140-00166 Power Supply A1028760 AMAT Quantum X used working
used1eqsales Used - $1,378.93 1 Jul/31/14 Apr/21/15
Description: HiTek 9090-00801 Power Supply A1031250 AMAT Quantum X used working
usedeqsales Used - $507.14 1 Aug/01/14 Aug/02/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15725 Used Working
usedeqsales Used - $258.14 0 Aug/01/14 May/04/15
Description: Horiba STEC SEC-7440M Mass Flow Controller AMAT 3030-08510 Used Working
usedeqsales Used - $127.04 0 Aug/01/14 Dec/09/14
Description: Horiba STEC SEC-7440M Mass Flow Controller AMAT 3030-05153 Used Working
systasemi Used - $7,000.00 0 Aug/03/14 Sep/02/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $507.14 1 Aug/04/14 Aug/06/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15725 Used Working
usedeqsales Used - $2,507.14 1 Aug/04/14 Aug/14/14
Description: Brooks Automation ESC-201B Pre-aligner Controller AMAT 0520-90033 Used Working
keykorea Used - $4,500.00 0 Aug/04/14 Sep/10/14
Description: AMAT 0190-14383 Malema MFC-8000-T2104-052-P-001 FLOW CONTROLLER, WORKING
usedeqsales Used - $1,908.14 1 Aug/05/14 Aug/11/14
Description: Alcatel 112123 Turbopump Controller ACT 1300M AMAT 3620-00527 Tested Working
usedeqsales Used - $1,508.14 6 Aug/05/14 Nov/25/14
Description: AE Advanced Energy 1110-00059 RF Match FixedMatch 3155094-007 AMAT Used Working
usedeqsales Used - $7,008.14 0 Aug/05/14 Apr/30/15
Description: ATH 1600 M Alcatel P65621B4 Turbomolecular Pump AMAT 3620-00426 Tested Working
oautomation Used - $1,899.99 0 Aug/05/14 Aug/06/14
Description: NSK RS0810FN544 Megatorque Motor AMAT 0190-14344 Used Working
usedeqsales Used - $2,508.14 1 Aug/06/14 Aug/07/14
Description: GE Fanuc VME-7645-130L05 SBC Single Board Computer AMAT 0190-19549 Used Working
usedeqsales Used - $408.14 0 Aug/06/14 May/05/15
Description: Glentek 11564022 Amplifier PCB Card SMA8515HP11-3U AMAT 0540-00091 Used Working
usedeqsales Used - $407.13 1 Aug/06/14 Apr/01/15
Description: MKS Instruments 253B-23957 Throttle Control Valve AMAT 3870-03328 Used Working
usedeqsales Used - $508.14 0 Aug/06/14 May/04/15
Description: MKS Instruments 649A21T11CAFR-S Pressure Controller AMAT 0010-02513 Used Working
usedeqsales Used - $308.14 0 Aug/06/14 May/05/15
Description: Inficon 0190-19964 Foreline Heated Extended Bellows AMAT Used Working
usedeqsales Used - $3,008.14 1 Aug/07/14 Oct/13/14
Description: Leybold NT 2000 M Turbo Controller MAG.DRIVE L AMAT 3620-01462 Tested Working
usedeqsales Used - $3,008.14 0 Aug/07/14 Aug/15/14
Description: Comdel FP0213RI RF Power Source CPS1001S AMAT 0190-70099 Tested Working
usedeqsales Used - $3,208.14 1 Aug/07/14 Sep/18/14
Description: Hamar Laser L-730 Leveling Laser Alignment System AMAT 0242-72362 Used Working
usedeqsales Used - $3,508.14 0 Aug/07/14 Feb/11/15
Description: Kawasaki 3NS001S-L003 300mm NF Prealigner AMAT 0190-14786 Used Working
usedeqsales Used - $408.14 0 Aug/07/14 May/05/15
Description: Nor-Cal 3870-00859 Adaptive Pressure Controller Intellisys AMAT Used Working
usedeqsales Used - $3,506.11 0 Aug/15/14 May/01/15
Description: AMAT Applied Materials 0010-28724 RF Match Vectra IMP Source 300mm Used Working
usedeqsales Used - $3,808.14 0 Aug/15/14 Dec/23/20
Description: AMAT Applied Materials 6-0000-4663-PC Transfer Robot 0195-02883 Used Working
used1eqsales Used - $754.07 1 Aug/14/14 Jan/23/15
Description: AMAT 0100-01109 Load Circuit Board Rev. B AMAT Quantum X used working
used1eqsales Used - $1,508.14 1 Aug/14/14 Sep/15/14
Description: AMAT 9090-00785 High Voltage Module AMAT Quantum Leap 3 used working
used1eqsales Used - $678.66 0 Aug/14/14 Dec/12/14
Description: AMAT 9010-00504 0020-81401 Electron Assembly AMAT Quantum Leap 3 used working
rfsurplus123 Used - $500.00 1 Aug/13/14 Sep/13/14
Description: Applied Materials AMAT ESC Remote Box Assembly 0010-14507 Used Working
usedeqsales Scrap, for parts - $3,508.14 0 Aug/15/14 May/01/15
Description: DCG-200Z ENI DC21M-Z041300110A DC Plasma Generator AMAT 0190-08279 Used Working
usedeqsales Used - $7,008.14 0 Aug/15/14 Apr/30/15
Description: ATH 1600 M Alcatel P65621B2 Turbomolecular Pump AMAT 3620-00192 Used Working
usedeqsales Used - $180.00 0 Aug/14/14 Apr/15/15
Description: Celerity UFC-3101 Mass Flow Controller AMAT 3030-06292 Used Working
used1eqsales Used - $903.66 0 Aug/15/14 Aug/19/15
Description: AMAT 9090-00742 Beamline Monitoring Module Rev. B Quantum Leap 3 used working
used1eqsales Used - $6,008.14 0 Aug/19/14 May/26/17
Description: AMAT 0010-22568 Chamber Source Rev 001 AMAT Endura 300mm used working
usedeqsales Used - $808.14 2 Aug/20/14 Jan/21/20
Description: Lam Research 810-017075-003 Gas Panel Interlock PCB Rainbow 4420 Used Working
systasemi Used - $3,000.00 0 Aug/20/14 Sep/19/14
Description: AE MERCURY 3013 MATCH / 27-255873-00 / RF MATCER / NOVELLUS SPD-S C2, Working
usedeqsales Used - $3,505.14 1 Aug/21/14 Oct/19/14
Description: Novellus 02-406848-00 MC3R Module Controller Assembly 75-322679-00 Used Working
usedeqsales Used - $2,008.14 2 Aug/22/14 Nov/17/14
Description: Lam Research 832-038915-001 RF Match Network Poly Etch Autotuner Used Working
usedeqsales Used - $2,508.14 0 Aug/22/14 Jun/01/23
Description: Novellus 02-324753-00 C3 Vector Spindle Assembly Rev. 2 Used Working
usedeqsales Used - $408.14 3 Aug/22/14 Mar/17/23
Description: Lam Research 853-015686-005 Power Module Rev. B Used Working
usedeqsales Used - $2,508.14 3 Aug/22/14 Feb/23/16
Description: AMAT Applied Materials 300750 RF Match Vectra IMP Source 0010-34895 Used Working
usedeqsales Used - $608.14 0 Aug/22/14 Jun/01/23
Description: Lam Research 810-800082-029 VME Breakout KIY045 PCB 710-800082-029 Used Working
usedeqsales Used - $808.14 3 Aug/22/14 Jul/02/22
Description: Lam Research 810-800081-013 P2 MB VME PCB 710-800081-013 Used Working
convertechs3 Used - $984.99 0 Aug/23/14 Sep/22/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
keykorea Used - $2,500.00 3 Aug/25/14 Apr/27/17
Description: AMAT 0760-01037 CPLG UNION ROTARY 4-PORT, WORKING
systasemi Used - $700.00 0 Aug/25/14 Sep/24/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
usedeqsales Used - $3,855.70 0 Aug/25/14 Oct/26/15
Description: CTI-Cryogenics 0190-25015 Cryopump On-Board IS-8F AMAT Used Working
usedeqsales Used - $408.14 1 Aug/25/14 Jun/01/23
Description: Lam Research 810-802901-300 MB Node 1 PM Common PCB 710-802901-300 Used Working
usedeqsales Used - $408.14 1 Aug/26/14 Feb/05/18
Description: Lam Research 810-802901-307 MB Node 1 PM Common PCB 710-802901-307 Used Working
usedeqsales Used - $708.14 1 Aug/26/14 Jan/17/17
Description: Lam Research 810-800256-005 Node Board Type 3 PCB 800256-005 Used Working
usedeqsales Used - $1,508.14 0 Aug/26/14 Apr/17/15
Description: HiTek Power A1031250 High Voltage Power Supply AMAT 9090-00801 Used Working
usedeqsales Used - $1,808.14 0 Aug/26/14 Apr/17/15
Description: HiTek Power A1030770 High Voltage Power Supply AMAT 9090-00801 Used Working
usedeqsales Used - $2,008.14 0 Aug/26/14 May/01/15
Description: Comet 20045812 RF Match Box AMAT 0190-39689 Used Working
usedeqsales Used - $3,508.14 2 Aug/26/14 Feb/26/15
Description: AE Advanced Energy 3155031-020 RF Match Navigator AMAT 0190-01371 Used Working
usedeqsales Used - $3,508.14 1 Aug/26/14 Dec/05/14
Description: AE Advanced Energy 3155031-039 RF Match Navigator AMAT 0190-16215 Used Working
usedeqsales Used - $428.14 0 Aug/27/14 May/05/15
Description: MKS Instruments MFVA-27960 Mass Flow Verifier πMFV AMAT 0190-26370 Used Working
usedeqsales Used - $511.13 0 Aug/27/14 May/04/15
Description: MKS Instruments 640A-27996 Pressure Controller AMAT 1350-00654 Used Working
usedeqsales Used - $508.14 0 Aug/27/14 Sep/12/14
Description: Unit Instruments UFC-8161 Mass Flow Controller AMAT 3030-00171 Used Working
usedeqsales Used - $308.14 4 Aug/27/14 Mar/11/15
Description: Inficon 0190-26328 Ion Universal Gauge BPG400 AMAT Used Working
usedeqsales Used - $308.14 2 Aug/27/14 Oct/15/15
Description: Inficon 0190-26769 Ion Universal Gauge BPG400 AMAT Used Working
usedeqsales Used - $258.14 0 Aug/27/14 May/04/15
Description: Aera FCPIDN980C-ABA Mass Flow Controller AMAT 0190-27882 Used Working
usedeqsales Used - $808.14 6 Aug/27/14 Jul/28/18
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 1 810-17031-4 Used Working
usedeqsales Used - $808.14 0 Aug/27/14 Dec/13/19
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 01 810-17031 Used Working
usedeqsales Used - $808.14 1 Aug/27/14 Dec/13/19
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A 810-17031 Used Working
usedeqsales Used - $4,008.14 0 Aug/28/14 Sep/05/14
Description: AX8400 MKS AX8407A Ozone Generator Rev. G AMAT 0190-17159 Used Tested Working
usedeqsales Used - $258.14 0 Aug/28/14 May/04/15
Description: STEC SEC-7330M Mass Flow Controller SEC-7330 AMAT 3030-10853 Used Working
usedeqsales Used - $4,008.14 0 Aug/28/14 Sep/05/14
Description: AX8400 MKS AX8407A Ozone Generator Rev. H AMAT 0190-17159 Used Tested Working
used1eqsales Used - $629.07 1 Aug/28/14 Sep/23/16
Description: AMAT 9010-01382 Gas Module SiF4 AMAT Quantum X Gas Cabinet used working
usedeqsales Used - $4,006.51 0 Aug/29/14 Sep/08/14
Description: Turbovac 361C Leybold Oerlikon 85675 AMAT 0150-14879 Turbo Pump Used Working
usedeqsales Used - $508.14 0 Aug/29/14 May/04/15
Description: Horiba STEC IV-2410AV-03 Injection Valve AMAT 3030-36238 Used Working
usedeqsales Used - $4,508.14 1 Aug/29/14 Sep/01/14
Description: Yaskawa XU-RCM6841 Wafer Handling Robot AMAT 0190-14738 Used Working
keykorea Used - $6,500.00 0 Aug/31/14 Feb/20/17
Description: AMAT 0010-77769 INTERFACE SYSTEM (SET), WORKING
usedeqsales Used - $1,908.14 0 Sep/02/14 Apr/30/15
Description: ACT 1300M Alcatel Turbopump Controller 112123 AMAT 3620-00527 Used Working
usedeqsales Used - $7,504.14 1 Sep/02/14 Nov/06/14
Description: CTI-Cryogenics 8135900G001 Cryo-Compressor 9600 AMAT 3620-01389 Used Working
usedeqsales Used - $612.11 0 Sep/02/14 May/04/15
Description: SWEP B25Hx16/1P-SC-S Heat Exchanger Element AMAT 3380-00069 Used Working
used1eqsales Used - $2,254.11 1 Sep/02/14 Dec/16/14
Description: AMAT 9010-02164 Flight Tube Assembly Rev C Issue E used working
visionsemi Used - $2,950.00 2 Sep/02/14 Nov/13/14
Description: AMAT Applied Materials Endura RF Match Vectra IMP Source 0010-34895 Used Working
used1eqsales Used - $2,509.14 1 Sep/02/14 May/26/17
Description: AMAT 0010-24210 Centura 4.0 FI Controller Rev 001 CP3308-S000535 used working
systasemi Used - $7,000.00 0 Sep/02/14 Oct/02/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $258.14 0 Sep/04/14 May/04/15
Description: Horiba STEC SEC-Z11DWM Mass Flow Controller AMAT 0190-19036 Used Working
usedeqsales Used - $407.14 0 Sep/04/14 Sep/16/14
Description: MKS Instruments 683B-26787 Throttle Control Valve AMAT 0190-23764 Used Working
usedeqsales Used - $258.14 0 Sep/04/14 May/04/15
Description: Horiba STEC SEC-Z11DWM Mass Flow Controller AMAT 0190-19042 Used Working
usedeqsales Used - $258.14 0 Sep/04/14 May/04/15
Description: Horiba STEC SEC-Z11DWM Mass Flow Controller AMAT 3030-15245 Used Working
usedeqsales Used - $609.14 4 Sep/04/14 Dec/02/14
Description: SMC 0190-35197 20-Port Pneumatic PJ2 Manifold G AMAT Used Working
usedeqsales Used - $2,509.14 0 Sep/05/14 May/01/15
Description: AMAT Applied Materials 0010-01929 RF Match HE BESC Used Working
usedeqsales Used - $2,509.14 0 Sep/05/14 Nov/13/14
Description: AMAT Applied Materials 0010-19086 RF Match Vectra IMP Source Used Working
usedeqsales Used - $2,509.14 0 Sep/05/14 May/01/15
Description: AMAT Applied Materials 0010-36162 Simple Cathode RF Match Used Working
usedeqsales Used - $1,009.14 0 Sep/05/14 Dec/04/14
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 001 Used Working
usedeqsales Used - $1,009.14 0 Sep/05/14 Dec/04/14
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 002 Used Working
usedeqsales Used - $1,209.14 0 Sep/08/14 May/01/15
Description: Celerity 9010-02456 Autoclean Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $1,209.14 0 Sep/08/14 May/01/15
Description: Celerity 9010-02455 Autoclean Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $2,009.14 0 Sep/08/14 May/01/15
Description: Leybold 400036V0024 Turbomolecular Pump Cable AMAT 9150-05306 Used Working
usedeqsales Used - $2,009.14 0 Sep/08/14 May/01/15
Description: Leybold 400036V0010 Turbomolecular Pump Cable AMAT 9150-05305 Used Working
usedeqsales Used - $1,209.14 0 Sep/08/14 May/01/15
Description: Celerity 9010-02214 Autoclean Gas Panel AMAT Quantum X Used Working
used1eqsales Used - $1,129.11 1 Sep/08/14 Apr/08/15
Description: AMAT 0010-12411 Centura 4.0 FI Controller Rev 001 CP3308-S000361 used working
usedeqsales Used - $409.14 0 Sep/08/14 May/05/15
Description: AMAT Applied Materials 0150-76869 Centura Mainframe Cable Harness Used Working
usedeqsales Used - $409.14 0 Sep/08/14 May/05/15
Description: Celerity 9240-05462 Mass Flow Controller Gas Panel AMAT Quantum X Used Working
used1eqsales Used - $2,509.14 1 Sep/08/14 Dec/04/14
Description: AMAT 0010-27131 Centura 4.0 FI Controller Rev 001 CP3308-S000557 used working
usedeqsales Used - $509.14 1 Sep/09/14 Mar/12/15
Description: Celerity UFC-1662L Mass Flow Controller UFC-1662 AMAT 3030-12595 Used Working
usedeqsales Used - $1,209.14 0 Sep/09/14 May/01/15
Description: Celerity 9010-01379 Autoclean Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $8,509.14 1 Sep/09/14 Mar/24/15
Description: SMC INR-498-001B Recirculating Thermo Chiller AMAT 0190-00404 Used Working
yayais2012 Used - $150.00 0 Sep/10/14 Oct/10/14
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $1,609.14 0 Sep/10/14 May/01/15
Description: AMAT Applied Materials 0190-38275 Lamp Driver Box Assembly Used Working
usedeqsales Used - $1,209.14 0 Sep/10/14 May/01/15
Description: Control Concepts 3096-1007 SCR Power Controller AMAT 0190-14927 Used Working
used1eqsales Used - $2,209.14 0 Sep/30/14 May/26/17
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
used1eqsales Used - $3,009.14 1 Sep/30/14 Nov/12/15
Description: Novellus 02-169180-01 C3 Vector LTM R L K VCTR 101079 Assy LAM working
convertechs3 Used - $959.99 0 Sep/30/14 Oct/07/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
used1eqsales Used - $2,509.14 0 Sep/30/14 May/26/17
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
convertechs3 Used - $949.99 0 Oct/07/14 Oct/14/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
systasemi Used - $7,000.00 0 Oct/06/14 Nov/05/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
used1eqsales Used - $1,755.07 5 Oct/10/14 Jan/22/15
Description: Brooks 013089-098-20 Load Port Fixload V6 Novellus 04-255330-00 used working
yayais2012 Used - $150.00 0 Oct/10/14 Nov/09/14
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $1,512.14 0 Dec/04/14 May/01/15
Description: Square D 30299-083 Seriplex PCB Card SPX VME6U1V2 AMAT 0190-01405 Used Working
systasemi Used - $700.00 0 Dec/01/14 Dec/31/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
usedeqsales Used - $612.14 0 Dec/04/14 May/01/15
Description: Granville-Phillips 332134 IGC PCB Card AMAT 0660-01647 Used Working
usedeqsales Used - $2,512.14 0 Dec/04/14 Dec/15/14
Description: RadiSys PFS-003-02 Single Board Computer SBC 486 AMAT 0190-00318 Used Working
usedeqsales Used - $1,012.14 0 Dec/04/14 May/01/15
Description: GE Fanuc VMICPCI-7325-259 SBC Single Board Computer AMAT 0090-04405 Used Working
used1eqsales Used - $1,252.14 0 Dec/04/14 May/26/17
Description: AMAT 9010-02266 Celerity Gas Card N2 AMAT Quantum X Gas Box working
used1eqsales Used - $1,252.14 0 Dec/04/14 May/26/17
Description: AMAT 9010-01382 Celerity Gas Card SiF4 AMAT Quantum X Gas Box working
used1eqsales Used - $1,252.14 0 Dec/04/14 May/26/17
Description: AMAT 9010-02276 Celerity Gas Card CO2 AMAT Quantum X Gas Box working
usedeqsales Used - $2,512.14 1 Dec/04/14 Dec/15/14
Description: AMAT Applied Materials 0100-00793 VGA Video Controller PCB Card Used Working
usedeqsales Used - $612.14 0 Dec/04/14 Mar/03/15
Description: AMAT Applied Materials 0100-35054 DCVD Chamber Interface PCB Card Used Working
used1eqsales Used - $337.57 3 Dec/04/14 Feb/05/15
Description: AMAT Gap Servo 0100-00991 PCB AMAT Quantum X Beamline Control Rack used working
usedeqsales Used - $512.14 0 Dec/04/14 Mar/06/15
Description: AMAT Applied Materials 0100-00979 Stepper Controller PCB Card Used Working
usedeqsales Used - $512.14 0 Dec/04/14 May/01/15
Description: AMAT Applied Materials 0100-01717 Gas Exhaust Safety Relay PCB Used Working
usedeqsales Used - $512.14 0 Dec/04/14 Apr/25/17
Description: AMAT Applied Materials 0100-00003 Stepper Drive PCB Card Used Working
usedeqsales Used - $212.14 0 Dec/05/14 May/01/15
Description: AMAT Applied Materials 0100-20191 486PC P2 Distribution PCB Board Used Working
used1eqsales Used - $1,002.57 1 Dec/05/14 Oct/28/15
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev B Quantum X Gas Box used working
used1eqsales Used - $1,002.57 1 Dec/05/14 Sep/27/16
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev A Quantum X Gas Box used working
usedeqsales Used - $2,512.14 2 Dec/05/14 Mar/12/15
Description: AMAT Applied Materials 0010-22225 RF Match Reactive Preclean Used Working
used1eqsales Used - $455.46 0 Dec/05/14 Apr/09/15
Description: AMAT 9090-00976 Arc Control DSP PCB Revision B Quantum X Gas Box used working
usedeqsales Used - $5,258.50 0 Dec/05/14 Dec/30/14
Description: CTI-Cryogenics 3620-01471 Cryo-Compressor With GFI 9600 AMAT Used Working
usedeqsales Used - $2,012.14 0 Dec/05/14 May/01/15
Description: Yaskawa XU-ACL4240 Linear Robot Track AMAT 0190-31509 Used Working
usedeqsales Used - $1,112.14 0 Dec/05/14 Dec/10/14
Description: Malema MFC-8000-T2104-052-P-001 Flow Controller AMAT 0190-14383 Used Working
usedeqsales Used - $1,012.14 0 Dec/08/14 Dec/16/20
Description: Novellus 02-834310-00 Module Controller P100/16M Concept II Altus Used Working
usedeqsales Used - $2,512.14 1 Dec/08/14 Jan/25/15
Description: Animatics CDP2407-1 Novellus 27-050014-01 Concept II Altus Used Working
usedeqsales Used - $4,512.14 1 Dec/09/14 Jan/20/15
Description: ENI OEM-28B-02 Power Generator OEM-28B Rev M AMAT 0190-01264 Used Tested Working
usedeqsales Used - $1,212.14 1 Dec/09/14 Jan/09/15
Description: AE Advanced Energy 3155094-007 RF Match FixedMatch AMAT 1110-00059 Used Working
usedeqsales Used - $1,012.14 0 Dec/09/14 Dec/10/14
Description: Deublin 20003-100 4-Port Rotary Union AMAT 0760-01037 Used Working
usedeqsales Used - $2,012.14 0 Dec/09/14 May/11/15
Description: Kensington 15-4000-0001-00 Servo Controller M4000 AMAT 0190-23563 Used Working
usedeqsales Used - $3,512.14 2 Dec/10/14 Feb/10/15
Description: PRI Automation ESC-212B-S293 Controller AMAT 0520-00022 Used Working
usedeqsales Used - $3,012.14 2 Dec/10/14 Dec/12/14
Description: ASTeX AX8403A Ozone Generator Rev. S AMAT 0190-36233 Used Tested Working
usedeqsales Used - $2,012.14 0 Dec/10/14 May/01/15
Description: Kensington 25-4021-0015-05 Servo Controller 4000D AMAT 0190-23562 Used Working
usedeqsales Used - $2,512.14 0 Dec/10/14 May/01/15
Description: AMAT Applied Materials 0010-39207 RF Match eMAX Module Used Working
usedeqsales Used - $2,512.14 1 Dec/10/14 Mar/13/15
Description: AMAT Applied Materials 0010-15877 RF Match PVD Biased Electrode Used Working
usedeqsales Used - $3,512.14 0 Dec/10/14 Apr/30/15
Description: Yaskawa XU-CN1112A Robot Controller AMAT 0190-23876 Used Working
yayais2012 Used - $150.00 0 Dec/11/14 Jan/10/15
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $1,012.14 0 Dec/11/14 Dec/15/14
Description: Deublin 2003-100 4-Port Rotary Union AMAT 0760-01037 Used Working
usedeqsales Used - $1,012.14 1 Dec/11/14 May/01/15
Description: Deublin 971-600 Rotary Union AMAT 0190-01973 Used Working
usedeqsales Used - $2,512.14 0 Dec/11/14 Dec/18/14
Description: Daihen ATM-30A Microwave Generator AMAT 0190-02248 Used Working
usedeqsales Used - $2,512.14 0 Dec/12/14 May/01/15
Description: AMAT Applied Materials 0010-33724 High Efficiency RF Match Used Working
usedeqsales Used - $2,512.14 0 Dec/12/14 Jan/11/15
Description: AMAT Applied Materials 0010-21748 RF Match MFG Module Rev. 004 Used Working
usedeqsales Used - $2,512.14 0 Dec/12/14 May/01/15
Description: AMAT Applied Materials 0010-21748 RF Match MFG Module Rev. 005 Used Working
usedeqsales Used - $858.09 6 Sep/03/09 Dec/01/14
Description: AMAT Stepper1 PCB 0100-00003 Working
usedeqsales Used - $508.14 1 Aug/12/14 Nov/21/14
Description: Supermicro 0240-47845 IAPC System Computer AMAT Applied Materials Used Working
usedeqsales Used - $311.14 1 Nov/19/14 Nov/20/14
Description: AMAT Applied Materials 0010-06834 Chamber GFCI Driver RCM470LY-13 Used Working
usedeqsales Used - $611.14 1 Nov/19/14 Nov/19/14
Description: AMAT Applied Materials 0010-20331 Dual Degas Magnet Driver Used Working
usedeqsales Used - $1,010.14 5 Oct/31/14 Nov/05/14
Description: AMAT Applied Materials 0010-12375 4-Port TP UPA Reflexion Rev. 001 Used Working
usedeqsales Used - $510.14 4 Oct/30/14 Nov/01/14
Description: AMAT Applied Materials CESC HV Module Assembly 0010-04926 Used Working
dr.fantom Used - $1,500.00 0 Dec/16/14 Feb/12/15
Description: ASTeX ARX-X491 Microwave Control Module AMAT 0190-00398 Rev N Working!
systasemi Used - $7,000.00 0 Dec/17/14 Jan/16/15
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $612.14 0 Dec/17/14 May/01/15
Description: AMAT Applied Materials 0190-76273 PVD Degas Driver P1183-208/208 Used Working
usedeqsales Used - $1,012.14 0 Dec/17/14 May/01/15
Description: SMC XLFC-160D-X890 High Vacuum Angle Valve AMAT 3870-04164 Used Working
usedeqsales Used - $11,012.10 0 Dec/18/14 Dec/31/14
Description: GHW-50 ENI GHW50A-13DF3H0-005 Genesis RF Generator AMAT 0190-15319 Used Working
usedeqsales Used - $1,012.14 0 Dec/18/14 May/01/15
Description: Supermicro CSE-111 SuperChassis Server AMAT 0090-06587 Lot of 5 Used Working
usedeqsales Used - $3,003.13 1 Dec/03/14 Dec/18/14
Description: ENI MWD-25LD-02 RF Matching Network TEL Tokyo Electron Unity II Used Working
used1eqsales Used - $375.14 0 Dec/18/14 May/26/17
Description: Lam Research 839-021113-002 Silicon Electrode cleaned used working
usedeqsales Used - $2,512.14 0 Dec/22/14 May/01/15
Description: AMAT Applied Materials 0010-01929 RF Match H.E. BESC Rev. 002 Used Working
usedeqsales Used - $2,512.14 1 Dec/22/14 Dec/24/14
Description: AMAT Applied Materials 0010-20524 RF Match PVD Modified Used Working
used1eqsales Used - $612.14 1 Dec/23/14 Apr/30/15
Description: AMAT 0010-25624 300mm Slit Valve Assy 0010-26749 AMAT Endura used working
usedeqsales Used - $1,512.14 4 Dec/23/14 Dec/25/14
Description: Deublin 20004-715 6-Port Rotary Union AMAT 0190-28291 Used Working
usedeqsales Used - $1,512.14 4 Dec/23/14 Dec/25/14
Description: Deublin 20003-100 4-Port Rotary Union AMAT 0760-01037 Used Working
used1eqsales Used - $185.46 1 Dec/23/14 Jun/14/15
Description: AMAT 0040-50505 Pneumatic Linear Actuator Rev 002 AMAT Endura used working
usedeqsales Used - $512.14 0 Dec/26/14 May/01/15
Description: Thornton 742-A01 DOT Two Resistivity Controller AMAT 0020-22584 Used Working
used1eqsales Used - $3,812.14 0 Dec/26/14 Feb/16/15
Description: AMAT 0010-14560 Dome Temp Control Revision 005 AMAT Centura used working
usedeqsales Used - $802.12 0 Dec/29/14 Feb/02/15
Description: AMAT Applied Materials 9090-00668 Scan Optimization Module XR80 Used Working
usedeqsales Used - $712.13 0 Dec/29/14 May/01/15
Description: Animatics SM3410-APPMAT1 AC Servo Motor SmartMotor AMAT 1080-00125 Used Working
usedeqsales Used - $3,512.14 1 Dec/30/14 Dec/30/14
Description: MDX-L12 AE Advanced Energy 3152344-200 AMAT 0190-24702 Used Tested Working
usedeqsales Used - $762.14 3 Dec/30/14 Jan/05/15
Description: Edwards P035Y003B031-3 Turbo Pump Cable 20m P035P AMAT 0620-02695 Used Working
usedeqsales Used - $1,012.14 2 Dec/30/14 Dec/31/14
Description: Deublin 20004-715 6-Port Rotary Union AMAT 0190-28291 Used Working
usedeqsales Used - $412.14 0 Dec/31/14 May/01/15
Description: Nordson 1075689A Phase Control Board AMAT 0190-34285 Used Working
usedeqsales Used - $2,512.14 0 Dec/31/14 May/01/15
Description: AMAT Applied Materials 0010-09750 RF Match CVD Automatch Rev. K Used Working
carlissa84 NEW - $1,000.00 0 Dec/31/14 Jan/30/15
Description: Mirra Fabs Load AMAT 0020-51397 RAE-03773 RINGER, ROBOT, WORKING
carlissa84 Used - $500.00 0 Dec/31/14 Jan/30/15
Description: Mirra Fabs Loader AMAT 0020-51397 RAE-03773 RINGER, ROBOT, WORKING
usedeqsales Used - $3,512.14 1 Dec/31/14 Jan/01/15
Description: AMAT Applied Materials 0010-13623 RF Match Vectra IMP Source Used Working
usedeqsales Used - $3,512.14 0 Dec/31/14 Apr/30/15
Description: MKS FI20160-2 Microwave Power Supply SmartPower AMAT 0920-01110 Used Working
usedeqsales Used - $3,512.14 0 Jan/02/15 Apr/30/15
Description: Yaskawa XU-ACP4861 Wafer Prealigner AMAT 0190-19918 Used Working
systasemi Used - $700.00 0 Jan/04/15 Feb/03/15
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
dr.fantom Refurbished - $1,650.00 0 Jan/05/15 Feb/12/15
Description: ASTeX ARX-X491 Microwave Control Module AMAT 0190-00398 Rev E 3KW Working!
usedeqsales Used - $1,001.14 0 Jan/05/15 May/01/15
Description: AMAT Applied Materials 0020-26089 Spin Chuck Used Working
usedeqsales Used - $1,001.15 0 Jan/05/15 May/01/15
Description: Deublin 20212-200 Rotary Union 300mm AMAT 0190-13851 Used Working
usedeqsales Used - $751.15 0 Jan/05/15 Jan/15/15
Description: Edwards P035Y003B031-3 Turbo Pump Cable 20m P035P AMAT 0620-02695 Used Working
usedeqsales Used - $401.15 0 Jan/07/15 May/01/15
Description: Edwards A528444410 im Interface Module AMAT 3620-01425 Used Working
usedeqsales Used - $501.15 1 Jan/07/15 Mar/13/15
Description: Alcatel A214834 1m Turbo Pump Cable ATH1600M AMAT 0620-00127 Used Working
usedeqsales Used - $501.15 1 Jan/07/15 Mar/18/15
Description: Alcatel A214834A 1m Turbo Pump Cable ATH1600M AMAT 0620-00127 Used Working
usedeqsales Used - $2,501.15 1 Jan/07/15 Jan/08/15
Description: ACG-6B ENI ACG-6B-02 RF Generator AMAT 0190-36686 Used Tested Working
usedeqsales Used - $6,501.15 1 Jan/08/15 Apr/01/15
Description: CTI-Cryogenics 3620-00503 Compressor IS-1000 LV AMAT Used Tested Working
usedeqsales Used - $5,511.14 1 Nov/18/14 Jan/08/15
Description: MKS Instruments AX7670-02 Plasma Source ASTRONi AMAT 0920-00092 Used Working
used1eqsales Used - $5,612.14 1 Dec/26/14 Jan/08/15
Description: MKS AX7670-(19)64 Plasma Source Astroni Rev C AMAT Centura used working
yayais2012 Used - $150.00 0 Jan/10/15 Feb/09/15
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $504.11 2 Apr/26/11 Jan/07/15
Description: Granville-Phillips Ion Gauge Cable 20349009-60 Working
usedeqsales Used - $2,501.15 0 Jan/12/15 Jan/15/15
Description: AMAT Applied Materials 0010-12112 PVD RF Match Used Working
usedeqsales Used - $1,009.14 0 Jan/13/15 Mar/24/15
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 002 Used Working
usedeqsales Used - $1,001.15 0 Jan/13/15 Feb/12/15
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 001 Used Working
usedeqsales Used - $2,501.15 0 Jan/14/15 Jan/15/15
Description: AMAT Applied Materials 0010-13602 RF Match PVD High EFF Rev. E4 Used Working
usedeqsales Used - $2,501.15 1 Jan/14/15 Apr/14/15
Description: AMAT Applied Materials 0010-13602 RF Match PVD High EFF Rev. P2 Used Working
usedeqsales Used - $251.15 0 Jan/14/15 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-14768 Ar Used Working
usedeqsales Used - $401.15 0 Jan/14/15 May/05/15
Description: MKS Instruments 253A-11203 Throttle Valve AMAT 3870-01463 Used Working
usedeqsales Used - $251.15 0 Jan/15/15 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-25568 Ar Used Working
usedeqsales Used - $251.15 0 Jan/15/15 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-14765 Ar Used Working
usedeqsales Used - $251.15 0 Jan/15/15 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24839 O2 Used Working
usedeqsales Used - $251.14 0 Jan/15/15 May/01/15
Description: Unit Instruments UFM-1660 Mass Flow Controller AMAT 3030-01966 He Used Working
usedeqsales Used - $1,012.14 1 Dec/01/14 Jan/17/15
Description: AMAT Applied Materials 0100-20173 Stepper Controller PCB Card Used Working
usedeqsales Used - $609.09 1 Sep/08/09 Jan/16/15
Description: Nikon Stepper WY_LSW Switch Box 4S586-952-1 working
usedeqsales Used - $1,251.15 1 Jan/20/15 Mar/04/15
Description: Novellus 02-252369-00 HDSIOC 1 Vector Controller Used Working
usedeqsales Used - $1,001.15 1 Jan/20/15 Jan/26/15
Description: Novellus 15-034104-00 Temperature Plate Used Working
systasemi Used - $7,000.00 0 Jan/20/15 Feb/19/15
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $6,501.15 1 Jan/22/15 Apr/01/15
Description: CTI-Cryogenics 3620-00317 Compressor IS-1000 LV AMAT Used Tested Working
usedeqsales Used - $2,001.15 1 Jan/23/15 Jan/23/15
Description: Asyst 9700-6197-01 200mm SMIF Indexer 220 EP AMAT 0500-00245 Used Working
usedeqsales Used - $601.15 0 Jan/23/15 May/04/15
Description: Fuji Electric SGa404A Earth Leakage Circuit Breaker AMAT 0680-01402 Used Working
usedeqsales Used - $2,501.15 0 Jan/26/15 Jan/29/15
Description: ACG-6B ENI ACG-6B-02 RF Generator Rev. C AMAT 0920-01117 Used Tested Working
usedeqsales Used - $2,501.15 0 Jan/26/15 Jan/29/15
Description: ACG-6B ENI ACG-6B-02 RF Generator AMAT 0190-36686 Used Tested Working
usedeqsales Used - $2,501.15 0 Jan/26/15 Jan/29/15
Description: ACG-6B ENI ACG-6B-02 RF Generator AMAT 0920-01117 Used Tested Working
usedeqsales Used - $401.15 1 Jan/27/15 Mar/25/15
Description: MKS Instruments 253B-26278 Exhaust Throttle Valve AMAT 3870-04517 Used Working
usedeqsales Used - $401.15 1 Jan/27/15 Jan/27/15
Description: Control Instruments SNT476 Sensor Assembly AMAT 0090-35042 Lot of 4 Used Working
carlissa84 Used - $400.00 0 Jan/30/15 Mar/01/15
Description: Mirra Fabs Loader AMAT 0020-51397 RAE-03773 RINGER, ROBOT, WORKING
usedeqsales Used - $502.15 0 Feb/02/15 May/05/15
Description: MKS Instruments AS01391-21 Control PCB Card AMAT 0190-24115 Used Working
usedeqsales Used - $602.15 0 Feb/02/15 May/04/15
Description: Shinko SBX93-100097-14 Single Board Computer SBC AMAT 0190-32697 Used Working
usedeqsales Used - $2,502.15 1 Feb/02/15 Mar/12/15
Description: AMAT Applied Materials 0010-02747 DPS Enhanced RF Match Used Working
usedeqsales Used - $1,502.15 0 Feb/03/15 Feb/04/15
Description: AE Advanced Energy 3155094-003 RF Match FixedMatch AMAT 0090-01419 Used Working
usedeqsales Used - $802.15 1 Feb/04/15 Feb/23/15
Description: Xantrex XHR 600-2 DC Power Supply AMATS AMAT 1140-00152 Used Working
systasemi Used - $700.00 0 Feb/05/15 Mar/07/15
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
usedeqsales Used - $3,306.68 1 Feb/05/15 Feb/26/15
Description: MKS Instruments AX7670-02 Plasma Source ASTRONi AMAT 0920-00092 Used Working
usedeqsales Scrap, for parts - $3,003.13 0 Feb/05/15 May/01/15
Description: SMC INR-498-012C Thermo Chiller AMAT 0190-19632 Tested Not Working As-Is
usedeqsales Used - $3,001.15 1 Feb/05/15 Feb/09/15
Description: CDX-2000 Comdel FP5315RF Dual RF Generator AMAT 0190-76036 Used Tested Working
usedeqsales Used - $5,002.14 0 Feb/05/15 Apr/30/15
Description: CDX-2000 Comdel FP5315RF Dual RF Generator AMAT 0190-76030 Used Tested Working
usedeqsales Used - $1,002.15 0 Feb/06/15 May/01/15
Description: Comdel FP2241R2 LF Fixed Match AMAT 0190-01369 Used Working
usedeqsales Used - $3,202.15 0 Feb/06/15 May/01/15
Description: CLX-2500 Comdel FP1336R6 LF Generator AMAT 0190-23570 Used Tested Working
usedeqsales Used - $1,002.15 1 Feb/06/15 Mar/11/15
Description: NSK RS0810FN544 Megatorque Motor AMAT 1080-00036 Used Working
usedeqsales Used - $3,002.15 0 Feb/06/15 May/01/15
Description: Nor-Cal 0190-19133 Throttling Pendulum Gate Valve AMAT Used Working
usedeqsales Scrap, for parts - $3,502.15 1 Feb/06/15 Feb/06/15
Description: GHW-25Z ENI GHW25Z-2D0F2NT-001 RF Generator AMAT 0190-88806 Not Working As-Is
usedeqsales Used - $1,004.12 0 Feb/06/15 May/01/15
Description: VAT 10846-XE28-ANL1 Gate Valve AMAT 3870-03969 Copper Exposed Used Working
usedeqsales Used - $302.15 0 Feb/09/15 May/04/15
Description: Edwards D02187000 Active Pirani Gauge AMAT 3310-01198 Used Working
yayais2012 Used - $150.00 0 Feb/09/15 Mar/11/15
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $2,011.10 0 Feb/09/15 May/01/15
Description: Kaiser Systems 1100891 Power Supply S1-1-1000 AMAT 1140-01156 Used Working
usedeqsales Used - $150.15 0 Feb/09/15 Apr/30/15
Description: Yaskawa SGDH-08AE-SY905 Servo Drive Servopack AMAT 0190-08005 Used Working
usedeqsales Used - $2,502.15 1 Feb/09/15 Mar/12/15
Description: AMAT Applied Materials 0010-02747 DPS Enhanced RF Match Rev. 03 Used Working
usedeqsales Used - $3,502.15 0 Feb/09/15 May/01/15
Description: MDX Pinnacle AE Advanced Energy 3152412-149 AMAT 0190-08124 Used Tested Working
usedeqsales Used - $650.11 0 Feb/09/15 May/04/15
Description: Delta Tau 603603-103 Main CPCI 96 I/O AMAT 0190-15384 Used Working
usedeqsales Used - $2,502.15 0 Feb/10/15 May/01/15
Description: AMAT Applied Materials 0010-19589 300mm SIP Encore II CU RF Match Used Working
usedeqsales Used - $3,502.15 0 Feb/11/15 Mar/05/15
Description: Edwards SCU-A2203PV Turbomolecular Pump Control AMAT 3620-00093 Used Working
usedeqsales Used - $4,202.15 1 Feb/11/15 Mar/29/15
Description: AE Advanced Energy 3155086-001D RF Match Dome AMAT 1110-01043 Used Working
usedeqsales Used - $2,502.15 0 Feb/11/15 May/01/15
Description: AMAT Applied Materials 0010-30012 Robot Drive Assembly P5000 Used Working
dr.fantom Refurbished - $1,650.00 0 Feb/12/15 Feb/10/20
Description: ASTeX ARX-X491 Microwave Control Module AMAT 0190-00398 Rev E 3KW Working!
dr.fantom Used - $1,500.00 0 Feb/12/15 Feb/10/20
Description: ASTeX ARX-X491 Microwave Control Module AMAT 0190-00398 Rev N 3KW Working!
dr.fantom Used - $1,200.00 1 Feb/12/15 Mar/01/19
Description: Novellus 02-113640-00 Assy SYS CONT P166/64 System Control Working!
usedeqsales Used - $802.15 1 Feb/13/15 Feb/23/15
Description: Sorenson XHR600-2AMATSP DC Power Supply AMAT 1140-00598 Used Tested Working
usedeqsales Used - $702.15 0 Feb/13/15 May/04/15
Description: AMAT Applied Materials 0090-90445 daq MkII type H Power Supply XR80 Used Working
usedeqsales Used - $3,502.15 0 Feb/13/15 May/01/15
Description: Kawasaki 3NS001S-L003 Robot Prealigner 300mm NF AMAT 0190-14786 Used Working
usedeqsales Used - $502.15 0 Feb/17/15 May/05/15
Description: MKS Instruments 640A12TW1V12--S Pressure Controller AMAT 3920-01278 Used Working
usedeqsales Used - $1,202.15 2 Feb/17/15 Jun/22/15
Description: Digital Dynamics Vector HDSIOC 0 Controller Novellus 02-252395-00 used working
usedeqsales Used - $1,202.15 2 Feb/18/15 Jan/04/16
Description: Digital Dynamics Vector HDSIOC 0 Controller Novellus 02-252397-00 Used Working
usedeqsales Used - $1,002.15 0 Feb/18/15 May/01/15
Description: ETO ABX-X299 12V Power Supply PCB Board AMAT 0190-01954 Used Working
carlissa84 NEW - $600.00 0 Feb/19/15 Mar/21/15
Description: Mirra Fabs Load AMAT 0020-51397 RAE-03773 RINGER, ROBOT, WORKING
usedeqsales Used - $4,502.15 0 Feb/19/15 Apr/30/15
Description: Spectrum B-5002 MKS ENI B-5002-02 RF Generator AMAT 0190-15320 Tested Working
usedeqsales Used - $4,502.15 1 Feb/19/15 Feb/19/15
Description: MDX-L12M AE Advanced Energy 3152272-001C AMAT 0190-70087 Used Tested Working
usedeqsales Used - $4,502.15 0 Feb/19/15 Apr/30/15
Description: TDK S2091-86-001 Load Port TAS3000 AMAT 5075-98008 Used Working
usedeqsales Used - $4,502.15 0 Feb/19/15 Apr/30/15
Description: TDK S2091-86-001 Load Port TAS3000 AMAT 0190-16691 Used Working
usedeqsales Used - $3,502.15 0 Feb/20/15 May/01/15
Description: MKS FI20160-2 Microwave Power Supply AMAT 0920-01110 Rev. G Used Working
usedeqsales Used - $3,502.15 0 Feb/20/15 May/01/15
Description: PRI 6-0002-0705-SP Wet Robot AMAT 0195-02882 Used Working
usedeqsales Used - $3,502.15 0 Feb/20/15 Feb/24/15
Description: Brooks Automation 6-0002-0675-SPR Robot AMAT 0520-00021 Used Working
usedeqsales Used - $3,502.15 0 Feb/23/15 May/01/15
Description: MDX Pinnacle AE Advanced Energy 3152412-314 AMAT 0190-25719 Used Tested Working
usedeqsales Used - $2,502.15 0 Feb/24/15 May/01/15
Description: Comet 20062678 High Impedance HF RF Filter Assembly AMAT 0190-41422 Used Working
usedeqsales Used - $2,502.15 0 Feb/24/15 May/01/15
Description: Genesis GHW-12Z ENI GHW12Z-13DF2N0-002 AMAT 0190-25528 Used Tested Working
usedeqsales Used - $502.15 0 Feb/24/15 May/05/15
Description: Nor-Cal 021010-1 Gate Valve AMAT 3870-03322 Used Working
usedeqsales Used - $3,502.15 0 Feb/24/15 May/01/15
Description: DCG-200Z Optima MKS OPT-200Z-00 Master AMAT 0190-27891 Used Tested Working
usedeqsales Used - $7,502.15 0 Feb/24/15 Feb/26/15
Description: Kawasaki 3NS411B-G003 Factory Interface Robot AMAT 0190-27572 Used Working
usedeqsales Used - $2,502.15 0 Feb/25/15 May/01/15
Description: GMS General Micro System V06-05-E SBC PCB AMAT 0100-09002 Used Working
usedeqsales Used - $20,002.20 0 Feb/25/15 Apr/30/15
Description: DBM-2406-V2 Brooks 6-0002-1072-SP Dual Arm Robot AMAT 0520-00046 Used Working
usedeqsales Used - $5,002.15 0 Feb/25/15 Apr/30/15
Description: CDX-2000 Comdel FP5315RG Dual RF Generator AMAT 0190-33755 Used Tested Working
usedeqsales Used - $5,502.15 1 Feb/25/15 Mar/26/15
Description: CTI-Cryogenics 0190-25015 Cryopump On-Board IS-8F AMAT Used Tested Working
usedeqsales Scrap, for parts - $1,502.15 0 Feb/25/15 May/01/15
Description: CLX-2500 Comdel FP1336R6 LF Generator AMAT 0190-23570 Tested Not Working
usedeqsales Scrap, for parts - $2,502.15 0 Feb/25/15 May/01/15
Description: CDX-2000 Comdel FP5315RF Dual RF Generator AMAT 0190-76030 Tested Not Working
usedeqsales Used - $142.15 0 Feb/26/15 Apr/30/15
Description: Celerity AASGDD0W1 Mass Flow Controller AMAT 0190-33091 Used Working
usedeqsales Scrap, for parts - $2,502.15 0 Feb/26/15 Feb/27/15
Description: RFG 2000-2V AE Advanced Energy 3155053-003 AMAT 0920-01115 Tested Not Working
usedeqsales Used - $142.15 0 Feb/27/15 Apr/30/15
Description: Celerity AATGDD0W1 Mass Flow Controller AMAT 0190-33087 Used Working
usedeqsales Used - $142.15 0 Feb/27/15 Apr/30/15
Description: Celerity AAVGDD0W1 Mass Flow Controller AMAT 0190-33088 Used Working
usedeqsales Used - $142.15 0 Feb/27/15 Apr/30/15
Description: Celerity AAPGDD0W1 Mass Flow Controller AMAT 0190-33089 Used Working
usedeqsales Used - $1,002.15 0 Feb/27/15 Mar/04/15
Description: NSK ELA-B014CFH-03 Servo Drive ELA AMAT 0190-11706 Used Working
usedeqsales Used - $252.15 0 Feb/27/15 May/04/15
Description: STEC LF-310A-EVD Liquid Mass Flow Meter AMAT 3030-07719 Used Working
carlissa84 Used - $200.00 0 Mar/01/15 Mar/31/15
Description: Mirra Fabs Loader AMAT 0020-51397 RAE-03773 RINGER, ROBOT, WORKING
systasemi Used - $7,000.00 0 Mar/02/15 Apr/01/15
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $253.15 1 Mar/02/15 Mar/03/15
Description: Horiba STEC SEC-Z512 MGX Mass Flow Controller AMAT 3030-15725 Used Working
usedeqsales Used - $133.15 0 Mar/02/15 Mar/25/15
Description: Horiba STEC SEC-Z512 MGX Mass Flow Controller AMAT 3030-16281 Used Working
usedeqsales Used - $5,503.15 0 Mar/02/15 Mar/03/15
Description: ASTRONAL MKS Instruments FI2060-1-C Rev. D AMAT 0920-00013 Used tested Working
usedeqsales Used - $5,503.15 1 Mar/02/15 Apr/30/15
Description: ASTRONAL MKS Instruments FI2060-1 Rev. D AMAT 0920-00013 Used tested Working
usedeqsales Used - $133.15 0 Mar/02/15 Apr/30/15
Description: Brooks Instrument 6256SCZVCLDC Mass Flow Controller AMAT 3030-11270 Used Working
usedeqsales Scrap, for parts - $2,452.21 1 Mar/02/15 Apr/28/15
Description: ASTRON2L MKS Instruments FI20620-1 Rev. H AMAT 0920-00013 Tested Not Working
usedeqsales Used - $5,503.15 2 Mar/02/15 Mar/03/15
Description: ASTRONi MKS Instruments AX7670-15 AMAT 0190-72486 Used Tested Working
usedeqsales Used - $512.11 1 Dec/30/11 Feb/23/15
Description: AMAT Applied Materials 0100-90771 Spin Scan Error Board Used Working
usedeqsales Scrap, for parts - $1,503.15 0 Mar/03/15 May/01/15
Description: HFV 8000 AE Advanced Energy 3155083-505 AMAT 0190-24480 Tested Not Working
usedeqsales Used - $3,503.15 0 Mar/03/15 May/01/15
Description: ADTEK AX-3000III RF Plasma Generator AMAT 0920-00093 Used Tested Working
usedeqsales Used - $2,503.15 0 Mar/03/15 May/01/15
Description: Sinfonia Technology SELOP12F25-S70007 Load Port AMAT 0190-33715 Used Working
usedeqsales Used - $2,503.15 0 Mar/03/15 May/01/15
Description: Sinfonia Technology SELOP12F25-57A0401 Load Port AMAT 0190-44437 Used Working
usedeqsales Used - $503.15 0 Mar/04/15 May/05/15
Description: AMAT Applied Materials 0041-40414 Gate Valve Adapter Endura 2 Used Working
usedeqsales Used - $703.15 0 Mar/04/15 May/04/15
Description: AMAT Applied Materials 3930-00168 OCD/DUV 9010B Controller PCB Used Working
usedeqsales Scrap, for parts - $3,203.15 0 Mar/04/15 Mar/11/15
Description: Genisis GMW-25Z ENI GMW25Z-2D0F2N0-001 AMAT 0190-25533 Tested Not Working
used1eqsales Used - $200.00 0 Mar/05/15 May/26/17
Description: AMAT 0020-28668 Poppet Valve 301178-2710 Rev 1 Lot of 4 used working
usedeqsales Used - $2,503.15 0 Mar/05/15 May/01/15
Description: AMAT Applied Materials 0010-09417 CVD RF Match Used Working
usedeqsales Used - $3,503.15 0 Mar/05/15 May/01/15
Description: AE Advanced Energy 3155031-014 RF Match Rev. D AMAT 0190-18116 Used Working
usedeqsales Used - $5,503.15 1 Mar/03/15 Mar/03/15
Description: ASTRONi MKS Instruments AX7670-18 AMAT 0190-74005 Used Tested Working
usedeqsales Scrap, for parts - $3,203.15 1 Mar/02/15 Mar/02/15
Description: ASTRONi MKS Instruments AX7670-15 AMAT 0190-72486 Tested Not Working As-Is
systasemi Used - $700.00 0 Mar/09/15 Mar/12/15
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
usedeqsales Used - $703.15 1 Mar/09/15 Mar/09/15
Description: VAT 10846-XE24-1009 Gate Valve AMAT 3870-01708 Used Working
usedeqsales Used - $303.15 0 Mar/10/15 May/04/15
Description: AMAT Applied Materials 0190-03392 RF Cable 2.5m Used Working
usedeqsales Used - $503.15 0 Mar/10/15 May/05/15
Description: AMAT Applied Materials 0190-03393 RF Cable 5.5m Used Working
usedeqsales Used - $8,003.15 2 Mar/10/15 Mar/14/15
Description: AMAT Applied Materials 9240-00879 Direct Drive Motor 9500 XR Series Used Working
used1eqsales Used - $271.42 1 Mar/10/15 Mar/27/15
Description: AMAT 0100-01735 EVR Gap Servo PCB Assy AMAT Quantum X used working
usedeqsales Used - $2,003.15 0 Mar/10/15 May/01/15
Description: VAT 92548-PE21-1001 Pendulum Gate Valve AMAt 3870-02632 Used Working
usedeqsales Used - $403.15 1 Mar/10/15 Apr/06/15
Description: AMAT Applied Materials 0190-01769 RF Cable 75 Foot 300mm Preclean Used Working
used1eqsales Used - $808.13 3 Aug/26/13 Mar/06/15
Description: AMAT EVR Gap Servo Circuit Board 0100-01735 AMAT Quantum working
usedeqsales Used - $403.15 0 Mar/10/15 May/05/15
Description: AMAT Applied Materials 0190-02032 RF Cable 75 Foot 300mm Preclean Used Working
usedeqsales Used - $403.15 0 Mar/10/15 May/05/15
Description: AMAT Applied Materials 0190-05576 RF Cable 75 Foot Cable Used Working
usedeqsales Used - $12,003.20 0 Mar/11/15 Mar/26/15
Description: AE Advanced Energy 3155086-102 RF Match Dome F/R B AMAT 1110-01063 Used Working
yayais2012 Used - $150.00 0 Mar/11/15 Apr/10/15
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $1,353.15 0 Mar/12/15 Mar/13/15
Description: AMAT Applied Materials 0010-77681 Pnematic Manifold Box Rev. P04 Used Working
used1eqsales Used - $1,203.15 0 Mar/12/15 May/26/17
Description: AMAT 0200-76058 Quartz Pedestal Large Pin Diameter 300mm cleaned used working
used1eqsales Used - $353.15 0 Mar/12/15 May/26/17
Description: AMAT 0041-38981 RF Ground Shield Source 300mm RF PVD used working
used1eqsales Used - $401.57 0 Mar/12/15 Dec/07/15
Description: AMAT 0021-11486 004 Shutter Pentagon cleaned used working
used1eqsales Used - $803.15 3 Mar/13/15 Mar/14/15
Description: AMAT 0010-19899 Assy Waist Fixed Wing Upper used working
used1eqsales Used - $803.15 1 Mar/13/15 Mar/14/15
Description: AMAT 0010-19900 Assy Waist Fixed Wing Lower used working
usedeqsales Used - $802.12 0 Mar/13/15 Apr/07/20
Description: AMAT Applied Materials 9090-00668 Scan Optimization Module XR80 Used Working
usedeqsales Used - $301.12 3 Apr/26/12 Mar/11/15
Description: VAT 16546-PA21-AAE1 Pendulum Valve Actuator Used Working
usedeqsales Used - $1,003.15 1 Mar/16/15 Mar/24/15
Description: Deublin 995-100 Rotary Union AMAT 0760-01034 Used Working
usedeqsales Used - $253.15 0 Mar/16/15 May/04/15
Description: MDC 997330 Pneumatic Isolation Valve AMAT 0190-40017 Used Working
usedeqsales Used - $253.15 0 Mar/17/15 May/04/15
Description: AMAT Applied Materials 0150-51306 Heather Block Controller Cable Used Working
usedeqsales Used - $353.15 1 Mar/17/15 Mar/24/15
Description: MKS Instruments L2-40-SP1 Vacuum Isolation Valve AMAT 0190-76185 Used Working
usedeqsales Used - $7,003.15 0 Mar/17/15 Apr/30/15
Description: ATH 1600 M Leybold P25621B1 Turbo Pump AMAT 3620-00182 Used Tested Working
usedeqsales Used - $1,003.15 0 Mar/18/15 May/01/15
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 001 Used Working
usedeqsales Used - $303.15 1 Mar/18/15 Mar/19/15
Description: AMAT Applied Materials 0190-05111 Control Video Switch Used Working
usedeqsales Used - $1,003.15 6 Mar/18/15 Mar/24/15
Description: Deublin 20004-715 Rotary Union AMAT 0190-28291 6-Port Used Working
keykorea Used - $4,000.00 0 Mar/19/15 May/10/15
Description: AMAT 0190-14368 YASKAWA ELECTRIC AMERICA SMC 2000-8DM, WORKING
usedeqsales Used - $1,803.15 0 Mar/19/15 Mar/25/15
Description: AMAT Applied Materials 0010-00957 Orienter Laser Optics Used Working
usedeqsales Used - $303.15 0 Mar/19/15 May/04/15
Description: MOXA 6650-8-AMAT 8-Port Secure Device Server NPort AMAT 0190-38062 Used Working
usedeqsales Used - $2,503.15 0 Mar/19/15 Mar/25/15
Description: AMAT Applied Materials 0010-30687 RF Match H.E. MXP Etch Used Working
usedeqsales Used - $2,503.15 0 Mar/19/15 May/01/15
Description: AMAT Applied Materials 0010-52036 RF Match Used Working
usedeqsales Used - $2,503.15 0 Mar/19/15 May/01/15
Description: AMAT Applied Materials 0010-21748 RF Match RPC PVD 300mm Rev. 007 Used Working
usedeqsales Used - $2,503.15 0 Mar/19/15 May/01/15
Description: AMAT Applied Materials 0010-21748 RF Match RPC Rev. 08 Copper Used Working
usedeqsales Used - $303.15 0 Mar/19/15 May/04/15
Description: Granville-Phillips 354001-YG-T Micro-Ion Module AMAT 3310-01255 Used Working
usedeqsales Used - $203.15 2 Mar/19/15 Apr/08/15
Description: Answer Engineering 112-B2873 Rotary Actuator AMAT 0520-00068 Used Working
usedeqsales Used - $2,003.15 0 Mar/19/15 May/01/15
Description: AMAT Applied Materials 0040-47487 System Facility Interface Used Working
usedeqsales Used - $3,003.15 1 Mar/19/15 Mar/23/15
Description: NSK ELC-JG014VF3-01 300MM XP Robot Controller AMAT 0190-19536 Used Working
usedeqsales Used - $6,003.15 0 Mar/19/15 Apr/30/15
Description: Kawasaki 30C61E-B019 Master Robot Controller AMAT 0190-25867 Used Working
used1eqsales Used - $250.00 0 Mar/19/15 May/26/17
Description: A&N Corporation 1539-S-N Vacuum Pump Actuator Valve AMAT 0190-08958 used working
usedeqsales Used - $3,503.15 0 Mar/19/15 May/01/15
Description: AE Advanced Energy 3155031-036 Bias RF Match AMAT 0190-11225 Used Working
usedeqsales Used - $4,503.15 0 Mar/20/15 Apr/30/15
Description: AE Advanced Energy 3155086-002 Dome RF Network AMAT 1110-01046 Used Working
usedeqsales Used - $6,003.15 0 Mar/20/15 Apr/30/15
Description: Kawasaki 30C61D-B027 Master Robot Controller WET AMAT 0190-34966 Used Working
usedeqsales Used - $1,503.15 0 Mar/20/15 May/01/15
Description: AMAT Applied Materials 0010-02850 Side Local Match Assembly Used Working
usedeqsales Used - $2,452.21 0 Mar/20/15 Apr/20/15
Description: DCG-200Z Optima MKS OPT-200ZSR-00 Master AMAT 0190-38685 Used Tested Working
usedeqsales Used - $3,503.15 1 Mar/20/15 Mar/31/15
Description: AE Advanced Energy 3155126-009 RF Match Z'Scan AMAT 0190-23623 Used Working
usedeqsales Used - $253.15 1 Mar/20/15 Mar/22/15
Description: MDC 990428 Roughing Angle Valve AMAT 3870-01334 Used Working
instechlab Used - $500.00 0 Mar/20/15 Apr/19/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
carlissa84 NEW - $600.00 0 Mar/21/15 Apr/20/15
Description: Mirra Fabs Load AMAT 0020-51397 RAE-03773 RINGER, ROBOT, WORKING
usedeqsales Used - $403.15 1 Mar/23/15 Apr/06/15
Description: AMAT Applied Materials 0190-01458 RF Cable 55 Foot Used Working
usedeqsales Used - $253.15 1 Mar/23/15 Mar/24/15
Description: MDC AV-100M-P-1039 Roughing Angle Valve AMAT 3870-01335 Used Working
usedeqsales Used - $7,503.15 0 Mar/23/15 Apr/30/15
Description: Kawasaki 3NS411B-F006 Factory Interface Robot AMAT 0190-34967 Used Working
usedeqsales Used - $2,503.15 0 Mar/24/15 May/01/15
Description: AMAT Applied Materials 0010-52034 RF Match Used Working
usedeqsales Used - $7,503.15 0 Mar/24/15 Apr/30/15
Description: Kawasaki 3NS411B-F003 Factory Interface Robot AMAT 0190-16621 Used Working
usedeqsales Used - $3,503.15 0 Mar/24/15 May/01/15
Description: AE Advanced Energy 3155126-041 RF Match Z'Scan AMAT 0190-33738 Used Working
usedeqsales Used - $3,503.15 0 Mar/24/15 May/01/15
Description: Kawasaki 3NS001S-L003 Robot Prealigner AMAT 0190-14786 Copper Used Working
usedeqsales Used - $2,503.15 0 Mar/24/15 May/01/15
Description: AMAT Applied Materials 0010-42741 RF Match Used Working
usedeqsales Used - $3,003.15 0 Mar/24/15 May/01/15
Description: NSK ELC-JG014VF3-01 2-Axis Driver 300mm XP Robot AMAT 0190-19536 Used Working
usedeqsales Used - $1,003.15 2 Mar/24/15 Mar/24/15
Description: Deublin 20003-100 Rotary Union AMAT 0760-01037 Used Working
usedeqsales Used - $6,003.15 0 Mar/24/15 Apr/30/15
Description: MAG 2000CT Leybold 89431 Turbo Pump AMAT 3620-01460 Used Tested Working
usedeqsales Used - $3,003.15 0 Mar/24/15 Apr/09/18
Description: Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Copper Used Working
usedeqsales Used - $6,503.15 1 Mar/24/15 Mar/24/15
Description: AMAT Applied Materials 0010-42053 Robot SBR 350mm NEON Used Working
usedeqsales Used - $503.15 0 Mar/25/15 May/05/15
Description: Parker 0190-24755 Pneumatic Cylinder Series P1D AMAT Used Working
usedeqsales Used - $1,003.15 0 Mar/25/15 May/01/15
Description: Deublin 20003-100 Rotary Union AMAT 0760-01037 Used Working
usedeqsales Used - $6,003.15 0 Mar/25/15 Apr/30/15
Description: MAG 2000 CT Leybold 89431 Turbovac AMAT 3620-01460 59270 Hrs Used Tested Working
usedeqsales Used - $6,003.15 0 Mar/25/15 Apr/30/15
Description: MAG 2000 CT Leybold 89431 Turbovac AMAT 3620-01460 9309 Hrs Used Tested Working
usedeqsales Used - $6,003.15 0 Mar/25/15 Apr/30/15
Description: MAG 2000 CT Leybold Turbovac AMAT 3620-01460 82405 Hrs Used Tested Working
usedeqsales Used - $503.15 0 Mar/25/15 Mar/26/15
Description: AMAT Applied Materials 0190-09459 Fiber Optic Cable 20 Foot Used Working
usedeqsales Used - $453.15 0 Mar/25/15 May/05/15
Description: SMC IN587-07-C 32-Port Pneumatic Manifold AMAT 4060-00895 Used Working
usedeqsales Used - $10,003.20 2 Mar/25/15 Mar/26/15
Description: AMAT Applied Materials 0010-17530 Dual Blade Robot 300mm Endura Used Working
usedeqsales Used - $6,003.15 0 Mar/26/15 Apr/30/15
Description: MAG 2000 CT Leybold 89431 Turbovac AMAT 3620-01460 86524 Hrs Used Tested Working
usedeqsales Used - $6,003.15 0 Mar/26/15 Apr/30/15
Description: MAG 2000 CT Leybold 89431 Turbovac AMAT 3620-01460 85410 Hrs Used Tested Working
usedeqsales Used - $2,803.15 1 Mar/26/15 Apr/20/15
Description: NSK ELA-B014CG2-04 2-AXIS Servo Driver 300mm Robot AMAT 0190-25916 Used Working
usedeqsales Used - $1,203.15 0 Mar/26/15 May/04/15
Description: Control Concepts 3096-1021 SCR Power Controller AMAT 0190-14925 Used Working
usedeqsales Used - $2,803.15 0 Mar/26/15 May/01/15
Description: NSK ELA-B014CG3-04 2-AXIS Servo Driver VHP + AMAT 0190-25031 Used Working
systasemi Used - $7,000.00 0 Apr/03/15 May/03/15
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $12,504.20 1 Apr/06/15 Apr/06/15
Description: AMAT Applied Materials 0010-19040 Robot 300mm Single Blade VHP Used Working
usedeqsales Used - $3,204.15 0 Apr/06/15 Apr/10/15
Description: NSK ELA-B014CF3-02 2-Axis Servo Driver AMAT 0190-02472 Used Working
usedeqsales Used - $2,504.15 0 Apr/06/15 May/01/15
Description: Sinfornia Technology SELOP12F25-S7A0110 Load Port AMAT 0190-37428 Used Working
usedeqsales Used - $3,204.15 0 Apr/06/15 Apr/10/15
Description: NSK ELA-B014CFD-03 2-Axis Servo Driver AMAT 0190-02472 Used Working
usedeqsales Used - $2,504.15 1 Apr/06/15 Apr/08/15
Description: AMAT Applied Materials 0010-20524 RF Match PVD Phase IV 200mm Used Working
usedeqsales Used - $6,504.15 0 Apr/06/15 Apr/09/15
Description: GMW-25Z ENI GMW25Z-2D0F2N0-001 RF Generator AMAT 0190-25533 Used Tested Working
usedeqsales Scrap, for parts - $1,504.15 1 Apr/06/15 Jul/22/15
Description: HFV8000 AE Advanced Energy 3155083-111 RF Generator AMAT 0190-09841 Not Working
usedeqsales Used - $4,504.15 0 Apr/07/15 Apr/30/15
Description: AE Advanced Energy 3155086-102 Dome RF Network AMAT 1110-01063 Used Working
usedeqsales Used - $1,204.15 0 Apr/07/15 May/04/15
Description: Deublin 20003-100 Rotory Union AMAT 0760-01037 Used Working
usedeqsales Used - $504.15 0 Apr/08/15 May/05/15
Description: Aera FC-7820C Mass Flow Controller AMAT 3030-12500 40 SLM NH3 Used Working
usedeqsales Used - $504.15 0 Apr/08/15 May/05/15
Description: Aera FC-7710CD Mass Flow Controller AMAT 3030-12502 15 SLM Used Working
usedeqsales Used - $504.15 0 Apr/08/15 May/05/15
Description: Aera FC-7710CD Mass Flow Controller AMAT 3030-01594 10 SLM Used Working
usedeqsales Used - $504.15 0 Apr/08/15 May/05/15
Description: Stober P721SPZ0100MT Precision Gearhead ServoFit AMAT 3970-00030 Used Working
usedeqsales Used - $6,504.15 0 Apr/08/15 Apr/30/15
Description: CTI-Cryogenics 8116030G001 Cryopump On-Board 8F AMAT 0190-76014 Tested Working
usedeqsales Used - $1,204.15 0 Apr/09/15 May/04/15
Description: NSK M-RS0810FN544 Megatorque Motor AMAT 0190-14344 Used Working
usedeqsales Used - $804.15 0 Apr/09/15 May/04/15
Description: Spellman X2784 Power Supply Rev. 04 AMAT 0190-07247 Used Working
usedeqsales Used - $16,004.20 0 Apr/09/15 Apr/30/15
Description: ETO 80-509-UW RF Generator Rack A AMAT 0190-18181 Used Working
usedeqsales Used - $254.15 0 Apr/10/15 May/04/15
Description: Celerity AALGD40W1 Mass Flow Controller AMAT 0190-19974 34 SCCM N2 Used Working
yayais2012 Used - $150.00 0 Apr/10/15 May/10/15
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $252.14 0 Apr/13/15 May/04/15
Description: Tylan FC-2961MEP5 Mass Flow Controller AMAT 0225-16006 Used Working
usedeqsales Used - $1,809.13 0 Apr/13/15 Apr/30/15
Description: Tylan FC-2961MEP5 Mass Flow Controller AMAT 0225-16006 Lot of 4 Used Working
usedeqsales Used - $509.13 0 Apr/13/15 May/04/15
Description: Tylan FC-2951MEP5 Mass Flow Controller AMAT 3030-07210 Lot of 2 Used Working
usedeqsales Used - $5,502.15 0 Apr/13/15 Apr/30/15
Description: CTI-Cryogenics 0190-25015 Cryopump On-Board IS-8F AMAT Used Tested Working
usedeqsales Used - $854.15 1 Apr/14/15 Oct/28/15
Description: Novellus 02-169180-02 Linear Track T730-042E59 Copper Exposed Used Working
usedeqsales Used - $2,504.15 1 Apr/14/15 Sep/07/15
Description: Novellus 61-306478-00 XPCR Spindle Assembly YSC-02D04B02 Used Working
usedeqsales Used - $3,504.15 0 Apr/16/15 May/01/15
Description: TDK-Lambda 00452240 EMAG Power Supply 452-240 AMAT 0190-38846 Used Working
usedeqsales Used - $2,004.15 0 Apr/17/15 Apr/30/15
Description: RF Power 7510313018 Power Generator AMAT 0920-01047 Used Tested Working
instechlab Used - $500.00 0 Apr/19/15 May/19/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
carlissa84 NEW - $600.00 0 Apr/20/15 May/20/15
Description: Mirra Fabs Load AMAT 0020-51397 RAE-03773 RINGER, ROBOT, WORKING
usedeqsales Used - $3,204.15 0 Apr/20/15 Apr/30/15
Description: NSK ELA-B014CFC-03 2-Axis Servo Driver AMAT 0190-03543 Used Working
usedeqsales Used - $454.15 0 Apr/22/15 Feb/15/21
Description: AMAT Applied Materials 9090-01165 Battery Backup PX32K Quantum X Used Working
usedeqsales Used - $2,004.15 0 Apr/17/15 Apr/30/15
Description: RFPP RF Generator 3150004-027 RF5-S Used Tested Working
usedeqsales Used - $504.15 1 Apr/23/15 Apr/24/15
Description: AMAT Applied Materials 0010-77680 SRD Lower Electronic Box SMC Used Working
usedeqsales Used - $1,011.11 4 Nov/07/11 Jul/10/18
Description: LAM Research 4420 Inner Gate Assembly 853-012261-001-4-230 working 853-12230-002
usedeqsales Used - $902.12 4 Feb/29/12 Feb/16/18
Description: LAM Research 853-012200-002-G-230S Inner Gate Valve Drive 4420 Used Working
usedeqsales Used - $902.12 1 Feb/29/12 Feb/16/18
Description: LAM 4420 Etcher Inner Gate Valve Drive 853-012200-002-H-DFT Working
usedeqsales Used - $911.12 5 Nov/02/12 Apr/24/15
Description: LAM 4420 Etcher 853-012200-002 Inner Gate Valve Drive working
usedeqsales Used - $8,504.15 0 Apr/27/15 Apr/27/15
Description: MDX-L12 AE Advanced Energy 3152313-000B AMAT 0190-76007 F/R B Tested Working
usedeqsales Used - $8,504.15 0 Apr/27/15 Apr/27/15
Description: MDX-L12 AE Advanced Energy 3152313-000B AMAT 0190-76007 F/R C Tested Working
usedeqsales Used - $8,504.15 0 Apr/27/15 Apr/27/15
Description: MDX-L12M AE Advanced Energy 3152313-001A AMAT 0190-76008 Used Tested Working
usedeqsales Used - $304.15 2 Apr/28/15 Apr/30/15
Description: AMAT Applied Materials 0110-18062 Connector Board PCB Assembly Used Working
used1eqsales Used - $1,805.46 1 Apr/29/15 Jun/01/15
Description: HiTek 9090-00801 Power Supply A1031250 A1030770 AMAT Quantum X working
used1eqsales Used - $317.32 1 Apr/29/15 Jul/15/15
Description: AMAT Gap Servo 0100-00991 PCB AMAT Quantum X Beamline Control Rack used working
used1eqsales Used - $808.13 0 Apr/29/15 Apr/30/15
Description: AMAT EVR Gap Servo Circuit Board 0100-01735 AMAT Quantum working
used1eqsales Used - $675.14 0 Apr/29/15 Apr/30/15
Description: AMAT Gap Servo 0100-00991 PCB AMAT Quantum X Beamline Control Rack used working
used1eqsales Used - $1,252.14 6 Apr/30/15 Mar/04/17
Description: AMAT 9010-01378 Celerity Gas Card BF3 Quantum X Gas Box used working
used1eqsales Used - $1,128.21 1 Apr/30/15 May/29/15
Description: HiTek 1140-00166 Power Supply A1028760 AMAT Quantum X used working
usedeqsales Used - $3,509.13 2 May/01/15 Jun/13/16
Description: IPUP A100L Alcatel A100 Dry Vacuum Pump AMAT 0190-01042 Used Working
abelet Used - $899.00 2 May/01/15 May/18/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum X used working
usedeqsales Used - $2,705.15 1 May/01/15 May/18/15
Description: CX-1250S Comdel FP3212RB RF Generator AMAT 0190-09843 Used Tested Working
usedeqsales Used - $905.15 1 May/04/15 Nov/21/16
Description: Sorensen XHR 7.5-80 SP Power Supply 7.5V 80A AMAT 1140-00600 Used Tested Working
usedeqsales Used - $905.15 0 May/04/15 Jan/22/16
Description: Xantrex XHR 7.5-80 DC Power Supply 7.5V 80A AMAT 1140-00154 Used Tested Working
usedeqsales Used - $1,003.12 0 May/08/15 May/12/15
Description: AMAT Applied Materials XR80 Power Supply 0090-91649 Rev.B working
usedeqsales Used - $3,805.15 1 May/07/15 Sep/01/17
Description: ADTEC AX-2000III RF Plasma Generator Novellus 27-307431-00 Used Tested Working
systasemi Used - $7,000.00 0 May/06/15 Jun/03/15
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
yayais2012 Used - $150.00 0 May/10/15 Jun/09/15
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
used1eqsales Used - $3,005.15 0 May/11/15 Dec/10/16
Description: AMAT 0180-76109 300mm Mainframe AC Centura Panel Missing used working
used1eqsales Used - $3,005.15 0 May/11/15 Dec/10/16
Description: AMAT 0180-76109 300mm Mainframe AC AMAT Centura used working
usedeqsales Used - $408.12 1 May/13/15 Apr/23/18
Description: Watlow D880-0000-1000 Temperature Controller D8 AMAT 0190-32384 Used Working
usedeqsales Used - $505.15 0 May/13/15 Nov/15/16
Description: AMAT Applied Materials 9090-00314 daq MkII type H Used Working
usedeqsales Used - $605.15 1 May/14/15 Mar/22/22
Description: Lam Research 810-017004-001 Solenoid Interlock Board Rev. G Used Working
usedeqsales Used - $305.15 1 May/15/15 Oct/19/16
Description: Nor-Cal 3870-01455 Pneumatic Angle Isolation Valve AMAT Used Working
usedeqsales Used - $305.15 0 May/15/15 Nov/17/15
Description: Nor-Cal 3870-01212 Pneumatic 3-Way Isolation Valve AMAT Used Working
usedeqsales Used - $1,805.15 1 May/15/15 Apr/19/18
Description: Lam 4400, Lower Elc Assy 715-011630-001 Rev. E Used Working
usedeqsales Used - $405.15 4 May/18/15 Aug/09/16
Description: AMAT Applied Materials 0100-09162 Manometer Select Assembly Rev. A Used Working
usedeqsales Used - $305.15 6 May/18/15 Mar/25/18
Description: AMAT Applied Materials 0020-09911 Sputter Carrier Used Working
usedeqsales Used - $705.15 1 May/18/15 Dec/22/16
Description: AMAT Applied Materials 0100-91055 Gap Servo PCB Card Used Working
usedeqsales Used - $605.15 0 May/18/15 Jul/28/21
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. F Used Working
usedeqsales Used - $205.15 0 May/18/15 Nov/14/15
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. E Used Working
usedeqsales Used - $605.15 0 May/18/15 Sep/16/21
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. G Used Working
abelet Used - $899.00 5 May/18/15 May/19/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum X used working
usedeqsales Used - $1,205.15 3 May/19/15 Aug/02/21
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K Not Working As-Is
usedeqsales Used - $1,805.15 1 May/19/15 Apr/14/20
Description: AMAT Applied Materials 0010-09181 DC Power Supply Precision 5000 Used Working
usedeqsales Used - $105.15 1 May/19/15 Jan/17/16
Description: BEI 924-01008-1350 Encoder Motor Lam 765-008372-001 Used Working
abelet Used - $899.00 5 May/19/15 Jul/01/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum X used working
instechlab Used - $300.00 0 May/19/15 Jun/18/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
used1eqsales Used - $805.15 1 May/21/15 May/26/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
usedeqsales Used - $155.15 2 May/22/15 May/06/17
Description: COMPACT Q86-951-F1 Cylinder Assembly AMAT 0190-09306 Used Working
used1eqsales Used - $752.57 0 May/27/15 Sep/30/16
Description: AMAT Endura 300mm 0170-76126 Main AC Unit & 0190-06937 Transformer used working
used1eqsales Used - $1,005.15 1 May/27/15 Nov/01/15
Description: Lam Research 853-031436-206 Assy Coil Kiyo Ceramic used working
usedeqsales Used - $806.15 0 Jun/02/15 Sep/02/21
Description: Lam Research 716-011036-001 Alumina Filler Orifice Ring Rev. F Working Spare
used1eqsales Used - $753.07 0 Jun/03/15 Nov/30/15
Description: Novellus 04-341742-00 Pedestal Kit Welded Flat Preheat 2130 used working
usedeqsales Used - $6,006.15 0 Jun/04/15 Jun/25/15
Description: Kawaski 30C61E-B014 Robot Controller CMP AT Front AMAT 0190-17941 Used Working
used1eqsales Used - $1,606.15 0 Jun/04/15 May/26/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm used working
usedeqsales Used - $7,506.15 0 Jun/04/15 Jun/11/15
Description: Kawasaki 3NS411B-F003 Factory Interface Robot AMAT 0190-16621 Used Working
usedeqsales Used - $7,506.15 0 Jun/04/15 Jun/11/15
Description: Kawasaki 3NS411B-F006 Factory Interface Robot AMAT 0190-34967 Used Working
usedeqsales Used - $7,506.15 0 Jun/05/15 Jun/11/15
Description: Kawasaki 3NS411B-F004 Factory Interface Robot AMAT 0190-29005 Used Working
used1eqsales Used - $1,706.15 0 Jun/05/15 May/26/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Bell Jar 300mm cleaned once used working
used1eqsales Used - $806.15 0 Jun/05/15 Jun/10/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
usedeqsales Used - $7,506.15 0 Jun/05/15 Jun/11/15
Description: Kawasaki 3NS411B-F003 Factory Interface Robot AMAT 0190-16621 Used Working
usedeqsales Used - $3,854.31 1 Jun/05/15 Oct/12/15
Description: CTI-Cryogenics 0190-19389 Cryopump On-Board IS-8F Amat Used Tested Working
used1eqsales Used - $506.15 0 Jun/09/15 May/26/17
Description: AMAT 0020-52929 Graphite G3 Holder used working
yayais2012 Used - $150.00 0 Jun/10/15 Jul/10/15
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
used1eqsales Used - $1,206.15 1 Jun/10/15 Jun/23/15
Description: Brooks 6-0002-0705-SP Robot WTM-511-2-FWS02-V1 AMAT 0190-08245 used working
used1eqsales Used - $3,506.15 1 Jun/11/15 Sep/25/15
Description: Brooks ESC-218BT-FWS Wet Robot Controller AMAT 0190-08247 Rev 001 used working
usedeqsales Used - $856.15 4 Jun/15/15 Mar/01/16
Description: HVA 21251-1003Z-001 Pneumatic 10 inch Gate Valve AMAT 3870-02881 Used Working
usedeqsales Used - $2,006.15 1 Jun/17/15 Oct/29/15
Description: STL Transformer 1360-90103 AMAT Applied Materials XR80 Transformer Used Working
usedeqsales Used - $303.07 4 Jun/16/15 Sep/15/16
Description: MKS Instruments 627B12TBC1B Baratron AMAT 1350-00128 Used Tested Working
provacsalescompany Used - $500.00 3 Jun/18/15 Jun/18/15
Description: Osaka TC010M Turbo Pump Controller, Working
used1eqsales Used - $1,503.15 1 Apr/02/15 Jun/18/15
Description: AMAT 0010-17868 Hercules Lift 250 lbs Capacity used working
usedeqsales Used - $3,502.15 1 Feb/18/15 Jun/17/15
Description: AE Advanced Energy 3150273-004 Mercury 10013 RF Match 27-256558-00 Used Working
instechlab Used - $300.00 0 Jun/18/15 Jul/18/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
usedeqsales Used - $3,506.15 0 Jun/23/15 Nov/28/16
Description: TDK TAS300 Load Port AMAT Applied Materials 0190-17837 300mm Endura Used Working
usedparts-pk Used - $1,799.99 1 Apr/21/15 Jun/25/15
Description: TOSHIBA FA3100A SERIES MODEL 5000 UF5A1 TYPE INDUSTRIAL COMPUTER TESTED WORKING
used1eqsales Used - $406.15 0 Jun/25/15 Nov/22/15
Description: Festo 13015611 Megasonic Box 300mm AMAT 0010-05311 Used Working
usedeqsales Used - $306.15 1 Jun/30/15 Jul/03/15
Description: NSK FHT11 Handy Terminal AMAT 0650-01068 CMPTR Used Working
jtmtech Used - $10,000.00 0 Jul/01/15 Jul/31/15
Description: 799-110333-002 Lam Research SMIF Indexer Asyst - Removed from working tool
usedeqsales Used - $207.15 0 Jul/01/15 Oct/13/23
Description: AMAT Applied Materials 0270-05028 Wafer Calibration Tool LCF Used Working
usedeqsales Used - $6,507.15 1 Jul/01/15 May/07/16
Description: CTI-Cryogenics IS-1000 Compressor LV AMAT 3620-00317 Used Tested Working
usedeqsales Scrap, for parts - $4,507.15 0 Jul/01/15 Jul/01/15
Description: CTI-Cryogenics 1S-1000 Compressor HV AMAT 0190-19395 Test Not Working As-Is
usedeqsales Used - $7,007.15 2 Jul/02/15 Apr/09/18
Description: CTI-Cryogenics 0190-19395 IS-1000 Compressor HV AMAT Used Tested Working
usedeqsales Used - $705.01 2 Jul/06/15 Oct/28/15
Description: MKS Instruments 20704A Process Sense AMAT 0190-14960 Used Working
usedeqsales Used - $107.15 0 Jul/07/15 Nov/04/15
Description: AMAT Applied Materials 0020-28668 SWILL Poppet Valve 0020-28669 Used Working
katsu9202408 NEW - $3,495.95 0 Jul/07/15 Jun/02/16
Description: Comet 20033325 RF Match AMAT 0190-38451 New Working Ag Flamatt
katsu9202408 NEW - $2,995.95 0 Jul/07/15 Nov/04/15
Description: Comet 20049802 RF Match AMAT 0190-38451 New Working Ag Flamatt
usedeqsales Used - $4,007.15 0 Jul/08/15 Jul/22/15
Description: MDX-L6 AE Advanced Energy 2280 000-D Power Supply AMAT 0190-70085 Used Working
usedeqsales Used - $207.15 1 Jul/08/15 Nov/20/17
Description: AMAT Applied Materials 0040-34330 Insert External Standard 300MM Used Working
usedeqsales Used - $257.15 2 Jul/08/15 Jul/09/15
Description: AMAT Applied Materials 0015-09077 Throttle Valve Assembly Used Working
usedeqsales Used - $257.15 0 Jul/08/15 Apr/27/21
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24835 600cc He Used Working
usedeqsales Used - $807.15 1 Jul/09/15 Apr/10/18
Description: AMAT Applied Materials 0100-35053 Mainframe Interlocks PCB Card Used Working
usedeqsales Used - $257.15 1 Jul/09/15 Jun/17/17
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24834 1L H2 Used Working
usedeqsales Used - $257.15 1 Jul/09/15 Apr/06/21
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24838 50cc Ar Used Working
usedeqsales Used - $1,507.15 0 Jul/10/15 Nov/28/16
Description: TDK TAS300 Load Port AMAT Applied Materials 0190-17837 Used Working
usedeqsales Used - $3,407.15 0 Jul/10/15 Dec/07/15
Description: TDK TAS300 Load Port AMAT Applied Materials 0190-17837 No Cover Used Working
yayais2012 Used - $150.00 0 Jul/11/15 Aug/10/15
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $307.15 0 Jul/13/15 Jul/13/15
Description: AMAT Applied Materials 0015-09077 Throttle Valve Driver PK245-02AA Used Working
usedeqsales Used - $157.15 0 Jul/15/15 Apr/02/23
Description: MDC 311032 Pneumatic Angle Valve AV-153-P AMAT 0040-13040 Used Working
instechlab Used - $300.00 0 Jul/18/15 Aug/17/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
usedeqsales Used - $907.15 0 Jul/20/15 Nov/17/15
Description: Xantrex XHR 7.5-80 Power Supply 0-7.5V 0-80A AMAT 1140-00057 Used Tested Working
usedeqsales Used - $307.15 1 Jul/20/15 Mar/15/17
Description: AMAT Applied Materials 0050-90658 ISO160 F to ISO160 K Flange Used Working
usedeqsales Used - $1,007.15 0 Jul/29/15 Dec/08/20
Description: AMAT Applied Materials 0010-02146 HDP-CVD RPSC Applicator 5200 CVD Used Working
jtmtech Used - $10,000.00 0 Aug/03/15 Aug/06/15
Description: 799-110333-002 Lam Research SMIF Indexer Asyst - Removed from working tool
usedeqsales Used - $128.57 0 Aug/03/15 Dec/01/15
Description: MKS Instruments 640A13TW2M52T Pressure Controller AMAT 0190-19522 Used Working
usedeqsales Used - $257.15 1 Aug/04/15 Jul/19/18
Description: Vicor MP6-77533 Power Supply PFC MegaPAC AMAT 1140-00344 Used Tested Working
usedeqsales Used - $807.15 1 Aug/04/15 Oct/04/18
Description: AMAT Applied Materials 0041-12192 Reflector Plate Used Working
usedeqsales Used - $807.15 1 Aug/04/15 Sep/13/15
Description: Novellus 15-290999-00 300mm Shuttle 15-297103-00 Used Working
usedeqsales Used - $1,507.15 1 Aug/11/15 Dec/03/15
Description: HiTek A1028760 Power Supply AMAT 1140-00161 Used Working
yayais2012 Used - $150.00 0 Aug/12/15 Sep/11/15
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $307.15 1 Aug/12/15 Jun/07/17
Description: Norgren VM10AMATACP161 16-Port Pneumatic Manifold AMAT 0190-24983 Used Working
usedeqsales Used - $157.15 1 Aug/12/15 Aug/30/17
Description: MKS Instruments CDN127-7 Peripheral Device Adapter AMAT 0190-19018 Used Working
usedeqsales Used - $157.15 1 Aug/17/15 Oct/12/15
Description: MKS Instruments AS00107-04 MicroNode DeviceNet AMAT 0190-19919 Used Working
instechlab Used - $200.00 0 Aug/17/15 Mar/29/16
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
used1eqsales Used - $5,008.15 0 Aug/18/15 Nov/16/15
Description: AMAT 9090-01158 PSU Chassis Revision A Quantum X 300mm used working
used1eqsales Used - $1,508.15 5 Aug/18/15 Jun/20/16
Description: HiTek 1140-00166 Power Supply A1028760 AMAT Quantum X used working
used1eqsales Used - $1,009.15 5 Aug/18/15 Sep/08/16
Description: Xantrex XHR 7.5-80 Programmable DC Power Supply AMAT 1140-00154 used working
used1eqsales Used - $754.07 2 Aug/19/15 Dec/02/15
Description: AMAT 9090-01408 Arc Control DSP PCB Revision A Quantum X 300 mm used working
used1eqsales Used - $908.15 1 Aug/19/15 Dec/15/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
usedeqsales Used - $1,508.15 0 Aug/21/15 Aug/31/23
Description: AMAT Applied Materials 0150-09200 RF Cable 55 Foot 16.7m Used Working
keykorea Used - $150.00 0 Aug/23/15 Nov/21/15
Description: AMAT 1270-03094 M-60-T23-01-002 SW FLOW IN-LINE NON-4DJ TEFLON 1/4FNPT S,WORKING
usedeqsales Used - $604.89 0 Aug/25/15 Dec/05/18
Description: Novellus 02-169194-00 Robot Linear Track UDK5214NW RM26A3S Used Working
usedeqsales Used - $403.12 0 Aug/26/15 Nov/24/15
Description: Applied Materials AMAT XR80 0100-94006 Rev. A Spin Controller Board Used Working
usedeqsales Used - $602.11 0 Aug/26/15 Jan/10/19
Description: Applied Materials AMAT 0100-90890 Spin/Scan INTLK Board Used Working XR80
usedeqsales Used - $1,754.07 0 Aug/26/15 Nov/24/15
Description: AMAT Applied Materials 0240-61428 Quantum Leap Heat Exchanger Used Working
usedeqsales Used - $2,504.07 0 Sep/02/15 Nov/01/15
Description: Lam Research 852-014681-583 RF Generator Cart OEM-650A Used Working
used1eqsales Used - $2,009.15 0 Sep/02/15 Nov/01/15
Description: Pyramid 0500-00300W X-Scan Controller 0120-01840 AMAT Quantum X used working
usedeqsales Used - $508.15 0 Sep/08/15 Dec/07/15
Description: Lam Research 685-017705-005 AC Control Panel 210-017705-005 Used Working
usedeqsales Used - $258.15 2 Sep/08/15 Oct/20/21
Description: Lam Research 853-017805-045 RF Cable 45 Foot 13 Meter Used Working
usedeqsales Used - $1,754.57 0 Sep/09/15 Dec/08/15
Description: Brooks Automation 6-002-0705-SP Wet Robot AMAT 0190-08245 Used Working
yayais2012 Used - $150.00 0 Sep/11/15 Oct/11/15
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
used1eqsales Used - $504.57 0 Sep/21/15 Nov/20/15
Description: AMAT 9090-00979 Electrode Assembly 3X2H Rev. D AMAT Quantum X used working
used1eqsales Used - $3,509.15 1 Sep/21/15 Apr/14/16
Description: AMAT 0010-14528 Magnetic Source 1 PVD CPI-VMO Endura 404663 300mm used working
eisale1535 Used - $3,850.00 0 Sep/21/15 Oct/09/16
Description: Yaskawa XU-CN1112A Robot Controller AMAT 0190-15107 Rev 1 Used Working
used1eqsales Used - $3,509.15 0 Sep/24/15 May/26/17
Description: AMAT 0010-14528 Magnetic Source 3 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $3,509.15 0 Sep/24/15 May/26/17
Description: AMAT 0010-14528 Magnetic Source 2 CPI-VMO Endura 404663 300mm used working
usedeqsales Used - $511.11 2 Sep/30/15 May/22/19
Description: LAM Research 1-1/2" Isolation Valve 839-013521-001 Working LAM 4420
usedeqsales Used - $610.15 2 Oct/02/15 May/02/18
Description: MKS Instruments 624B-25050 Baratron AMAT 1350-00236 Used Tested Working
used1eqsales Used - $310.15 0 Oct/05/15 Nov/04/15
Description: AMAT 0020-70497 2-D PSD Sensors and Bracket 0020-70383 used working
helixtek Used - $4,500.00 0 Oct/05/15 Nov/04/15
Description: Confirmed working Applied Materials Mirra CMP 200mm MEI BOARD 0660-01820
usedeqsales Used - $8,010.15 0 Oct/06/15 Oct/15/15
Description: GMW-25Z ENI GMW25Z-2D0F2NT-001 RF Generator AMAT 0190-28806 Used Tested Working
usedeqsales Used - $1,510.15 1 Oct/06/15 Nov/30/15
Description: RadiSys SYS-ZDT-100 System Controller PC Novellus 02-028034-00 Used Working
usedeqsales Used - $1,810.15 0 Oct/06/15 Jul/18/22
Description: RadiSys SYS-ZDT-100 System Control Computer Novellus 02-828034-00 Working Spare
usedeqsales Used - $2,010.15 1 Oct/06/15 Jun/08/16
Description: AMAT Applied Materials 9090-00196 ITL XR80 Plasma Interface Module Used Working
usedeqsales Used - $510.15 0 Oct/07/15 Dec/06/15
Description: Horiba Stec IV-2410AV-03 Injection Valve AMAT 3030-10059 Used Working
usedeqsales Used - $260.15 0 Oct/07/15 Nov/11/20
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
usedeqsales Used - $260.15 1 Oct/07/15 Apr/02/21
Description: Horiba STEC LF-210A-EVD Liquid Mass Flow Meter AMAT 3030-10059 Used Working
used1eqsales Used - $310.15 0 Oct/08/15 Nov/07/15
Description: AMAT 0020-70497 2-D PSD Sensors 0020-53481 Lot of 3 AMAT Quantum X used working
yayais2012 Used - $150.00 0 Oct/12/15 Nov/11/15
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $610.15 2 Oct/14/15 Mar/06/17
Description: AMAT Applied Materials 1350-00681 Capacitance Manometer Used Tested Working
used1eqsales Used - $1,510.15 0 Oct/15/15 May/26/17
Description: AMAT 0620-00516 RF Generator to RF Match Cable 149 ft. used working
used1eqsales Used - $810.15 0 Oct/15/15 May/26/17
Description: AMAT 0010-24405 Magnetic Source Rev. 004 0040-84886 used working
visionsemi Used - $2,450.00 1 Oct/15/15 Dec/28/15
Description: APPLIED MATERIALS AMAT 0100-00372 PCB EXPANSION I/O PCB TESTED WORKING
usedeqsales Used - $120.15 0 Oct/16/15 Nov/15/15
Description: Edwards C41317000 Right Angle Valve PV25SPKA AMAT 0151-90830 Used Working
used1eqsales Used - $1,010.15 3 Oct/21/15 Oct/21/15
Description: NSK SSB014FN532 Motor AMAT 0150-98944 Quantum X used working
used1eqsales Used - $1,604.06 1 Oct/21/15 Jan/20/16
Description: HiTek 9090-00801 Power Supply A1031250 A1030770 AMAT Quantum X used working
used1eqsales Used - $1,010.15 3 Oct/21/15 Oct/21/15
Description: NSK SSB014FN531 Motor AMAT 0150-98943 Quantum X used working
usedeqsales Used - $810.15 2 Oct/22/15 Aug/10/18
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. C Used Working
usedeqsales Used - $810.15 1 Oct/22/15 Jun/21/18
Description: Lam Research 810-017018-002 Gap Motor Controller Board PCB Rev. J Used Working
usedeqsales Used - $1,010.15 1 Oct/22/15 Feb/24/22
Description: GD California SYS68K/SIO-2 VME PCB Card Lam 810-017038-002 Rev. C Used Working
used1eqsales Used - $710.15 0 Oct/26/15 May/26/17
Description: AMAT 0100-01697 X Sensor PCB REV B Quantum X PRA Rack used working
usedeqsales Used - $810.15 1 Oct/26/15 Jul/08/21
Description: Lam Research 810-017031-003 ADIO A0 PCB Card Rev. 2 Used Working
used1eqsales Used - $5,010.15 0 Oct/27/15 Oct/28/15
Description: AMAT 9090-01406 PSU Chassis Rev B Quantum X 300mm used working
usedeqsales Used - $130.15 0 Oct/27/15 Nov/26/15
Description: Turck VB 804Z-* Junction Box AMAT 0090-03978 Lot of 3 Used Working
used1eqsales Used - $710.15 0 Oct/27/15 Jun/23/16
Description: AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working
used1eqsales Used - $710.15 0 Oct/27/15 May/26/17
Description: AMAT 0100-02195 SDS Gas Interlock PCB Rev A Quantum X used working
usedeqsales Used - $1,511.15 0 Nov/06/15 Jul/26/18
Description: Synergy Microsystems 0190-09667 SV21 PCB Card AMAT Precision 5000 Used Working
usedeqsales Used - $211.15 2 Nov/05/15 Nov/14/15
Description: AMAT Applied Materials 0620-02885 RF Cable 10762200 50 Foot Used Working
usedeqsales Used - $161.15 0 Nov/05/15 Dec/05/15
Description: AMAT Applied Materials 0150-13116 Centura Gas Panel PC Power Cable Used Working
usedeqsales Used - $161.15 1 Nov/05/15 Sep/27/17
Description: AMAT Applied Materials 0150-35880 Robot Control Cable Assembly Used Working
usedeqsales Used - $111.15 0 Nov/06/15 Dec/06/15
Description: AMAT Applied Materials 0150-35488 Monitor Cable Lot of 2 Used Working
usedeqsales Used - $161.15 0 Nov/06/15 Dec/17/19
Description: AMAT Applied Materials 0190-09267 Magnetron Control Cable 55' ASP Used Working
usedeqsales Used - $3,511.15 1 Nov/09/15 Mar/26/18
Description: AMAT Applied Materials 0010-70066 Heat Exchanger AMAT 0 Used Working
usedeqsales Used - $1,511.15 1 Nov/09/15 Jun/22/18
Description: AMAT Applied Materials 0010-09750 Phase II RF Match Precision 5000 Used Working
usedeqsales Used - $1,511.15 1 Nov/09/15 Jun/19/18
Description: AMAT Applied Materials 0010-09750 CVD RF Match Precision 5000 Used Working
usedeqsales Used - $3,211.15 1 Nov/10/15 Dec/27/15
Description: OEM-12B3 ENI OEM-12B3-02 RF Generator AMAT 0190-76028 Used Tested Working
usedeqsales Used - $511.15 1 Nov/10/15 Feb/09/19
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB P5000 Used Working
usedeqsales Used - $2,501.15 1 Nov/10/15 Jul/21/21
Description: Phasetronics P1038A Phase Angle Lamp Drive AMAT 0015-09091 P5000 Used Working
usedeqsales Used - $3,211.15 1 Nov/10/15 Feb/24/16
Description: OEM-12B ENI OEM-12B-02 RF Generator AMAT 0190-70080 Used Tested Working
usedeqsales Used - $211.15 2 Nov/12/15 Mar/31/20
Description: AMAT Applied Materials 0100-09138 Robot Interconnect Board PCB Used Working
usedeqsales Used - $261.15 0 Nov/12/15 Aug/25/21
Description: AMAT Applied Materials 0100-09137 Encoder PCB Precision 5000 P5000 Working Spare
yayais2012 Used - $150.00 0 Nov/12/15 Dec/12/15
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
usedeqsales Used - $211.15 1 Nov/12/15 Aug/18/20
Description: AMAT Applied Materials 0100-09117 DIO Fuse Board PCB Precision 5000 Used Working
usedeqsales Used - $151.15 0 Nov/16/15 Jan/27/22
Description: AMAT Applied Materials 0100-09127 Loader Interconnect Board PCB Used Working
usedeqsales Used - $161.15 1 Nov/16/15 Dec/06/16
Description: SMC CRB80-180 Rotary Actuator AMAT 0020-09292 Precision 5000 Used Working
helixtek Used - $4,500.00 0 Nov/18/15 Dec/18/15
Description: Confirmed working Applied Materials Mirra CMP 200mm MEI BOARD 0660-01820
usedeqsales Used - $131.15 1 Nov/19/15 Dec/23/16
Description: SMC CRB80-180 Rotary Actuator AMAT 0020-09634 Precision 5000 Used Working
surplusseller13 Used - $2,895.45 1 Nov/19/15 Dec/03/15
Description: NSK ELA-B014CFD-03 Robot AC Servo Drive AMAT 0190-02472 Used Working
usedeqsales Used - $311.15 0 Nov/23/15 Mar/13/18
Description: Nor-Cal 3870-01212 Pneumatic 3-Way Isolation Valve AMAT Used Working
usedeqsales Used - $1,811.15 0 Nov/25/15 Nov/30/15
Description: AMAT Applied Materials 0010-76061 HP ENP Transfer Robot Centura RTP Used Working
usedeqsales Used - $261.15 1 Nov/30/15 Dec/13/16
Description: Horiba STEC SEC-4400M Mass Flow Controller AMAT 3030-12516 Used Working
usedeqsales Used - $2,512.15 1 Dec/01/15 Nov/09/20
Description: Lam Research 660-095275-002 E2 4MHz RF Match Biased Used Working
usedeqsales Used - $3,512.15 0 Dec/01/15 Jul/19/17
Description: Lam Research 660-095275-003 4MHz RF Match Biased Used Working
usedeqsales Used - $242.15 0 Dec/02/15 Aug/31/21
Description: Watlow ME6A1JP2-TB Cartridge Heater Lam Research 678-061017-001 Used Working
usedeqsales Used - $501.12 1 Jan/09/12 Dec/02/15
Description: AMAT XR80 Applied Materials 0090-91271 Power Supply Rev.A working
usedeqsales Used - $912.15 2 Dec/07/15 Jun/07/16
Description: Advnace Hivolt 1140-90129 Power Supply AMAT 0090-91415ITL HiTek Used Working
used1eqsales Used - $912.15 2 Dec/29/15 Mar/29/18
Description: AMAT 0100-01439 FOLC Loop Controller PCB Quantum X used working
used1eqsales Used - $2,512.15 1 Dec/29/15 Dec/30/15
Description: Pep VM162/172 Single Board Computer w/ IP Module AMAT 0660-00602 used working
usedeqsales Used - $912.15 0 Dec/28/15 Aug/31/21
Description: Lam Research 715-011560-001 Quartz Filler Ring Used Working
usedeqsales Used - $912.15 0 Dec/28/15 Aug/31/21
Description: Lam Research 713-028647-149 Ring 713-28647-149 Used Working
usedeqsales Used - $612.15 0 Dec/28/15 Aug/31/21
Description: Lam Research 713-028318-001 Ceramic Ring 713-28318-1 Used Working
usedeqsales Used - $612.15 0 Dec/17/15 Apr/02/21
Description: Lam Research 810-057032-001 DC Servo Driver PCB Card 810-57032-001 Used Working
usedeqsales Used - $912.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 716-250080-001 150mm Upper Insulator Ring Used Working
usedeqsales Used - $912.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 716-011563-152 Wafer Clamp 716-11563-152 Used Working
usedeqsales Used - $1,512.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 715-011531-008 Anodized Aluminum Electrode Used Working
usedeqsales Used - $612.15 1 Dec/15/15 Mar/07/17
Description: Lam Research 716-011624-001 Lower Electrode Insulator Ring Used Working
usedeqsales Used - $912.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 716-018527-181 Ceramic Ring 716-18527-181 Used Working
usedeqsales Used - $912.00 0 Dec/15/15 Aug/31/21
Description: Lam Research 715-028771-001 Top SLD PL Ring Used Working
usedeqsales Used - $912.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 716-030140-003 Ring Assembly Rev. E2 Used Working
used1eqsales Used - $484.86 3 Dec/30/15 Jan/12/16
Description: SBS 900-32-029 Single Board Computer AMAT 0190-15591 used working
used1eqsales Used - $457.16 2 Dec/30/15 Mar/29/18
Description: SBS 0390-1239B IP Carrier & Modules cPCI-100-BP AMAT 0190-07848 Used Working
used1eqsales Used - $512.15 0 Dec/30/15 Mar/29/18
Description: DIP 15049105 DeviceNet PCB CDN481 AMAT 0190-08860 Reflexion EFEM used working
used1eqsales Used - $512.15 0 Dec/30/15 May/28/16
Description: SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB AMAT 0190-10156 used working
usedeqsales Used - $3,001.16 0 Jan/04/16 Nov/03/16
Description: Hirata HQPLP-2DHP Quarter Panel Load Port Transfer System HQPLP2001 Used Working
used1eqsales Used - $501.16 0 Jan/04/16 Dec/08/17
Description: Hitachi RYX-2 PCB Hitachi M-511E used working
used1eqsales Used - $851.16 0 Jan/04/16 Jun/02/16
Description: Nikon 4S003-056-1 MCR-DRV PCB 2S003-056-1 KAB11000/3801-0 used working
used1eqsales Used - $1,201.16 1 Jan/04/16 Jan/05/16
Description: Varian E11133001 Gas Card BF3 used working
used1eqsales Used - $1,201.16 1 Jan/04/16 Jan/05/16
Description: Varian E11116731 Gas Card Argon used working
used1eqsales Used - $501.16 0 Jan/04/16 May/26/17
Description: Nikon 4S007-787-2A Interface PCB AVIS-I/F2 Nikon NSR-S204B used working
used1eqsales Used - $812.15 1 Dec/22/15 Jan/04/16
Description: AMAT 9010-00701 X24C Transformer AMAT Quantum X used working
usedeqsales Used - $708.09 0 Jan/11/16 Jul/20/16
Description: AMAT Applied Materials 0100-00985 HP Gas Interlock PCB Card XR80 Used Working
usedeqsales Used - $2,511.15 0 Jan/11/16 Jun/30/16
Description: OEM-12B3 ENI OEM-12B3-02 RF Generator AMAT 0190-76028 Used Tested Working
yayais2012 Used - $150.00 0 Jan/12/16 Feb/11/16
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
used1eqsales Used - $1,501.16 0 Jan/12/16 Mar/29/18
Description: AMAT 0021-16783 Cover Ring Pentagon Kit Cleaned Cu Exposed Endura used working
bobsgoodies2 Used - $250.00 0 Jan/12/16 Mar/31/22
Description: AMAT 0100-00637 Mainframe Relays Circuit Board AMAT Centura 300mm working
bobsgoodies Used - $250.00 1 Jan/12/16 Nov/28/17
Description: AMAT 0100-00472 Motion Signal Conditioning Circuit Board Centura 300mm working
usedeqsales Used - $151.16 0 Jan/12/16 Jun/10/18
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Board Used Working
usedeqsales Used - $121.16 0 Jan/12/16 Oct/11/18
Description: Sanyo Denki PV2A015SMT1P50-01 Servo Drive AMAT 0190-12138 Used Working
keykorea Used - $4,500.00 1 Jan/20/16 Apr/29/16
Description: AMAT 0190-14502 MEI V6U/DSP Motion Controller, WORKING
usedeqsales Used - $1,501.16 1 Jan/21/16 May/29/16
Description: Xycom 70110-003 SRAM 512K PCB Card Lam Research 810-017033-003 Used Working
usedeqsales Used - $1,501.16 1 Jan/25/16 Mar/17/16
Description: AMAT Applied Materials 0100-00372 Seriplex I/O Expansion Card PCB Used Working
usedeqsales Used - $1,501.16 1 Jan/25/16 Jan/25/16
Description: RadiSys 067-02113-0004 PIII Motherboard AMAT 0190-11525 PCB Card Used Working
usedeqsales Used - $8,001.16 1 Jan/25/16 Jan/26/16
Description: Synergy V451 SBC PCB VME Card AMAT 0090-76133 Used Working
usedeqsales Used - $400.58 1 Jan/25/16 Apr/18/24
Description: AMAT Applied Materials 0910-00285 Anneal Interlock PCB Card Used Working
usedeqsales Used - $501.16 3 Jan/25/16 Apr/08/18
Description: SBS Technologies CPM1 PMC-Video PCB Card AMAT 0190-07657 Used Working
usedeqsales Used - $601.16 0 Jan/25/16 Feb/13/20
Description: SBS cPCI-100A-BP-S00002B IP-OCTAPLUS-232 PCB Card AMAT 0190-07848 Used Working
usedeqsales Used - $151.16 2 Jan/25/16 Jan/10/19
Description: AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Used Working
usedeqsales Used - $451.16 1 Jan/25/16 Feb/01/17
Description: SBS Technologies CPR03/128-30000 SCB PCB Card AMAT 0190-12218 Used Working
usedeqsales Used - $401.16 0 Jan/25/16 Jun/13/17
Description: DIP DIP-254-025 DeviceNet CDN491 PCB Card AMAT 0190-08860 Used Working
usedeqsales Used - $601.16 0 Jan/26/16 Nov/04/22
Description: SST Woodhead 490-1437 DeviceNet Pro PCB Card DNP-CPCI-1 AMAT 0190-05400 Working
usedeqsales Used - $301.16 0 Jan/26/16 Sep/08/17
Description: Crystalfontz SKD162-63255 Display PCB Assembly AMAT 0190-14415 Used Working
exper-tech Used - $225.00 0 Jan/26/16 Oct/25/18
Description: Lam Research 810-077393-002 Rev E2, Chamber Inter., PCB, Working When Removed
exper-tech Used - $225.00 0 Jan/26/16 Dec/19/18
Description: LAM Research 810-077616-001 Rev E2, Vacuum Interface, PCB, Working When Removed
usedeqsales Used - $301.16 1 Jan/26/16 Feb/09/17
Description: Lambda Electronics PDC60-300 Powrer Supply PCB Card AMAT 0190-07661 Used Working
harryha64 Used - $1,200.00 0 Jan/26/16 Feb/25/16
Description: AMAT 0100-35227 E CHUCK CONTROLLER BOARD USED WORKING
used1eqsales Used - $1,001.16 0 Jan/29/16 May/26/17
Description: Novellus 02-169180-01 C3 Vector LTM R L K VCTR 101079 Assy LAM used working
used1eqsales Used - $702.16 1 Feb/01/16 Mar/23/16
Description: AMAT 0090-90966 daq Mkll Type B Power Supply PCB used working
used1eqsales Used - $15,002.20 0 Feb/02/16 Feb/04/16
Description: AMAT 0010-24076 Electrostatic Chuck ESC 300mm used working
usedeqsales Used - $652.16 1 Feb/05/16 Feb/14/17
Description: Lam Research 810-017003-004 DIP High Frequency PCB Board 4428b Used Working
usedeqsales Used - $202.16 4 Feb/09/16 Feb/11/16
Description: AMAT Applied Materials 0015-01864 Polishing Head Clamp Lot of 2 Used Working
usedeqsales Used - $452.16 1 Feb/11/16 Apr/10/20
Description: MKS Instruments 122B-00100EB-S Baratron AMAT 1350-01027 Used Tested Working
usedeqsales Used - $2,002.16 1 Feb/19/16 May/10/16
Description: STL Transformer 0190-90864 30 kVA Transformer AMAT 0240-91347 ITL Used Working
used1eqsales Used - $252.16 0 Feb/18/16 Mar/28/18
Description: AMAT 0110-01717 Issue B Circuit Board used working
used1eqsales Used - $302.16 0 Feb/22/16 Dec/13/17
Description: AMAT 0100-00925 Process Sensor Issue A VME used working
used1eqsales Used - $480.86 0 Feb/22/16 Mar/15/16
Description: AMAT 0100-01439 Loop Control 0 LCVME-10X used working
used1eqsales Used - $1,202.16 0 Feb/23/16 Mar/29/18
Description: AMAT 9090-00785 Electrode Assembly Rev B AMAT Qauntum X used working
visionsemi Used - $60.00 0 Feb/23/16 Jan/18/17
Description: Sanyo Denki PV2A015SMT1P50-01 Super Servo BL Drive AMAT 0190-12138 Used Working
usedeqsales Used - $402.16 0 Feb/24/16 Jan/22/18
Description: DIP DIP-131-483 DeviceNet CDN491 PCB Card AMAT 0660-01879 Used Working
usedeqsales Used - $402.16 1 Feb/24/16 Dec/12/16
Description: SBS Greenspring cPCI-100-BP OCTAPLUS 232 PCB Card AMAT 0190-05410 Used Working
srctech1 Used - $1,200.00 0 Feb/26/16 Mar/27/16
Description: AMAT 0100-35227 E CHUCK CONTROLLER BOARD USED WORKING
situmeijian Used - $5,800.00 0 Feb/27/16 Dec/18/16
Description: ENI OEM-12B3-08 RF Generator AMAT 0190-76028 Used Tested Working
usedeqsales Used - $803.16 0 Mar/07/16 Nov/07/19
Description: AMAT Applied Materials 0020-84586 300 Txz Slit Valve Lid Used Working
usedeqsales Used - $803.16 0 Mar/07/16 Apr/06/17
Description: HiTek Power 0090-91382 High Voltage Resistor AMAT Applied Materials Used Working
usedeqsales Used - $2,506.07 0 Mar/10/16 Jul/25/17
Description: Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working
usedeqsales Used - $403.16 1 Mar/10/16 Jun/06/18
Description: K-Tec Technology WKE-200 Current Transformer AMAT 1360-01227 Used Working
rfsurplus123 Used - $200.00 1 Mar/11/16 Jun/11/16
Description: Nor-Cal Products 3870-01455 Pneumatic Angle Isolation Valve AMAT Used Working
keykorea Used - $8,000.00 0 Mar/12/16 May/16/16
Description: AMAT 0190-11528 0660-00032 VME PIII 400MHZ SINGLE SLOT DUAL ENET, WORKING
usedeqsales Used - $3,203.16 1 Mar/21/16 Jun/20/17
Description: OEM-12B ENI OEM-12B-02 RF Generator Rev. L AMAT 0190-70080 Used Tested Working
usedeqsales Used - $1,503.16 1 Mar/21/16 Nov/02/16
Description: OEM-12B ENI OEM-12B-02 RF Generator AMAT 0190-70080 Tested Not Working As-Is
20041014625pm Refurbished - $995.00 0 Mar/21/16 Jun/12/16
Description: Lam Research ( Millipore ) Manometer CMLA-11S02 685-008160-001 Working
20041014625pm Refurbished - $995.00 0 Mar/21/16 Jun/12/16
Description: Lam Research ( Tylan General) Manometer CMLA-11S02 853-017643-001 Working
instechlab Used - $100.00 0 Mar/28/16 Apr/04/16
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
srctech1 Used - $1,200.00 0 Mar/27/16 Apr/05/16
Description: AMAT 0100-35227 E CHUCK CONTROLLER BOARD USED WORKING
srctech Used - $1,300.00 0 Apr/05/16 Nov/02/16
Description: AMAT 0100-35227 E CHUCK CONTROLLER BOARD USED WORKING
usedeqsales Used - $204.16 0 Apr/11/16 Jan/18/18
Description: AMAT Applied Materials 0130-00537 SD Stepper Interface PCB Used Working
surplusfreight555 Used - $125.00 0 Apr/14/16 May/14/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
usedeqsales Used - $3,504.16 0 Apr/15/16 Feb/12/20
Description: Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working
instechlab Used - $200.00 1 Apr/26/16 Jul/18/16
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
usedeqsales Used - $154.16 1 Apr/27/16 Jun/22/21
Description: Novellus Systems 03-320080-00 Backplane PCB Board Used Working
usedeqsales Used - $2,504.16 0 Apr/28/16 Mar/14/17
Description: AMAT Applied Materials 0010-21748 PVG RF Match 300mm Preclean/RPC Used Working
jinhyucle_0 Used - $5,000.00 0 May/03/16 May/04/16
Description: AMAT 0920-00139 Apex 3013 AE Advanced Energy RF Generator Used working 3156114-6
usedeqsales Used - $6,805.16 0 May/04/16 Feb/28/18
Description: SMC INR-498-012B Thermo Chiller HX-2000 AMAT 0190-19632 Used Tested Working
usedeqsales Used - $505.16 0 May/05/16 Jun/23/16
Description: AMAT Applied Materials 0020-84799 Source Turbo Extraction Plate Used Working
keykorea Used - $4,500.00 0 May/06/16 May/16/16
Description: AMAT 0190-14502 MEI V6U/DSP Motion Controller, WORKING
austin6032 Used - $70,000.00 0 May/05/16 Jun/04/16
Description: Endura XP Robot AMAT 0010-11364, 0010-35807 USED WORKING
usedeqsales Used - $3,505.16 3 May/06/16 Feb/21/17
Description: ADTEC AX-1000-AMII RF Plasma Generator AMAT 0190-55019 Used Tested Working
jinhyucle_0 Used - $3,500.00 2 May/09/16 May/16/16
Description: AMAT 0920-00139 Apex 3013 AE Advanced Energy RF Generator Used working 3156114-6
used1eqsales Used - $655.16 0 May/10/16 Feb/15/18
Description: Edwards VAT B90002031 Pneumatic Gate Valve used working
usedeqsales Used - $428.07 1 May/12/16 Sep/02/16
Description: HVA 21251-1003Z-001 Pneumatic 10 Inch Gate Valve AMAT 3870-02881 Used Working
surplusfreight555 Used - $125.00 0 May/14/16 Jun/13/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
usedeqsales Used - $255.16 2 May/16/16 Jun/10/16
Description: CTI-Cryogenics 8080250K003 Maintenance Manifold AMAT 4060-90000 Used Working
usedeqsales Used - $155.16 0 May/16/16 Jun/01/18
Description: CTI-Cryogenics 8112099G001 On-Board Cryopump AMAT 0620-01201 Used Working
usedeqsales Used - $155.16 0 May/16/16 Aug/11/21
Description: Nor-Cal Products 3870-02286 Manual Angle Valve AMAT Used Working
usedeqsales Used - $205.16 1 May/23/16 Jun/26/24
Description: CTI-Cryogenics 8041617G007 Cryopump Helium CryoLine 2' AMAT 3400-01076 Working
usedeqsales Used - $1,005.16 3 May/26/16 Jul/16/21
Description: AMAT Applied Materials 0190-76273 Power Supply P1183-208/208 Used Working
usedeqsales Used - $405.16 0 May/26/16 Jun/14/16
Description: McLean Engineering UES17H115S29 Fantray AMAT 0190-70066 Used Working
usedeqsales Used - $4,555.01 1 May/26/16 Aug/24/16
Description: CTI-Cryogenics IS-1000 Compressor LV AMAT 3620-00317 Used Tested Working
jinhyucle_0 Used - $600.00 1 May/27/16 Jul/05/17
Description: AMAT 0190-05111 CONTROL VIDEO SWITCH, USED TESTED WORKING, OPENED PACKAGE
jinhyucle_0 Used - $1,200.00 0 Jun/01/16 Dec/18/17
Description: AMAT 0090-05024 RF FILTER PRODUCER SE/GT USED TESTED WORKING
jinhyucle_0 Used - $4,500.00 1 Jun/01/16 Jul/28/16
Description: AMAT 0920-00149 RFG TRUMPF SSM 3000 EtherCAT USED WORKING TESTED
usedeqsales Used - $206.16 8 Jun/01/16 Jun/03/16
Description: AMAT Applied Materials 0015-01864 Ploshing Head Clamp Lot of 2 Used Working
usedeqsales Used - $756.16 5 Jun/02/16 Jun/15/16
Description: MKS Instruments 852B-13384 Baratron AMAT 1350-01200 Lot of 10 Used Working
usedeqsales Used - $756.16 2 Jun/02/16 Jun/15/16
Description: MKS Instruments 852B12PCJ2GC Baratron AMAT 1350-01200 Lot of 10 Used Working
usedeqsales Used - $206.16 3 Jun/02/16 Jul/14/16
Description: MKS Instruments 852B12PCJ2GC Baratron AMAT 1350-01200 Lot of 2 Used Working
usedeqsales Used - $206.16 4 Jun/02/16 Nov/09/16
Description: MKS Instruments 852B-13384 Baratron AMAT 1350-01200 Lot of 2 Used Working
katsu9202408 NEW - $2,195.95 0 Jun/03/16 Sep/26/17
Description: Comet 20049802 RF Match AMAT 0190-38451 New Working Ag Flamatt
katsu9202408 NEW - $2,795.95 1 Jun/03/16 Apr/20/17
Description: Comet 20033325 RF Match AMAT 0190-38451 New Working Ag Flamatt
usedeqsales Used - $256.16 1 Jun/03/16 Jul/25/16
Description: MKS Instruments 179A51CR3BM---S Mass-Flo Meter AMAT 3030-06040 Used Working
jinhyucle_0 Used - $350.00 0 Jun/06/16 Nov/18/16
Description: AMAT 0040-38036 BRACKET RF FLANGE PRODUCER 300MM/200MM, USED WORKING TESTED
usedeqsales Used - $3,506.16 0 Jun/07/16 Jan/13/21
Description: Newport 35-3700-1425-18 Wafer Transfer Robot AMAT 0190-22248 Used Working
usedeqsales Used - $1,506.16 1 Jun/07/16 Aug/03/16
Description: AMAT Applied Materials 0010-20331 Dual Degas Magnet Driver Used Working
keykorea Used - $8,000.00 0 Jun/07/16 Jun/08/16
Description: AMAT 0190-11528 0660-00032 VME PIII 400MHZ SINGLE SLOT DUAL ENET, WORKING
usedeqsales Used - $5,005.15 1 Jun/09/16 Mar/27/17
Description: AMAT Applied Materials 0010-04662 300mm PVD Chamber Assembly Endura Used Working
surplusfreight555 Used - $125.00 0 Jun/13/16 Jul/13/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
used1eqsales Used - $3,506.16 0 Jun/16/16 Mar/22/18
Description: TEL T-3044SS Pre Aligner Loader Module used working
usedeqsales Used - $1,011.11 0 Jun/16/16 Jun/16/16
Description: Lam Research 810-017012-001 Heartbeat PCB Board Rev. D Used Working
usedeqsales Used - $1,256.07 0 Jun/21/16 Aug/24/16
Description: Advnace Hivolt 1140-90129 Power Supply AMAT 0090-91415ITL HiTek Used Working
used1eqsales Used - $756.16 1 Jun/28/16 Sep/20/17
Description: AMAT 0010-22226 Throttle Valve Assembly used working
used1eqsales Used - $706.16 0 Jun/28/16 Mar/26/18
Description: Novellus 02-168108-00 Sesioc Sioc Bath Module used working
used1eqsales Used - $706.16 0 Jun/28/16 Mar/26/18
Description: Novellus 02-168109-00 Sesioc Sioc Electrofill used working
used1eqsales Used - $1,006.16 1 Jul/01/16 Mar/29/18
Description: AMAT 0100-20346 Smoke & Water Leak Detector 0130-20346 Rev 006 used working
used1eqsales Used - $1,006.16 0 Jul/01/16 Dec/21/17
Description: AMAT 0100-00611 Smoke & Water Leak Detector 0130-00611 Rev 005 used working
used1eqsales Used - $507.16 0 Jul/05/16 Dec/18/17
Description: AMAT Applied Materials 0660-01865 Circuit Board CDN391 15039103 used working
used1eqsales Used - $507.16 0 Jul/06/16 May/31/17
Description: AMI 0190-76273 Power Supply P1183-208/208 AMAT Centura used working
usedeqsales Used - $407.16 0 Jul/11/16 Jul/18/16
Description: AMAT Applied Materials 0100-01884 Ave Voltage Control PCB Card Used Working
usedeqsales Used - $907.16 0 Jul/11/16 Apr/02/23
Description: AMAT Applied Materials 0100-90385 Contactor Drive PCB Card No Face Used Working
keykorea Used - $8,000.00 0 Jul/12/16 Jan/12/17
Description: AMAT 0190-11528 0660-00032 VME PIII 400MHZ SINGLE SLOT DUAL ENET, WORKING
usedeqsales Used - $1,003.58 0 Jul/14/16 Aug/24/16
Description: AMAT Applied Materials 9090-00791 Power Supply Assembly Used Working
jabedow Used - $2,000.00 1 Jul/17/16 Jul/17/16
Description: AMAT 0190-11528 VME PIII 400MHZ SINGLE SLOT DUAL ENET, WORKING
usedeqsales Used - $707.16 0 Jul/18/16 Apr/02/23
Description: AMAT Applied Materials 0100-01487 PSD Board GRIPPER IN LL PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/18/16 Sep/24/18
Description: AMAT Applied Materials 0100-00941 Gap Servo PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/18/16 May/18/22
Description: AMAT Applied Materials 0110-01139 PSD Board GRIPPER IN LL PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/19/16 May/18/22
Description: AMAT Applied Materials 0110-01139 PSD Board E-CHUCK PCB Card Used Working
usedeqsales Used - $707.16 7 Jul/19/16 Nov/03/17
Description: AMAT Applied Materials 0100-00991 Gap Servo PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/19/16 May/18/22
Description: AMAT Applied Materials 0110-01139 PSD Board BEAM ALIGN PCB Card Used Working
usedeqsales Used - $407.16 0 Jul/18/16 May/18/22
Description: AMAT Applied Materials 0110-98079 I/O Processor Sensor PCB Card Used Working
usedeqsales Used - $707.16 1 Jul/19/16 Jun/05/17
Description: AMAT Applied Materials 0100-02146 EVR Gap Servo PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/19/16 Feb/26/20
Description: AMAT Applied Materials 0100-01734 EVR Lateral Servo PCB Card Used Working
usedeqsales Used - $503.58 0 Jul/20/16 Aug/24/16
Description: Xantrex XHR 7.5-80 DC Power Supply AMAT 1140-00154 Used Tested Working
usedeqsales Used - $610.09 0 Jul/22/16 Apr/02/23
Description: AMAT Applied Materials 0090-91229 Cryo Pump Auto N2 Purge Module Used Working
allpart2016 Used - $390.00 0 Jul/24/16 Jan/20/17
Description: AMAT daq Mk11 type H 0090-90967 Circuit Board AMAT Quantum X working
usedeqsales Used - $807.16 1 Jul/26/16 Aug/04/16
Description: AMAT Applied Materials 0040-18213 Cold Plate Ultima 200mm HDPCVD Used Working
jabedow Used - $1,300.00 1 Jul/27/16 Aug/12/16
Description: AMAT 0020-79039 SPINDLE ASSY, 300MM USED WORKING
surplusfreight555 Used - $80.00 0 Aug/03/16 Sep/02/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
usedeqsales Used - $808.16 0 Aug/11/16 Jul/11/17
Description: AMAT Applied Materials 0035-18000 5000 Watt Heater Plate Used Working
happyglobalsolution Used - $70,000.00 0 Aug/11/16 Nov/04/16
Description: ENDURA XP ROBOT AMAT 0010-11364, 0010-35807 USED WORKING
used1eqsales Used - $358.16 1 Aug/12/16 Nov/01/16
Description: AMAT 0190-01769 RF Gen Rack Output Cable AMAT Endura used working
used1eqsales Used - $358.16 1 Aug/12/16 Jan/11/17
Description: AMAT 0190-05576 Power Supply Cable AMAT Endura used working
surplusseller13 Used - $195.45 0 Aug/12/16 Dec/06/17
Description: ABB EH 175 Contactor EH Series AMAT 1200-01400 150HP 120V Coil Used Working
allpart2016 Used - $250.00 0 Aug/16/16 Jun/05/18
Description: Mesa Power Systems 10651 Power Supply Card AMAT 0190-08875 Used Working
used1eqsales Used - $2,008.16 0 Aug/16/16 Mar/29/18
Description: AMAT Applied Materials 9090-01162 Energy Control Chassis PXP41L used working
used1eqsales Used - $908.16 0 Aug/30/16 Oct/11/17
Description: AMAT 9090-00790 Resistor (Lot of 4) AMAT Quantum X used working
surplusfreight555 Used - $80.00 0 Sep/02/16 Oct/02/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
usedeqsales Used - $759.16 3 Sep/06/16 Jan/15/18
Description: MKS Instruments L2-40-SP1 Two Stage Inline Valven AMAT 0190-76185 Used Working
usedeqsales Used - $4,509.16 0 Sep/14/16 Feb/23/18
Description: CTI-Cryogenics 8116030G001 8F Cryopump AMAT 0190-76014 Used Tested Working
usedeqsales Used - $856.15 1 Sep/14/16 Sep/19/16
Description: HVA 21251-1003Z-001 Pneumatic 10 Inch Gate Valve AMAT 3870-02881 Used Working
usedeqsales Used - $856.15 0 Sep/23/16 Sep/26/16
Description: HVA 21251-1003Z-001 Pneumatic 10 Inch Gate Valve AMAT 3870-02881 Used Working
usedeqsales Used - $1,009.16 1 Sep/30/16 Feb/23/22
Description: AMAT Applied Materials 9090-00979 Electrode Assembly 3X2H Used Working
surplusfreight555 Used - $80.00 0 Oct/02/16 Nov/01/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
usedeqsales Used - $606.15 1 Oct/04/16 Oct/05/16
Description: MKS Instruments 627B12TBC1B Baratron AMAT 1350-00128 Used Tested Working
usedeqsales Used - $309.16 0 Oct/04/16 Mar/16/23
Description: AMAT Applied Materials 0100-90708 Vacuum Control Interlock PCB Card Used Working
usedeqsales Used - $310.16 0 Oct/05/16 Jan/23/19
Description: AMAT Applied Materials 0100-00970 Spin Window PCB Card Used Working
usedeqsales Used - $510.16 16 Oct/05/16 Jan/23/19
Description: AMAT Applied Materials 0090-90967 Power Supply daq MkII type H Used Working
usedeqsales Used - $705.15 0 Oct/05/16 Jan/23/19
Description: AMAT Applied Materials 0100-01844 Charge Voltage PCB Card Used Working
jabedow Used - $600.00 1 Oct/09/16 Mar/10/17
Description: AMAT 0020-79039 SPINDLE ASSY, 300MM USED WORKING
usedeqsales Used - $4,510.16 1 Oct/11/16 Jul/03/17
Description: OEM-28B ENI OEM-28B-04 Power Generator Novellus 27-832269-00 Used Tested Working
usedeqsales Used - $611.16 0 Nov/08/16 Apr/28/17
Description: Spellman X2784 Power Supply ESC1.5PN75X2784 Rev. C1 AMAT 0190-07247 Used Working
usedeqsales Used - $611.16 0 Nov/08/16 Apr/28/17
Description: Spellman X2784 Power Supply ESC1.5PN75X2784 Rev. D4 AMAT 0190-07247 Used Working
usedeqsales Used - $2,011.16 1 Nov/09/16 Feb/14/17
Description: HiTek Power 1140-00166 High Voltage Power Supply A1028760 AMAT Used Working
usedeqsales Used - $811.16 0 Nov/09/16 Dec/30/16
Description: AMAT Applied Materials 9090-01382 G2 Series Protection Resistor Used Working
usedeqsales Used - $511.16 1 Nov/30/16 Aug/23/22
Description: Novellus Systems 26-167697-00 I/O Interface Gamma 2130 PCB 03-167697-00 Working
usedeqsales Used - $1,012.16 1 Dec/14/16 Aug/21/21
Description: AMAT Applied Materials 0100-00093 Stepper Drive PCB VME Card Used Working
usedeqsales Used - $812.16 0 Dec/15/16 Apr/13/23
Description: AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
usedeqsales Used - $812.16 1 Dec/15/16 Aug/16/19
Description: AMAT Applied Materials 0100-20004 Chamber Interconnect Board PCB Used Working
usedeqsales Used - $812.16 0 Dec/15/16 Dec/08/20
Description: AMAT Applied Materials 0100-76012 HDP Chamber Interface PCB Card Used Working
usedeqsales Used - $362.16 20 Dec/15/16 Jan/13/20
Description: AMAT Applied Materials 0100-00003 Stepper Drive PCB VME Card Used Working
usedeqsales Used - $1,012.16 0 Dec/15/16 Jun/14/17
Description: AMAT Applied Materials 0120-20067 Stepper Controller PCB VME Card Used Working
usedeqsales Used - $912.16 1 Dec/15/16 Jan/20/17
Description: AMAT Applied Materials 0100-20100 Analog Input/Output PCB VME Card Used Working
usedeqsales Used - $912.16 0 Dec/15/16 Dec/15/16
Description: AMAT Applied Materials 0100-35065 Serial Isolator PCB Card Used Working
usedeqsales Used - $1,212.16 0 Dec/16/16 Nov/03/17
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $505.15 4 Dec/22/16 Feb/21/19
Description: AMAT Applied Materials 9090-00314 Power Supply daq MkII type H Used Working
uam_126 Used - $2,000.00 0 Dec/29/16 Jan/10/17
Description: AMAT 0190-76005 SPEC CNTL DWG, INTELLIGENT MOTOR CONTROL, WORKING
usedeqsales Used - $151.17 0 Jan/03/17 Jul/20/20
Description: Leybold 85931-000-3M Heater Cable AMAT Applied Materials 0620-01188 Used Working
usedeqsales Used - $151.17 0 Jan/03/17 Jul/20/20
Description: Leybold 85932-000-3M Cooler Cable AMAT Applied Materials 0620-01186 Used Working
usedeqsales Used - $801.17 2 Jan/06/17 Jan/29/19
Description: AMAT Applied Materials 0021-20838 Pedestal LG OD Preclean 300MM Used Working
uam_126 Used - $400.00 0 Jan/07/17 Jan/10/17
Description: AMAT Applied Materials 0100-76124 Digital I/O PCB Board Used Working
hicillau Used - $800.00 0 Jan/18/17 Oct/06/21
Description: AMAT CHAMBER INTERCONNECT ASSY BD P/N: 0100-20004 USED WITH WORKING CONDITION
uam_126 Used - $400.00 0 Jan/20/17 Feb/22/17
Description: AMAT Applied Materials 0100-76124 Digital I/O PCB Board Used Working
uam_126 Used - $2,000.00 0 Jan/20/17 Feb/22/17
Description: AMAT 0190-76005 SPEC CNTL DWG, INTELLIGENT MOTOR CONTROL, WORKING
levmucciacciar0 Used - $1,000.00 0 Jan/29/17 Feb/21/17
Description: Shimadzu EI-D3603M Turbomolecular Pump Controller AMAT 0010-32353 Used Working
visionsemi Used - $60.00 0 Feb/01/17 May/26/17
Description: Sanyo Denki PV2A015SMT1P50-01 Super Servo BL Drive AMAT 0190-12138 Used Working
usedeqsales Used - $601.17 0 Feb/06/17 Apr/07/17
Description: AMAT Applied Materials 0100-90890 Spin/Scan Interlock PCB Card XR80 Used Working
usedeqsales Used - $902.17 0 Feb/06/17 Jan/10/19
Description: AMAT Applied Materials 0100-90092 Arc Switchmode PCB Card XR80 Used Working
usedeqsales Used - $602.17 1 Feb/09/17 Dec/12/19
Description: AMAT Applied Materials 0040-02954 Mounting Spacer Ring DTCU Used Working
usedeqsales Used - $302.17 12 Feb/09/17 May/31/21
Description: Proteus Industries 91025006S24P2 Flow Meter AMAT 0090-76204 Used Working
usedeqsales Used - $512.17 1 Feb/09/17 Oct/20/17
Description: AMAT Applied Materials 0090-90967 Power Supply daq MkII type B Used Working
usedeqsales Used - $5,502.17 1 Feb/15/17 Apr/24/17
Description: CTI-Cryogenics 8135900G001 9600 Compressor AMAT 3620-01389 Used Tested Working
usedeqsales Used - $302.17 3 Feb/20/17 Jan/20/20
Description: AMAT Applied Materials 0100-00415 G2/G3 Protection and Delatch PCB Used Working
keykorea Used - $2,500.00 1 Feb/20/17 Feb/23/17
Description: AMAT 0100-00793 PCBA VGA DISPLAY W/LP, WORKING
usedeqsales Used - $252.17 0 Feb/22/17 Dec/12/18
Description: UNIT Instruments UFC-8160 Mass Flow Controller AMAT 3030-09611 Used Working
usedeqsales Used - $352.17 2 Feb/22/17 Aug/01/17
Description: ASTeX ABX-X494 HV Filter Board AMAT Applied Materials 0190-01291 Used Working
nevadasurplus-com Used - $2,499.99 16 Feb/28/17 May/29/19
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
wafersystemsolution Used - $22,000.00 1 Feb/28/17 Mar/23/18
Description: AMAT 0010-22161, COMDEL RF Power Source CPS-500AS, AMAT E-Clean Cart, WORKING
usedeqsales Used - $3,503.17 0 Mar/03/17 Nov/21/17
Description: TDK TAS300 300mm Wafer Load Port Type E4 AMAT 0190-17837 Used Working
usedeqsales Used - $203.17 1 Mar/08/17 Dec/07/18
Description: Pacific Scientific P2HNRXF-LSS-M1-02 Stepping Motor AMAT 0090-70008 Used Working
hicillau Used - $9,000.00 1 Mar/09/17 Dec/12/17
Description: AMAT CONTROLLER I/O ASSY PCB P/N: 0100-76050 USED WITH WORKING CONDITION
vizko2017 Used - $340.00 0 Mar/09/17 Aug/17/22
Description: Nikon Case I/F PCB 4S018-646 Working
techequipsales Used - $200.00 2 Mar/27/17 Jul/07/17
Description: Applied Materials 0190-36511 Device Net I/O Block DIP294 (used working)  
semionepage Used - $9,580.00 0 Mar/29/17 Jul/23/21
Description: ENI OEM-12B3/ OEM-12B3-08 / AMAT 0920-01061 WORKING With 180 DaysWarranty!
usedeqsales Used - $307.15 1 Mar/29/17 Jun/11/18
Description: AMAT Applied Materials 0050-90658 ISO160 F to ISO160 K Flange Used Working
usedeqsales Used - $353.17 0 Mar/29/17 Jul/18/17
Description: MKS Instruments 750B11TCD2GA Baratron AMAT 0190-18037 Used Tested Working
nevadasurplus-com Used - $99.99 1 Mar/31/17 Apr/07/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $404.17 0 Apr/05/17 Nov/30/22
Description: AMAT Applied Materials 0100-01492 Source Conditioning Board PCB Used Working
usedeqsales Used - $504.17 0 Apr/05/17 Sep/06/23
Description: DIP 15039603 DeviceNet Analog I/O PCB Card CDN396 AMAT 0190-12148 Working Spare
usedeqsales Used - $354.17 1 Apr/06/17 Jul/05/19
Description: AMAT Applied Materials 0100-20097 Water Leak Detector Board PCB Used Working
usedeqsales Used - $454.17 5 Apr/11/17 Jan/08/19
Description: Tylan General CMLA-11 Baratron Gauge Lam 853-017643-003 Used Tested Working
usedeqsales Used - $3,504.17 0 Apr/13/17 Nov/21/17
Description: TDK TAS300 300mm Wafer Load Port Type F1 AMAT 0190-11409 Used Working
usedeqsales Used - $7,004.17 0 Apr/17/17 Jun/05/18
Description: CTI-Cryogenics 3620-00474 IS-1000 Compressor LV AMAT Used Tested Working
usedeqsales Used - $204.17 1 Apr/18/17 May/01/20
Description: AMAT Applied Materials 0150-35488 Monitor Cable Reseller Lot of 2 Used Working
usedeqsales Used - $3,504.17 0 Apr/18/17 Mar/31/20
Description: AMAT Applied Materials 0010-33724 High Efficiency RF Bias Match Used Working
cubit001 Refurbished - $2,800.00 0 Apr/23/17 May/23/17
Description: AMAT 0190-76050, PCB ASSY VGA VIDEO BOARD Refurbished, Working Condition.
usedeqsales Used - $2,004.17 0 Apr/26/17 Oct/04/19
Description: AMAT Applied Materials 9090-00791 ITL 29Z Power Supply Assembly Used Working
usedeqsales Used - $3,804.17 0 Apr/26/17 Apr/09/18
Description: Brooks 6-0002-0705-SP Wafer Robot WTM511-2-FWS02-V1 AMAT 0190-08245 Used Working
nevadasurplus-com Used - $499.99 0 Apr/26/17 May/03/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $5,004.17 1 Apr/28/17 Jan/08/21
Description: CTI-Cryogenics 3620-00503 IS-1000 Compressor LV AMAT Used Tested Working
usedeqsales Used - $254.17 1 Apr/28/17 Dec/04/17
Description: AMAT Applied Materials 0090-91640 Power Supply Assembly WSR45UM44-C Used Working
usedeqsales Used - $1,962.92 0 Apr/28/17 Jun/15/23
Description: AMAT Applied Materials 0040-61814 200mm Chamber Centura RTP Used Working
usedeqsales Used - $1,204.17 1 Apr/28/17 Oct/13/17
Description: Celerity 9010-02276 ITL CO2 Gas Panel Assembly AMAT 9240-05618ITL Used Working
bobsgoodies NEW - $725.00 4 Apr/29/17 Mar/29/18
Description: AMAT 0010-77763 PNEUMATICS, ASSY DUAL WAFER ROBOT MIRRA Working
usedeqsales Used - $254.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00095 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $354.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00195 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $255.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00096 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $255.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00097 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $255.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00077 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $3,503.62 1 May/01/17 Feb/11/20
Description: CTI-Cryogenics 3620-00317 On-Board IS-1000 Compressor AMAT Used Tested Working
usedeqsales Used - $405.17 2 May/02/17 Nov/15/22
Description: McLean Engineering UES17H115S29 Fan Tray AMAT 0190-70066 P5000 Working Surplus
usedeqsales Used - $705.17 1 May/04/17 Nov/09/17
Description: AMAT Applied Materials 0100-91055 Gap Servo PCB Card 0110-90824 Used Working
nevadasurplus-com Used - $499.99 0 May/04/17 May/11/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Scrap, for parts - $5,005.17 0 May/04/17 Jun/23/17
Description: CTI-Cryogenics 3620-00474 IS-1000 Compressor LV AMAT Tested Not Working As-Is
usedeqsales Used - $1,005.17 0 May/10/17 May/18/17
Description: Xantrex XHR 7.5-80 DC Power Supply AMAT 1140-00154 Used Tested Working
nevadasurplus-com Used - $499.99 0 May/11/17 May/18/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $355.17 3 May/16/17 Jan/18/18
Description: Panasonic MQDB012AAD02 AC Servo Motor Drive AMAT 0190-15328 Used Working
usedeqsales Used - $305.17 1 May/16/17 Aug/11/17
Description: Yaskawa SGDH-04AE Y904 Servo Drive Servopack AMAT 0190-08039 Used Working
usedeqsales Used - $505.17 0 May/16/17 Sep/22/20
Description: DIP Incorporated 15039603 CDN396 Board PCB Card AMAT 0190-01270 Used Working
usedeqsales Used - $505.17 0 May/16/17 Mar/26/20
Description: MKS Instruments AS01391-21 CDN391R Board PCB Card AMAT 0190-24115 Used Working
nevadasurplus-com Used - $499.99 0 May/22/17 May/29/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
used1eqsales Used - $801.14 0 Jun/02/17 Mar/16/18
Description: AMAT Applied Materials Electrode 0020-80664 0190-90758 0020-80667 working
used1eqsales Used - $512.13 0 Jun/02/17 Mar/15/18
Description: Applied Materials 0130-76117 Circuit Board Gen Rack Indicator Rev 009 working
used1eqsales Used - $705.15 1 Jun/02/17 Dec/20/17
Description: AMAT Contacter Drive 0100-90385 Circuit Board AMAT Quantum X working
used1eqsales Used - $705.15 0 Jun/02/17 Mar/15/18
Description: AMAT 0100-01415 Vendor Interface A Mag PCB Quantum X Beamline Rack used working
used1eqsales Used - $705.15 0 Jun/02/17 Mar/29/18
Description: AMAT Guiding Tube Circuit Board 0090-91085 AMAT Quantum Impanter working
used1eqsales Used - $705.15 2 Jun/02/17 Mar/29/18
Description: AMAT 0100-91015 Circuit Board Monitor Interface Rev E AMAT Quantum used working
used1eqsales Used - $705.15 0 Jun/02/17 Dec/20/17
Description: AMAT Circuit Board Decel PSU Interface 0100-01697 AMAT Quantum working
used1eqsales Used - $705.15 0 Jun/02/17 Mar/29/18
Description: AMAT Circuit Board Focus PSU Interface 0100-01877 AMAT Quantum working
used1eqsales Used - $3,505.15 0 Jun/02/17 Mar/29/18
Description: AMAT 9090-01144 PROCR & LL SENSOR CHASSIS Rev A AMAT Quantum X PRA Rack working
used1eqsales Used - $3,508.13 0 Jun/02/17 Mar/16/18
Description: AMAT Applied Materials Power Supply 9090-00945 AMAT Quantum working
used1eqsales Used - $2,005.13 0 Jun/02/17 Mar/29/18
Description: AMAT Applied Materials 0190-24298 Endura Cable Rev. 002 Used Working
used1eqsales Used - $2,005.13 0 Jun/02/17 Mar/29/18
Description: AMAT Applied Materials 0190-00576 Endura Main Frame Cable Rev. 003 Used Working
used1eqsales Used - $2,005.13 0 Jun/02/17 Mar/29/18
Description: AMAT Applied Materials 0190-28182 Endura RF Cable Rev. 03 Used Working
used1eqsales NEW - $803.13 0 Jun/01/17 Mar/16/18
Description: AMAT Applied Materials 0040-13509 300mm Preclean 21 OD Belljar Used Working
used1eqsales Used - $2,003.13 0 Jun/01/17 Mar/16/18
Description: AMAT Applied Materials 9010-01545 S2S Softdrive SAF305-045 Used Working
used1eqsales Used - $710.15 10 Jun/02/17 Dec/20/17
Description: AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working
used1eqsales Used - $705.15 0 Jun/02/17 Mar/15/18
Description: AMAT Enhanced Purge I/Lock 0100-01925 Circuit Board AMAT Quantum X working
used1eqsales Used - $705.15 0 Jun/02/17 Mar/15/18
Description: AMAT Vacuum Interlock 0100-90881 Circuit Board AMAT Quantum X working
used1eqsales Used - $705.15 0 Jun/02/17 Dec/20/17
Description: AMAT Vacuum Gauge Interface 0100-90710 Circuit Board AMAT Quantum X working
used1eqsales Used - $705.15 0 Jun/02/17 Mar/15/18
Description: AMAT Turbo Pump Interface 0100-01326 Circuit Board AMAT Quantum X working
used1eqsales Used - $404.16 0 Jun/02/17 Mar/29/18
Description: Particle Measuring Systems 659510-100 FiberVac II AMAT 9090-01134 used working
usedeqsales Used - $1,005.17 0 Jun/01/17 Jun/14/17
Description: Xantrex XHR 7.5-80 DC Power Supply AMAT 1140-00154 Used Tested Working
used1eqsales Used - $705.15 0 Jun/05/17 Dec/20/17
Description: AMAT 0100-00970 Spin Window Circuit Board AMAT Quantum X Control Rack working
used1eqsales Used - $1,252.14 0 Jun/05/17 Mar/29/18
Description: AMAT 9010-01381 Celerity Gas Card GeF4 AMAT Quantum X Gas Box working
used1eqsales Used - $705.15 0 Jun/05/17 Mar/29/18
Description: AMAT 0090-91694 PCB Chassis AMAT Quantum working
used1eqsales Used - $2,503.14 0 Jun/05/17 Mar/29/18
Description: HiTek 0090-91806 Power Supply AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/05/17 Dec/18/17
Description: DIP 0190-01270 Circuit Board DIP-216-075 AMAT Endura 300mm working
used1eqsales Used - $705.15 0 Jun/05/17 Mar/29/18
Description: AMAT 0100-00567 Gas Box Distribution Circuit Board AMAT Endura 300mm working
used1eqsales Used - $3,011.13 0 Jun/05/17 Mar/16/18
Description: AMAT Quantum Leap 2 Process Module Load Lock Door 9010-00299 300mm working
used1eqsales Used - $705.15 0 Jun/05/17 Mar/16/18
Description: AMAT Applied Materials 0100-00546 Circuit Board AMAT Endura 300mm working
used1eqsales Used - $154.14 0 Jun/05/17 Dec/08/17
Description: DIP 294-2 Device Net I/O Block 9090-00273 AMAT Quantum X Process Module working
used1eqsales Used - $4,008.14 0 Jun/08/17 Mar/27/18
Description: AMAT 0010-22568 CPI-VMO Chamber Source 4 Rev 001 AMAT Endura 300mm used working
used1eqsales Used - $507.14 0 Jun/08/17 Mar/27/18
Description: AMAT Quantum X 9090-00879 Gas Interlock Chassis Module Rev. B used working
used1eqsales Used - $1,604.16 3 Jun/08/17 Oct/31/17
Description: CTI 0500-00277 On Board IS Controller AMAT Quantum X PRA Rack used working
used1eqsales Used - $1,512.14 0 Jun/08/17 Mar/27/18
Description: AMAT 9090-00846 Beamline Power Distribution Unit Rev B Quantum X used working
used1eqsales Used - $1,512.14 0 Jun/08/17 Mar/27/18
Description: AMAT 9090-00846 Beamline Power Distribution Unit Rev E Quantum X used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01490 Faraday Alignment PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01491 Beam Align PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01489 E-Chuck PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01488 Z Sensor PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01486 Y Sensor PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01485 X Sensor PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01490 Faraday Alignment PCB Rev C AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01491 Beam Align PCB Rev C AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01489 E-Chuck PCB Rev A AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01488 Z Sensor PCB Rev B AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Dec/20/17
Description: AMAT 0100-01487 Gripper IN LL PCB Rev B AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01486 Y Sensor PCB Rev B AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01485 X Sensor PCB Rev B AMAT Quantum X PRA Rack used working
used1eqsales Used - $5,005.15 0 Jun/08/17 Mar/27/18
Description: AMAT 9240-04939 Control Chassis Rev A Quantum X PRA Rack used working
used1eqsales Used - $1,001.16 0 Jun/09/17 Mar/27/18
Description: Novellus 02-169180-01 C3 Vector LTM R L K VCTR 101079 Assy LAM used working
used1eqsales Used - $2,509.14 0 Jun/09/17 Mar/27/18
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
used1eqsales Used - $2,209.14 0 Jun/09/17 Mar/27/18
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
used1eqsales Used - $990.15 0 Jun/09/17 Mar/26/18
Description: AMAT 0010-22876 Door Assembly 300mm MD SWLL 0040-03795-002 used working
used1eqsales Used - $2,001.13 0 Jun/13/17 Mar/21/18
Description: AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
used1eqsales Used - $2,001.13 0 Jun/13/17 Mar/21/18
Description: AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
used1eqsales Used - $5,000.00 0 Jun/12/17 Mar/21/18
Description: AMAT Applied Materials 9090-01095 Controller Chasis Rev. A Used Working
used1eqsales Used - $810.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0010-24405 Magnetic Source Rev. 004 0040-84886 used working
used1eqsales Used - $3,509.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0010-14528 Magnetic Source 3 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $3,509.15 0 Jun/12/17 Nov/20/17
Description: AMAT 0010-14528 Magnetic Source 2 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $506.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0020-52929 Graphite G3 Holder used working
used1eqsales Used - $710.15 0 Jun/12/17 Dec/20/17
Description: AMAT 0100-02195 SDS Gas Interlock PCB Rev A Quantum X used working
used1eqsales Used - $2,009.15 0 Jun/12/17 Mar/21/18
Description: Pyramid 0500-00300W X-Scan Controller 0120-01840 AMAT Quantum X used working
used1eqsales Used - $1,706.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0040-61317 Aluminum Oxide Coated Bell Jar 300mm cleaned once used working
used1eqsales Used - $1,606.15 1 Jun/12/17 Aug/29/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm used working
used1eqsales Used - $353.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0041-38981 RF Ground Shield Source 300mm RF PVD used working
used1eqsales Used - $1,203.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0200-76058 Quartz Pedestal Large Pin Diameter 300mm cleaned used working
used1eqsales Used - $1,506.15 0 Jun/12/17 Mar/21/18
Description: Novellus 04-341742-00 Pedestal Kit Welded Flat Preheat 2130 used working
techequipsales NEW - $800.00 1 Jun/26/17 Jun/04/20
Description: Novellus 02-800470-06 Pin lift 5+blank Rev. E Assembly (used working)  
vizvik16 Used - $2,900.00 1 Jul/19/17 Apr/13/18
Description: MDX Pinnacle AE Advanced Energy 3152412-264 AMAT 0190-25692 WORKING
nanan1687 Used - $198.00 2 Jul/23/17 Oct/12/17
Description: Oriental Motor Super Vexta 5-Phase Driver UDX5114N AMAT 0870-01002, working!
usedeqsales Used - $3,808.17 1 Aug/03/17 Jan/17/18
Description: CLX-2500 Comdel FO1336R2 RF Generator AMAT 0190-02702 Used Tested Working
usedeqsales Used - $257.15 0 Aug/08/17 Apr/27/21
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24834 1L H2 Used Working
usedeqsales Used - $408.17 2 Aug/09/17 Oct/30/18
Description: AMAT Applied Materials 0190-01769 Coaxial RF Pot Cable 75' Used Working
spsglobal Used - $100.00 1 Aug/17/17 Feb/22/23
Description: 129-0203// AMAT APPLIED 0100-11002 PWB DIGITAL I/O NOT WORKING
spsglobal Scrap, for parts - $200.00 0 Aug/17/17 Jun/02/20
Description: 129-0203// AMAT APPLIED 0100-09011 wPCB ASSY, A1 MUX/CURREN SENSE NOT WORKING
spsglobal Scrap, for parts - $300.00 1 Aug/17/17 Oct/05/19
Description: 129-0203// AMAT APPLIED 0100-00825 ASSY PCB AIO WITH NO A/D NOT WORKING
spsglobal Scrap, for parts - $150.00 0 Aug/17/17 Mar/12/19
Description: 129-0203// AMAT APPLIED 0100-00164 BOARD NOT WORKING
spsglobal Scrap, for parts - $150.00 0 Aug/17/17 Jan/18/21
Description: 129-0203// AMAT APPLIED 0100-00003 w PWB VME STEP CONT. I NOT WORKING
katiil3 Used - $449.00 1 Aug/23/17 Feb/19/18
Description: MKS Instruments L2-40-SP1 Two Stage Inline Valven AMAT 0190-76185 Used Working
usedeqsales Used - $508.17 1 Aug/23/17 Jun/14/18
Description: AMAT Applied Materials 0100-20027 Contactor Interlock Board PCB Used Working
usedeqsales Used - $1,009.17 1 Sep/12/17 Oct/27/17
Description: AMAT Applied Materials 0010-29563 Mirra 300mm Titan Head Used Working
usedeqsales Used - $4,009.17 1 Sep/13/17 Dec/13/17
Description: APEX 3013 AE Advanced Energy 0920-00128 RF 3156114-005 AMAT Used Tested Working
usedeqsales Refurbished - $4,009.17 1 Sep/14/17 Sep/16/17
Description: OEM-28B ENI OEM-28B-04 Power Generator Novellus 27-832269-00 Used Tested Working
usedeqsales Used - $4,009.17 0 Sep/14/17 Nov/10/17
Description: OEM-28B ENI OEM-28B-04 Power Generator Novellus 27-032269-00 Used Tested Working
dnd_surplus Used - $900.00 0 Sep/19/17 Oct/19/17
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
dnd_surplus Used - $1,200.00 0 Sep/22/17 Oct/22/17
Description: AMAT Applied Materials 0100-00423, PCB ASSY, SERIPLEX I/O PCB Card Used Working
usedeqsales Used - $1,954.59 1 Sep/26/17 Sep/25/19
Description: IPUP T100L Toyota 0190-30900 Vacuum Dry Pump 42953 Hrs AMAT Used Tested Working
usedeqsales Scrap, for parts - $1,909.17 0 Sep/26/17 May/03/18
Description: IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT Tested Not Working As-Is
usedeqsales Used - $1,954.59 1 Sep/26/17 Sep/25/19
Description: IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT Used Tested Working
usedeqsales Used - $4,709.17 1 Sep/29/17 Sep/25/18
Description: Spectrum B-5002 ENI B-5002-02 RF Generator AMAT 0190-15320 Used Tested Working
katsu9202408 NEW - $2,295.95 1 Oct/01/17 Jan/15/18
Description: Comet 20049802 RF Match AMAT 0190-38451 New Working Ag Flamatt
usedeqsales Used - $157.15 1 Oct/02/17 Dec/02/17
Description: MKS Instruments CDN127-7 Peripheral Device Adapter AMAT 0190-19018 Used Working
usedeqsales Refurbished - $4,009.17 1 Oct/02/17 Dec/14/17
Description: OEM-28B ENI OEM-28B-04 Power Generator Novellus 27-832269-00 Used Tested Working
usedeqsales Used - $1,010.17 1 Oct/11/17 Jan/25/19
Description: AMAT Applied Materials 9090-00790 Resistor Quantum X Used Working
orapma12012 Used - $699.00 0 Oct/15/17 Oct/22/17
Description: AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
usedeqsales Scrap, for parts - $1,510.17 1 Oct/18/17 Apr/26/18
Description: CTI-Cryogenics 3620-00474 IS-1000 Compressor LV AMAT Not Working No Power As-Is
dnd_surplus Used - $900.00 0 Oct/19/17 Nov/18/17
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
dnd_surplus Used - $1,200.00 0 Oct/22/17 Oct/28/17
Description: AMAT Applied Materials 0100-00423, PCB ASSY, SERIPLEX I/O PCB Card Used Working
usedeqsales Used - $1,510.17 1 Oct/24/17 Jul/26/18
Description: Digital Dynamics VECTOR HDSIOC 0 Controller Novellus 02-252395-00 Used Working
orapma12012 Used - $299.00 0 Oct/24/17 Oct/31/17
Description: AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
dnd_surplus Used - $1,200.00 1 Oct/26/17 Oct/31/17
Description: AMAT Applied Materials 0100-00423, PCB ASSY, SERIPLEX I/O PCB Card Used Working
orapma12012 Used - $299.00 0 Nov/05/17 Nov/12/17
Description: AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
usedeqsales Used - $1,010.17 0 Nov/05/17 Mar/03/22
Description: AMAT Applied Materials 0190-15591 Processor PCB Card 3181-00-061-A0 Working
usedeqsales Used - $410.17 0 Nov/06/17 Mar/03/22
Description: AMAT Applied Materials 0100-00454 Backplane Board PCB TPS 35-406246-03 Working
nevadasurplus-com Used - $1,199.99 0 Nov/07/17 Nov/14/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $807.12 0 Nov/10/17 Jan/10/19
Description: AMAT Applied Materials 9090-01161 Control Module XR80 Used Working
usedeqsales Used - $807.12 0 Nov/10/17 Jan/10/19
Description: AMAT Applied Materials 9090-00641 Control Module XR80 Implanter Used Working
usedeqsales Used - $1,812.09 0 Nov/10/17 Jan/10/19
Description: AMAT Applied Materials 9090-00098 Controller XR80 Implanter Used Working
usedeqsales Used - $1,512.09 0 Nov/10/17 Jan/10/19
Description: AMAT Applied Materials 0090-91694 ITL Controller XR80 Implanter Used Working
usedeqsales Used - $3,811.14 3 Nov/10/17 Apr/19/18
Description: MDX Pinnacle AE Advanced Energy 3152412-264 AMAT 0190-25692 Used Tested Working
nevadasurplus-com Used - $1,199.99 0 Nov/15/17 Nov/22/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
bobsgoodies Used - $125.00 1 Nov/14/17 Dec/02/17
Description: AMAT 0090-77084 CABLE ASSY, PRESS TRANSDUCER -14.7 to +15 PSIG WORKING
dnd_surplus Used - $900.00 0 Nov/20/17 Dec/20/17
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
nevadasurplus-com Used - $799.99 0 Dec/11/17 Dec/18/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
fa-parts Used - $240.00 0 Dec/19/17 Mar/03/22
Description: LAM PCB ASSY DC CONTROLLER BOARD 810-800086-007 REV:B,710-800086-007 A WORKING
usedeqsales Used - $262.17 0 Dec/20/17 Mar/03/22
Description: Aera FCPIDN980C-ABA Pressure Insensitive MFC MGMR AMAT 0190-27879 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jun/16/18
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-117958-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Apr/30/18
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-127300-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jan/09/18
Description: Aera FC-7800CD Mass Flow Controller MFC Novellus 22-145235-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jan/09/18
Description: Aera FC-7800CD Mass Flow Controller MFC Novellus 22-142083-00 Used Working
usedeqsales Used - $262.17 2 Dec/20/17 Aug/13/18
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-117954-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jan/24/19
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-127297-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jan/24/19
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-252794-00 Used Working
usedeqsales Used - $1,006.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0020-23811 Coherent Plate 8" Used Working
usedeqsales Used - $301.18 0 Dec/20/17 Mar/03/22
Description: Lam Research 716-140069-001 Upper Ceramic Insulator Ring Used Working
usedeqsales Used - $1,006.18 0 Dec/20/17 Mar/03/22
Description: Lam Research 716-140118-001 Focus Ring ESC 8" Used Working
usedeqsales Used - $407.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0020-47722 Shield Upper PVD Tungsten Working Surplus
usedeqsales Used - $456.18 1 Dec/20/17 Dec/06/21
Description: AMAT Applied Materials 0020-24530 Upper Shield Used Working
usedeqsales Used - $506.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0200-36541 Lid Liner Used Working
usedeqsales Used - $2,507.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0010-00135 60V Power Supply Working Spare
usedeqsales Used - $407.18 0 Dec/20/17 Mar/03/22
Description: Lam Research 839-465013-001 Liner Chamber VAT65 TRANSN MANF Working Surplus
dnd_surplus Used - $900.00 0 Dec/21/17 Jan/20/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
nevadasurplus-com Used - $499.99 0 Dec/27/17 Jan/03/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
nevadasurplus-com Used - $499.99 0 Jan/03/18 Jan/10/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
spsglobal Scrap, for parts - $3,500.00 1 Jan/08/18 Apr/02/18
Description: 105-0101// AMAT APPLIED 0040-84051 0010-24189 APPLIED MATRIALS NOT WORKING
nevadasurplus-com Used - $499.99 0 Jan/10/18 Jan/17/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
nevadasurplus-com Used - $499.99 0 Jan/17/18 Jan/24/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $204.16 1 Jan/18/18 Mar/03/22
Description: AMAT Applied Materials 0130-00537 SD Stepper Interface PCB Used Working
usedeqsales Used - $251.18 1 Jan/19/18 May/25/18
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller MFC AMAT 3030-15725 Used Working
usedeqsales Used - $251.18 2 Jan/19/18 Jun/25/18
Description: Horiba STEC SEC-4400M Mass Flow Controller MFC AMAT 3030-12516 Used Working
dnd_surplus Used - $900.00 0 Jan/21/18 Feb/20/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $701.18 0 Jan/22/18 Mar/03/22
Description: SBS cPCI-100-BP Dual IndustryPack Carrier PCB Card AMAT 0660-01876 Working Spare
usedeqsales Used - $601.18 0 Jan/22/18 Mar/03/22
Description: SST Woodhead 490-1437 DeviceNet Pro PCB Card DNP-CPCI-1 AMAT-0190-01155 Working
rxbandit25 Used - $4,250.00 1 Jan/23/18 Jan/31/18
Description: ENI OEM-12B3-02 RF Generator 1250W, AMAT 0190-76028, Tested Working
nevadasurplus-com Used - $499.99 0 Jan/24/18 Jan/31/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $7,501.18 1 Jan/25/18 Jan/27/18
Description: Kawasaki 3NS411B-F004 Factory Interface Wafer Robot AMAT 0190-28740 Used Working
usedeqsales Used - $7,501.18 1 Jan/25/18 Jan/27/18
Description: Kawasaki 3NS411B-F004 Factory Interface Wafer Robot AMAT 0190-29005 Used Working
usedeqsales Used - $7,501.18 1 Jan/25/18 Feb/02/18
Description: Kawasaki 30C61E-B014 CMP AT Robot Master Controller AMAT 0190-17941 Used Working
usedeqsales Used - $7,501.18 1 Jan/25/18 Jan/29/18
Description: Kawasaki 30C61E-B019 CMP AT Robot Master Controller AMAT 0190-25867 Used Working
usedeqsales Used - $3,001.18 1 Jan/29/18 Aug/20/18
Description: CX-2000 Comdel FP3365RA RF Generator CV2000 AMAT 0190-31017 Used Tested Working
20041014625pm Used - $1,900.00 1 Feb/05/18 Feb/13/18
Description: Lam Research CPU PCB 810-017034-005 Assembly Working tested on tool.Guaranteed.
spsglobal Used - $1,000.00 0 Feb/12/18 Mar/03/22
Description: 317-0201// AMAT APPLIED 0010-21345 0020-25631 ASSY, CLEAR LID FOR NOT WORKING
usedeqsales Used - $502.18 0 Feb/14/18 Mar/15/18
Description: AMAT Applied Materials 0190-05576 RF Cable 20M Endura Mainframe Used Working
usedeqsales Used - $3,002.18 1 Feb/15/18 Oct/18/18
Description: CDX-2000 Comdel FP5321R5 Dual RF Generator AMAT 0190-23895 Not Working As-Is
usedeqsales Used - $3,502.18 2 Feb/15/18 Jan/20/19
Description: CDX-2000 Comdel FP5320R3 Dual RF Generator AMAT 0190-15399 Used Tested Working
jabedow Used - $1,100.00 0 Feb/20/18 Mar/22/18
Description: AMAT 0100-09172 Assembly, 8 Channel, Emission, Laser Endpoint, PCB, used working
usedeqsales Used - $3,502.18 1 Feb/22/18 Feb/27/18
Description: AMAT Applied Materials 0040-91179 300mm Heater Assembly 0020-83936 Used Working
dnd_surplus Used - $700.00 0 Feb/22/18 Mar/24/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
keykorea NEW - $500.00 0 Feb/26/18 Jun/07/21
Description: AMAT 0015-01864 CLAMP, POLISHING HEAD, UNIVERSAL, WORKING, NEW
grandbirdnet Used - $6,900.00 0 Feb/27/18 Mar/03/22
Description: AMAT 0190-10030 HEAT EXCHANGER, AL-GRAPHITE, ETN23A-SC-B, WORKING
usedeqsales Used - $5,002.18 0 Feb/27/18 Jul/27/18
Description: ASTRON ASTeX AX7651-2 RPS Plasma AMAT 0920-00013 238 Hours Used Tested Working
usedeqsales Used - $5,002.18 0 Feb/27/18 Jul/27/18
Description: ASTRON ASTeX AX7651-2 RPS Plasma AMAT 0920-00013 224 Hours Used Tested Working
usedeqsales Used - $5,002.18 0 Feb/27/18 Jul/27/18
Description: ASTRON ASTeX AX7651-2 RPS Plasma AMAT 0920-00013 243 Hours Used Tested Working
usedeqsales Used - $703.18 0 Mar/02/18 Aug/05/20
Description: AMAT Applied Materials 0100-91085 Guiding Tube PCB Card XR80 Used Working
spsglobal Scrap, for parts - $500.00 1 Mar/11/18 Mar/12/18
Description: 320-0202// AMAT APPLIED 0190-76005 SPEC CNTL DWG, INTELLIGENT MOTOR NOT WORKING
spsglobal Scrap, for parts - $300.00 2 Mar/11/18 Apr/08/19
Description: 320-0202// AMAT APPLIED 0100-18043 ASSEMBLY, PCB REMOTE SERIPLEX I/O NOT WORKING
usedeqsales Used - $303.18 2 Mar/12/18 Apr/08/21
Description: Nor-Cal Products 3870-01212 Pneumatic 3-Way Isolation Valve AMAT Used Working
excessdeal Used - $450.00 1 Mar/15/18 Mar/25/18
Description: AMAT 0195-07983 SANYO DENKI P30B04010DXS8FM AC Servo Motor BL Super, WORKING
usedeqsales Used - $1,512.13 0 Mar/15/18 Mar/17/21
Description: AMAT Applied Materials 0040-84444 Gripper Claw 300mm 0040-80144 Used Working
usedeqsales Used - $705.15 5 Mar/15/18 Oct/16/19
Description: AMAT Applied Materials 0100-90881 Vacuum Interlock PCB Card Used Working
usedeqsales Used - $705.15 1 Mar/15/18 Mar/03/22
Description: AMAT Applied Materials 0100-01415 Vendor Interface A Mag PCB Used Working
usedeqsales Used - $2,005.13 0 Mar/16/18 Mar/03/22
Description: AMAT Applied Materials 0190-24298 Endura RF Cable Rev. 002 Used Working
usedeqsales Used - $2,005.13 1 Mar/16/18 Oct/29/18
Description: AMAT Applied Materials 0190-00576 Endura Main Frame Cable Rev. 003 Used Working
usedeqsales Used - $2,005.13 1 Mar/16/18 Jun/06/18
Description: AMAT Applied Materials 0190-28182 Endura RF Cable Rev. 03 Used Working
usedeqsales Used - $705.15 1 Mar/16/18 Aug/05/20
Description: AMAT Applied Materials 0090-91085 Guiding Tube Circuit Board PCB Used Working
usedeqsales Used - $705.15 0 Mar/16/18 Mar/27/18
Description: AMAT Applied Materials 0100-91015 Monitor Interface Board Rev E Used Working
usedeqsales Used - $705.15 0 Mar/16/18 Aug/05/20
Description: AMAT Applied Materials 0100-01877 Focus PSU Interface PCB Card Used Working
usedeqsales Used - $3,508.13 0 Mar/16/18 May/21/18
Description: AMAT Applied Materials 9090-00945 Power Supply Quantum Used Working
usedeqsales NEW - $803.13 1 Mar/16/18 Feb/05/19
Description: AMAT Applied Materials 0040-13509 300mm Preclean 21 OD Belljar Used Working
usedeqsales Used - $320.46 2 Mar/16/18 Jan/02/23
Description: AMAT Applied Materials 0020-80664 Electrode 0190-90758 0020-80667 Used Working
usedeqsales Used - $404.16 0 Mar/16/18 Jan/09/19
Description: Particle Measuring Systems 659510-100 FiberVac II AMAT 9090-01134 Used Working
usedeqsales Used - $1,204.13 0 Mar/16/18 Mar/03/22
Description: Novellus Systems 26-169462-00 Gamma 2130 DC Power Board PCB 03-169462-00 Working
usedeqsales Used - $705.15 2 Mar/16/18 Mar/03/22
Description: AMAT Applied Materials 0100-00546 Circuit Board PCB Endura 300mm Used Working
usedeqsales Used - $2,004.14 2 Mar/16/18 Sep/24/21
Description: AMAT Applied Materials 0010-25151 ESIP Encore II TAN Controller Used Working
usedeqsales Used - $2,503.14 0 Mar/16/18 Jun/15/21
Description: HiTek 0090-91806 Power Supply AMAT Quantum X PRA Rack Used Working
usedeqsales Used - $3,003.12 0 Mar/19/18 Apr/27/21
Description: AMAT Applied Materials 0010-27504 Source Assembly Encore 2 Cu Used Working
usedeqsales NEW - $1,006.14 0 Mar/19/18 Mar/27/18
Description: AMAT Applied Materials 0021-21519 Gasket RF 300MM B101 Heater IMP Used Working
usedeqsales Used - $705.15 1 Mar/19/18 Aug/17/20
Description: AMAT Applied Materials 0100-01445 Circuit Board PCB 0120-00415 Used Working
usedeqsales NEW - $505.14 0 Mar/19/18 Mar/26/20
Description: AMAT Applied Materials 0240-03251 Mounting Chiller Lines Kit Endura Used Working
usedeqsales Used - $1,005.14 0 Mar/19/18 Mar/03/22
Description: AMAT Applied Materials 0020-08465 Shield Clamp Ring 8in AL-Sprayed Used Working
usedeqsales NEW - $2,005.14 2 Mar/19/18 Nov/25/20
Description: Novellus 03-417236-00 PCA GEN II FE INTF PCB C3VCTR Vector 300mm Used Working
usedeqsales Used - $1,503.18 1 Mar/19/18 Jun/08/20
Description: AMAT 0010-76174 Dual Spring Loaded Throttle Valve 5000 CVD Rev. B Used Working
usedeqsales Used - $705.15 0 Mar/19/18 Feb/15/21
Description: AMAT Applied Materials 0100-01491 Beam Align Board PCB Quantum X Used Working
usedeqsales Used - $705.15 0 Mar/19/18 Feb/15/21
Description: AMAT Applied Materials 0100-01489 E-Chuck PCB Quantum X PRA Used Working
usedeqsales Used - $705.15 0 Mar/19/18 Apr/25/24
Description: AMAT Applied Materials 0100-01489 E-Chuck PCB Rev. A Quantum X PRA Used Working
usedeqsales Used - $603.18 0 Mar/19/18 Mar/03/22
Description: AMAT Applied Materials 0010-76175 CVD Throttle Valve Used Working
usedeqsales Used - $300.00 2 Mar/19/18 Feb/13/20
Description: Lambda PDC60-300 Power Supply Board AMAT 0190-07661 Used Working
usedeqsales Used - $1,001.16 1 Mar/19/18 Nov/28/18
Description: Novellus 02-169180-01 C3 Vector LTM R L K VCTR 101079 Assy LAM used working
usedeqsales Used - $2,509.14 0 Mar/19/18 Jan/23/20
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
usedeqsales Used - $1,509.14 0 Mar/19/18 Mar/03/22
Description: Novellus Systems 16-383780-00 C3 Showerhead Revision D Small Dent Used Working
usedeqsales Used - $2,001.13 1 Mar/20/18 Sep/24/21
Description: AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
usedeqsales Used - $2,000.00 0 Mar/20/18 Mar/03/22
Description: AMAT Applied Materials 9090-01095 Controller Chasis Rev. A Used Working
usedeqsales Used - $810.15 0 Mar/20/18 Nov/20/19
Description: AMAT Applied Materials 0010-24405 Magnetic Source 0040-84886 Used Working
usedeqsales Used - $2,504.58 1 Mar/20/18 Oct/14/21
Description: AMAT Applied Materials 0010-14528 Magnetic Source 3 CPI-VMO Endura Cu Working
usedeqsales Used - $2,008.16 0 Mar/20/18 Apr/12/21
Description: AMAT Applied Materials 9090-01162 Energy Control Chassis PXP41L Used Working
usedeqsales Used - $353.15 0 Mar/20/18 Mar/24/21
Description: AMAT Applied Materials 0041-38981 RF Ground Shield Source PVD Used Working
usedeqsales Used - $2,009.15 0 Mar/20/18 Mar/25/21
Description: Pyramid 0500-00300W X-Scan Controller 0120-01840 AMAT Quantum X Used Working
usedeqsales Used - $1,506.15 0 Mar/20/18 Mar/31/21
Description: Acrom 7041-64423-004-102 Desktop Computer AMAT 9090-00810 Used Tested Working
usedeqsales Used - $712.15 0 Mar/21/18 Nov/26/18
Description: DIP 15049105 DeviceNet PCB CDN481 AMAT 0190-08860 Reflexion EFEM Used Working
usedeqsales Used - $706.16 1 Mar/21/18 Aug/27/18
Description: Novellus 02-168109-00 Sesioc Sioc Electrofill used working
usedeqsales Used - $706.16 2 Mar/21/18 Mar/03/22
Description: Novellus Systems 02-168108-00 Sesioc Sioc Bath Module Used Working
usedeqsales Used - $3,503.18 1 Mar/23/18 May/27/21
Description: Comet 20033653 RF Match Lam Research 27-382473-00 Used Working
usedeqsales Used - $1,503.18 1 Mar/23/18 Mar/03/22
Description: Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working
dnd_surplus Used - $700.00 0 Mar/24/18 Apr/23/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
eisale1535 Used - $1,503.00 0 Mar/25/18 May/24/18
Description: Novellus 02-252432-00 G Vector Spindle Assembly Used Working
usedeqsales Used - $3,511.15 1 Mar/27/18 Nov/09/18
Description: AMAT Applied Materials 0010-70066 Heat Exchanger AMAT 0 Used Working
spsglobal Scrap, for parts - $2,500.00 1 Mar/27/18 Apr/12/18
Description: 336-0301// AMAT APPLIED 0190-36233 AX8403A ASTEX OZONE GENERATOR NOT WORKING
usedeqsales Used - $4,603.18 0 Mar/28/18 Apr/13/18
Description: OEM-28B ENI OEM-28B-04 Power Generator Novellus 27-832269-00 Used Tested Working
usedeqsales Used - $1,203.18 1 Mar/29/18 Apr/24/24
Description: Novellus Systems 02-169180-02 Linear Track Copper Cu Exposed Used Working
usedeqsales Used - $510.15 5 Mar/29/18 Apr/25/19
Description: AMAT Applied Materials 0100-01109 Local Ground Board PCB Quantum X Used Working
spsglobal Scrap, for parts - $200.00 1 Apr/03/18 Apr/04/18
Description: 320-0202// AMAT APPLIED 0100-00398 ASSY, PCB SEI NOW WORKING
usedeqsales Used - $854.18 0 Apr/06/18 Jul/24/20
Description: LAM Research 716-011036-001 Alumina Orifice Filler Ring Used Working
usedeqsales Used - $1,004.18 0 Apr/09/18 Dec/04/20
Description: KoMiCo KP00-0200-02348K Si Lapping Type Insert Ring AMAT 0200-02348 Used Working
usedeqsales Used - $604.18 5 Apr/09/18 Mar/03/22
Description: Lam Research 718-098591-001 VAT 65 Pendulum Valve Gate Paddle Used Working
usedeqsales Used - $604.18 5 Apr/10/18 Nov/19/19
Description: AMAT Applied Materials 0021-04319 Throttle Valve Liner Used Working
usedeqsales Used - $204.18 0 Apr/12/18 Mar/03/22
Description: AMAT Applied Materials 0050-62007 Exhaust Purge Line RP300EPI Used Working
usedeqsales Used - $154.18 1 Apr/13/18 May/03/18
Description: Sensor Technics SQ97795 Pressure Sensor AMAT 0090-00962 Z2 PT-45 Used Working
spsglobal Scrap, for parts - $100.00 0 Apr/18/18 Sep/27/19
Description: 319-0102// AMAT APPLIED 3870-01455 VALVE PNEU 1.50 OD PORT KALREZ NOT WORKING
sammy_etek NEW - $5,725.00 2 Apr/19/18 May/14/19
Description: AMAT 0920-00149 RFG TRUMPF SSM 3000 EtherCAT USED WORKING TESTED
dnd_surplus Used - $700.00 0 Apr/24/18 May/24/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $804.18 0 Apr/24/18 Mar/03/22
Description: AMAT Materials 0040-99951 200mm Polyimide Pedestal 0020-34017 Used Working
nevadasurplus-com Used - $499.99 0 Apr/24/18 May/01/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $254.18 1 Apr/25/18 May/17/20
Description: Horiba STEC LF-310A-EVD Liquid Mass Flow Meter TEPO AMAT 3030-05745 Used Working
usedeqsales Used - $154.18 1 Apr/30/18 Jan/02/20
Description: Sensor Technics SQ01538 Pressure Sensor AMAT 0090-00960 RR PT-44 Used Working
usedeqsales Used - $154.18 1 Apr/30/18 Jan/02/20
Description: Sensor Technics SQ01567 Pressure Sensor AMAT 0090-00962 Z2 PT-45 Used Working
usedeqsales Used - $6,004.18 1 Apr/30/18 May/03/18
Description: AMAT Applied Materials 0020-33806 Upper Chamber Assembly DPS + Poly Used Working
orapma12012 Used - $995.00 1 Apr/30/18 Dec/04/18
Description: AMAT 0100-09251 Seriplex PCB SPX-MUXADIO01, WORKING
nevadasurplus-com Used - $499.99 0 May/01/18 May/08/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $605.18 1 May/03/18 Feb/22/21
Description: AMAT Applied Materials 0010-09120 BWCVD Motor Drive Assembly Used Working
usedeqsales Used - $605.18 0 May/03/18 Dec/03/19
Description: AMAT Applied Materials 0190-09731 BWCVD Motor Drive Assembly Used Working
usedeqsales Used - $1,205.18 4 May/03/18 May/23/18
Description: AMAT Applied Materials 0010-09035 BWCVD Throttle Valve Assembly Used Working
usedeqsales Used - $155.18 0 May/04/18 Nov/02/18
Description: Lam Research 853-017807-001 Lower Match RF Cable Used Working
usedeqsales Used - $505.18 0 May/08/18 Mar/11/20
Description: AMAT Applied Materials 0270-09227 Chamber Lid View Port 0021-02640 Used Working
usedeqsales Used - $405.18 0 May/09/18 Dec/17/20
Description: Jennings CSVF-500-0415 Adjustable RF Capacitor AMAT 0021-10751 Used Working
usedeqsales Used - $1,805.18 1 May/09/18 Sep/21/18
Description: Lam Research 810-017003-004 DIP High Frequency PCB Used Working
usedeqsales Used - $305.18 0 May/10/18 Mar/03/22
Description: AMAT Applied Materials 0021-39935 IPS Upper TV Port Liner Used Working
usedeqsales Used - $405.18 0 May/10/18 Dec/17/20
Description: AMAT Applied Materials 0021-10863 IPS RF Feedthru Base Used Working
nevadasurplus-com Used - $499.99 0 May/10/18 May/17/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
bobsgoodies NEW - $1,530.00 2 May/11/18 Jun/20/18
Description: AMAT 0010-77763 PNEUMATIC, ASSY DUAL WAFER ROBOT MIRRA Working
usedeqsales Used - $605.18 0 May/11/18 Mar/03/22
Description: AMAT Applied Materials 0020-26906 6" Low Knee Shield Used Working
usedeqsales Used - $405.18 0 May/14/18 Mar/03/22
Description: AMAT Applied Materials 0020-79376 Clamp Seal SST Lot of 5 Used Working
usedeqsales Used - $154.18 1 May/15/18 May/17/18
Description: Sensor Technics SQ97795 Pressure Sensor AMAT 0090-00962 Z2 PT-45 Used Working
usedeqsales Used - $1,205.18 0 May/16/18 Mar/03/22
Description: Novellus Systems 02-169180-02 Linear Track 15-265469-02 Used Working
usedeqsales Used - $1,205.18 1 May/16/18 Jul/19/22
Description: Novellus Systems 02-169180-02 Linear Track 15-265469-02 Rev. E Used Working
usedeqsales Used - $1,205.18 0 May/17/18 Mar/03/22
Description: Lam Research 02-169180-01 Linear Track 15-265469-01 Rev. F Used Working
nevadasurplus-com Used - $499.99 0 May/18/18 May/25/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $1,405.18 2 May/18/18 May/25/21
Description: AMAT Applied Materials 0041-32713 Shower Head Gen 2.1 Producer GT Used Working
usedeqsales Used - $405.18 1 May/18/18 Jul/07/18
Description: AMAT Applied Materials 0100-35059 Remote Distribution Board PCB Used Working
usedeqsales Used - $4,005.18 1 May/18/18 Dec/18/20
Description: AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper Used Working
usedeqsales Scrap, for parts - $1,705.18 1 May/21/18 May/30/18
Description: DPG-10 ENI DPGD-10-CE DP Generator AMAT 0190-36343 AC Flicker Not Working As-Is
dnd_surplus Used - $700.00 0 May/24/18 Jun/23/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
eisale1535 Used - $1,503.00 0 May/30/18 Nov/26/18
Description: Novellus 02-252432-00 G Vector Spindle Assembly Used Working
usedeqsales Used - $206.18 0 Jun/08/18 Mar/03/22
Description: AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 001 Working
usedeqsales Used - $1,506.18 0 Jun/08/18 Jun/30/22
Description: AMAT Applied Materials Endura TiN Kit 0020-26289 0020-24530 Used Working
usedeqsales Used - $406.18 0 Jun/08/18 Mar/03/22
Description: AMAT Applied Materials 0020-22647 Upper Shield Used Working
usedeqsales Used - $506.18 0 Jun/08/18 Jun/30/22
Description: AMAT Applied Materials 0020-22892 Bucket Shield Used Working
usedeqsales Used - $406.18 1 Jun/08/18 Jul/23/21
Description: AMAT Applied Materials 0020-25059 Clamp Ring Used Working
usedeqsales Used - $403.16 0 Jun/20/18 Aug/26/20
Description: K-Tec Technology WKE-200 Current Transformer AMAT 1360-01227 Used Working
usedeqsales Used - $406.18 0 Jun/20/18 Mar/03/22
Description: MKS Instruments 01396-01 Interface Card PCB AMAT 0190-37895 Used Working
dnd_surplus Used - $700.00 0 Jun/23/18 Jul/23/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $2,006.18 1 Jun/22/18 Jan/03/19
Description: AMAT Applied Materials 0020-79039 Housing Spindle Assembly Used Working
usedeqsales Used - $1,006.18 0 Jun/22/18 Jun/30/22
Description: Lam Research 716-330892-507 Ceramic Focus Ring Base Used Working
usedeqsales Used - $307.18 0 Jul/02/18 Jun/30/22
Description: AMAT Applied Materials 0200-08584 Top Pocketed Cover Used Working
usedeqsales Used - $157.15 0 Jul/23/15 Mar/03/22
Description: Varian L6181-701 Pneumatic Angle Bellows Valve NW-16-A/O L6181701 VSEA Working
usedeqsales Used - $607.18 0 Jul/16/18 Mar/03/22
Description: AMAT Applied Materials 0200-09617 Shield Perforated 8″ BSE Ceramic Working
honeybunny1215 Scrap, for parts - $249.99 0 Jul/13/18 Jan/31/19
Description: Lam Research 810-495586-001 4520XL Interlock Board PCB Card Used Working
dnd_surplus Used - $500.00 0 Jul/23/18 Aug/22/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $2,508.18 1 Aug/03/18 Mar/03/22
Description: Lam Research 02-287781-00 15" Heater Pedestal Assembly PED Rev. B Working Spare
usedeqsales Used - $1,508.18 0 Aug/03/18 Aug/13/18
Description: Lam Research 02-287782-00 15" Heater Pedestal PED Assembly Novellus Used Working
usedeqsales Used - $608.18 2 Aug/06/18 Aug/31/18
Description: AMAT Applied Materials 1350-00681 Capacitance Manometer Used Tested Working
usedeqsales Used - $358.18 0 Aug/09/18 Jun/30/22
Description: MKS Instruments 100016886 Isolation Valve AMAT 3870-06568 HPS Used Working
usedeqsales Used - $1,508.18 0 Aug/09/18 Mar/03/22
Description: AMAT Applied Materials 0010-10327 200mm ESC Wafer Flat Pedestal Rev. 002 Working
usedeqsales Used - $358.18 1 Aug/13/18 Aug/19/21
Description: AMAT Applied Materials 0090-06791 Flow Meter Proteus 9B8006SA1E15P2 Used Working
usedeqsales Used - $158.18 0 Aug/16/18 Jun/30/22
Description: AMAT Applied Materials 3870-03867 Pneumatic N.C. Diaphragm Valve Used Working
usedeqsales Used - $1,508.18 1 Aug/20/18 Oct/31/19
Description: Lam Research 02-287782-00 15" Heater Pedestal PED Assembly Novellus Used Working
usedeqsales Used - $258.18 0 Aug/21/18 May/17/22
Description: Mesa Power Systems 10651 100 Watt UPC PCB Card AMAT 0190-08875 Used Working
usedeqsales Used - $5,008.18 0 Aug/22/18 Aug/23/18
Description: ASTRONi ASTeX AX7670-85 RPS Remote Source Plasma 6 Hours AMAT 0190-41329 Working
dnd_surplus Used - $500.00 0 Aug/22/18 Sep/21/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
spsglobal Scrap, for parts - $300.00 0 Aug/24/18 May/28/21
Description: 324-0301// AMAT APPLIED 0190-00839 DRIVER, LTESC/LTBESC, PVD DUAL NOT WORKING
usedeqsales Used - $3,001.18 1 Aug/30/18 Mar/11/20
Description: CX-2000 Comdel FP3365RA RF Generator CV2000 AMAT 0190-31017 Used Tested Working
vizko2017 Used - $110.00 6 Aug/31/18 Mar/01/21
Description: AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 WORKING
engin-15 Used - $450.00 0 Sep/01/18 Oct/01/18
Description: PN 0100-20004 Chamber Interconnect Board PCB Working
usedeqsales Used - $1,202.12 0 Sep/04/18 Oct/05/23
Description: Digital Dynamics 02-134495-00 sioc ANNEAL Chassis Novellus 01-133875-00 Working
usedeqsales Used - $1,202.12 0 Sep/05/18 Aug/20/21
Description: Digital Dynamics 27-053660-00 sioc Power Supply Module Novellus Used Working
usedeqsales Used - $1,252.12 0 Sep/05/18 Mar/03/22
Description: Digital Dynamics 27-053659-00 sioc Controller Module Novellus Used Working
usedeqsales Used - $1,005.11 0 Sep/07/18 Jul/14/22
Description: AMAT Applied Materials 0100-90385 Contactor Drive PCB Card Issue ZD XR80 Working
usedeqsales Used - $709.18 0 Sep/07/18 Sep/24/18
Description: AMAT Applied Materials 0100-00991 Gap Servo PCB Card XR80 Used Working
usedeqsales Used - $712.10 0 Sep/07/18 Apr/08/20
Description: AMAT Applied Materials 0100-90492 T.P.D.U. Monitor PCB Card XR80 Used Working
usedeqsales Used - $309.18 0 Sep/19/18 Nov/30/18
Description: Lam Research 810-000670-001 Analog Output PCB Card Used Working
usedeqsales Used - $2,109.18 0 Sep/20/18 Dec/08/20
Description: PDX 900-2V AE Advanced Energy 0190-10028 Power Supply AMAT Used Tested Working
usedeqsales Used - $4,309.18 0 Sep/20/18 Dec/17/20
Description: VHF Ovation 35162 AE Advanced Energy 0190-16109 RF Generator AMAT Tested Working
dnd_surplus Used - $1,000.00 1 Sep/22/18 Oct/15/21
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $309.18 0 Sep/26/18 Mar/18/21
Description: AMAT Applied Materials 0040-80146 Gripper Claw Assembly Used Working
engin-15 Used - $400.00 0 Oct/02/18 Nov/01/18
Description: PN 0100-20004 Chamber Interconnect Board PCB Working
benkim717 NEW - $12,500.00 0 Oct/05/18 Sep/28/21
Description: AMAT Applied Materials 0010-20331 Dual Degas Magnet Driver Working
spsglobal Scrap, for parts - $50.00 0 Oct/11/18 Nov/22/18
Description: 321-0202// AMAT APPLIED 0870-01028 CSD5814N-T DRVR 5-PHASE STEPPER NOT WORKING
usedeqsales Used - $5,510.18 1 Oct/15/18 Oct/17/18
Description: Kawasaki 30C61E-B026 CMP Robot Controller AMAT 0190-34968 Used Working
usedeqsales Used - $352.10 30 Oct/17/18 Jul/05/21
Description: AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
usedeqsales Used - $5,010.18 0 Oct/18/18 Jul/27/21
Description: AMAT Applied Materials 0010-09318 TEOS/Dopant Ampule Precision 5K P5000 Working
usedeqsales Used - $1,310.18 2 Oct/18/18 Oct/02/19
Description: HVA High Vacuum Apparatus 11211-1003R Gate Valve AMAT 0020-48595 Used Working
usedeqsales Used - $2,255.09 3 Oct/19/18 Jan/28/22
Description: NSK ELC-JG014VF3-01 300mm XP Robot Servo Drive ELC AMAT 0190-19536 Used Working
usedeqsales Used - $1,207.15 0 Oct/19/18 Mar/13/19
Description: AMAT Applied Materials 0041-12192 Reflector Plate Used Working
vizvik16 Used - $200.00 1 Nov/02/18 May/25/21
Description: Applied Materials 1310-00050 TC assy dual circuit gas feed blk 300mm Working
usedeqsales Used - $610.18 0 Nov/01/18 Aug/19/21
Description: Festo 200763 Megasonic PS Control Box 300H AMAT 0090-04970 Used Working
markcooperassociates Used - $225.00 0 Oct/30/18 Sep/01/22
Description: Lam Research 810-077393-002 Rev E2, Chamber Inter., PCB, Working When Removed
mont_cass Used - $139.99 0 Nov/04/18 Dec/04/18
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
engin-15 Used - $400.00 0 Nov/04/18 Dec/04/18
Description: PN 0100-20004 Chamber Interconnect Board PCB Working
usedeqsales Used - $1,611.18 1 Nov/06/18 Mar/07/19
Description: AMAT Applied Materials 0190-05576 RF Cable 65 Foot Endura Mainframe Used Working
usedeqsales Used - $6,511.18 2 Nov/07/18 Nov/12/18
Description: NSK ELA-B014CFD-03 Servo Drive ELA Series NSK-M-CLR AMAT 0190-02472 Used Working
usedeqsales Used - $411.18 0 Nov/09/18 Oct/02/23
Description: Inova Computers 11249 UPS Board PCB Card 1-ICP-UPS AMAT 0190-07905 Used Working
usedeqsales Used - $261.18 2 Nov/09/18 Jan/09/19
Description: Mesa Power Systems 10616 100 Watt UPC PCB Card AMAT 0190-07906 Used Working
tanya.kub Used - $9,500.00 0 Nov/10/18 Dec/07/18
Description: Advanced Energy 3155031-037 A RF BIAS MATCH 1110-00056 APPLIED MATERIALS WORKING
hjtec_sales Used - $2,500.00 0 Nov/16/18 Oct/11/22
Description: AMAT 0040-87418 ROLLER WELDED MEG MODULE 200MM, WORKING
usedeqsales Used - $811.18 1 Nov/16/18 Mar/11/19
Description: Vicor MP6-76542 Power Supply AMAT Applied Materials 1140-01379 Used Working
usedeqsales Used - $3,111.18 0 Nov/26/18 Mar/07/23
Description: Kensington 15-3600-0300-01 Wafer Prealigner PRE-OA2 AMAT 0190-16360 Working
usedeqsales Used - $502.14 0 Nov/26/18 Jan/08/20
Description: DIP DIP-420-230 DeviceNet PCB Card CDN491 15049105 AMAT 0660-01879 Used Working
usedeqsales Used - $512.15 0 Nov/26/18 Jan/09/20
Description: DIP DIP-254-025 DeviceNet PCB Card CDN481 15049105 AMAT 0190-08860 Used Working
usedeqsales Used - $1,511.18 1 Nov/29/18 Oct/12/20
Description: AMAT Applied Materials 0100-09196 E Chuck Controller PCB Card Used Working
mont_cass Used - $139.99 0 Dec/04/18 Jan/03/19
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
usedeqsales Used - $1,008.15 0 Dec/07/18 Mar/13/19
Description: Novellus 02-169194-00 Robot Linear Track UDK5214NW RM26A3S Used Working
eisale1535 Used - $1,503.00 0 Dec/09/18 Jun/09/20
Description: Novellus 02-252432-00 G Vector Spindle Assembly Used Working
zindchau15 Used - $4,200.00 1 Dec/13/18 Jun/03/20
Description: Advanced Energy 3155031-037 A RF BIAS MATCH 1110-00056 APPLIED MATERIALS WORKING
markcooperassociates Used - $225.00 0 Dec/21/18 Sep/01/22
Description: LAM Research 810-077616-001 Rev E2, Vacuum Interface, PCB, Working When Removed
mont_cass Used - $124.99 0 Jan/03/19 Feb/02/19
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
usedeqsales Used - $5,001.19 0 Jan/04/19 Dec/08/20
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA 0100-01222 Copper Used Working
engin-15 Used - $400.00 0 Jan/08/19 Feb/07/19
Description: PN 0100-20004 Chamber Interconnect Board PCB Working
usedeqsales Used - $257.15 0 Jan/09/19 Apr/18/22
Description: AMAT Applied Materials 0190-07679 Control Station Operator Interface Working
usedeqsales Used - $201.19 0 Jan/10/19 Jun/30/22
Description: AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 002 Working
usedeqsales Used - $251.18 4 Jan/10/19 Apr/18/22
Description: AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Working
usedeqsales Used - $301.19 0 Jan/11/19 Dec/11/19
Description: Kawasaki 50979-2388LA1 Panel Robot Cable AMAT 0190-16259 Used Working
usedeqsales Used - $301.19 0 Jan/11/19 Dec/11/19
Description: Kawasaki 50979-2389LA1 EXT-EMG Robot Cable AMAT 0190-16260 Used Working
usedeqsales Used - $1,601.19 2 Jan/22/19 Aug/17/19
Description: AMAT Applied Materials 0100-01984 Wafer Orienter Board PCB Used Working
usedeqsales Used - $705.15 0 Jan/23/19 Mar/09/21
Description: AMAT Applied Materials 0100-01844 Charge Voltage PCB Card Quantum X Used Working
usedeqsales Used - $310.16 0 Jan/23/19 Mar/09/21
Description: AMAT Applied Materials 0100-00970 Spin Window PCB Card 0110-90443 Used Working
mont_cass Used - $109.99 0 Feb/04/19 Mar/06/19
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
engin-15 Used - $400.00 0 Feb/07/19 Mar/09/19
Description: PN 0100-20004 Chamber Interconnect Board PCB Working
palzamani Used - $199.00 1 Feb/10/19 Mar/02/19
Description: Lam 853-007526-001, Gate Drive Cylinder, Humphrey CN534N, Tested, Working
usedeqsales Used - $702.19 0 Feb/12/19 May/03/21
Description: AMAT Applied Materials 0100-90940 Spin Scan Relay PCB Card Used Working
usedeqsales Used - $702.19 0 Feb/14/19 Nov/25/19
Description: AMAT Applied Materials 0100-91055 Gap Servo PCB Card 0120-93017 Used Working
usedeqsales Used - $602.19 1 Feb/18/19 Feb/02/22
Description: AMAT Applied Materials 0190-05647 Serial Module Board PCB Rev. 001 Used Working
usedeqsales Used - $702.19 0 Feb/19/19 May/24/22
Description: SBS cPCI-100-BP Dual IndustryPack Carrier PCB Card AMAT 0190-05410 Working Spare
usedeqsales Used - $403.19 1 Mar/15/19 Jan/24/21
Description: AMAT Applied Materials 0100-01945 Wisperscan Motion Interface PCB Used Working
usedeqsales Used - $811.18 1 Apr/04/19 Aug/17/20
Description: Vicor MP6-76542 Power Supply AMAT Applied Materials 1140-01379 Used Working
usedeqsales Used - $3,503.16 0 Apr/09/19 Jan/12/21
Description: CTI-Cryogenics 0190-27350 On-Board P300 Cryopump 8113192G001 AMAT Working Spare
mont_cass Used - $50.00 0 Apr/07/19 Sep/30/19
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
spsglobal Used - $300.00 0 Apr/25/19 Dec/08/22
Description: 324-0301// AMAT APPLIED 0730-01010 LEYBOLD TURBOTRONIC NOT WORKING
systasemi Used - $730.00 0 May/07/19 Jul/20/20
Description: AMAT 0190-24007, Board,CPU SINGLE BOARD COMPUTER, working
systasemi Used - $450.00 0 May/07/19 Jan/19/24
Description: AMAT 0190-02076, Board, PCB WATER LEAK DETECTOR CCM , working
systasemi Used - $2,000.00 0 May/07/19 Jul/30/23
Description: AMAT 0190-23441, Board, INTERLOCK MODULE ENABLER 300mm, working
systasemi Used - $750.00 0 May/07/19 Mar/23/23
Description: AMAT 0190-23509, Board, CARD CPCI 3U QUAD SERIAL COMMUNICATION, working
systasemi Used - $950.00 0 May/07/19 Jan/19/24
Description: AMAT 0190-34511, Board, DNET BUS SCANNER, SINGLE CHANNEL, SST CP, working
systasemi Used - $1,100.00 0 May/07/19 Jul/30/23
Description: AMAT 0190-22967, Board, CARD CPCI 32/16 ANALOG 32/16 I/O, working
systasemi Used - $450.00 0 May/07/19 Jul/30/23
Description: AMAT 0190-07502, Board, CARD ONE SLOT 3U COMPACT PCI M-TYPE PO, working
20041014625pm Used - $3,995.00 0 May/18/19 Jun/01/19
Description: Lam Research 853-025903-001 Lofat Sled Assembly Low Frequency Autotune Working
usedeqsales Used - $205.19 0 May/22/19 Jun/29/23
Description: Lam Research 853-707092-002 RF Cable 7.5 Foot FPD Continuum Working Spare
usedeqsales Used - $1,505.19 1 May/20/19 May/20/19
Description: AMAT Applied Materials 0020-26383 Soup Bowl Dual Robot Used Working
usedeqsales Used - $1,005.19 1 May/24/19 Aug/05/19
Description: Lam Research 810-015987-101 TCP Autotune Board PCB Continuum Working Spare
usedeqsales Used - $2,502.59 1 May/29/19 Sep/27/19
Description: Spectrum B-5002 ENI B-5002-02 RF Generator AMAT 0190-15320 Tested Working Spare
20041014625pm Used - $3,695.00 0 Jun/01/19 Jul/07/19
Description: Lam Research 853-025903-001 Lofat Sled Assembly Low Frequency Autotune Working
usedeqsales Used - $10,005.20 0 Jun/10/19 Jun/10/19
Description: AMAT Materials 0010-05940 RH-3 Magnet RP Assembly Rev. 002 Used Working
liquiditech Used - $76.50 3 Jun/13/19 Mar/14/20
Description: AMAT Applied Materials 0090-00353 Buffer Interlock PCB Card Used Working
usedeqsales Used - $2,506.19 1 Jun/13/19 Oct/10/22
Description: AMAT Applied Materials 0041-05925 300mm Ceramic Platen DLC ESC Working Spare
autoquip7 NEW - $5,725.00 2 Jun/24/19 Dec/29/21
Description: AMAT 0920-00149 RFG TRUMPF SSM 3000 EtherCAT USED WORKING TESTED
usedeqsales Used - $1,953.09 1 Jun/24/19 Sep/25/19
Description: IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT 66230 Hrs. Tested Working
usedeqsales Used - $1,953.09 1 Jun/28/19 Sep/25/19
Description: IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT 44136 Hrs. Tested Working
usedeqsales Used - $1,907.19 1 Jul/03/19 Feb/28/22
Description: IPUP T100P Toyota 3620-00463 Vacuum Dry Pump AMAT Pump Overload Not Working
20041014625pm Refurbished - $1,595.00 0 Jul/07/19 Jul/23/19
Description: Lam Research 853-011142-001 QMC 41-M1683 Assembly Motor RF Gap WORKING!
20041014625pm Used - $3,595.00 0 Jul/07/19 Jul/23/19
Description: Lam Research 853-025903-001 Lofat Sled Assembly Low Frequency Autotune Working
20041014625pm Refurbished - $1,495.00 0 Jul/07/19 Jul/23/19
Description: Lam Research 853-012100-003 Loadlock Arm Assembly. Refurbished,Tested, Working
20041014625pm Refurbished - $1,495.00 0 Jul/23/19 Jul/26/19
Description: Lam Research 853-012100-003 Loadlock Arm Assembly. Refurbished,Tested, Working
20041014625pm Refurbished - $1,595.00 0 Jul/23/19 Jul/26/19
Description: Lam Research 853-011142-001 QMC 41-M1683 Assembly Motor RF Gap WORKING!
20041014625pm Used - $3,595.00 0 Jul/23/19 Jul/26/19
Description: Lam Research 853-025903-001 Lofat Sled Assembly Low Frequency Autotune Working
usedeqsales Used - $507.19 1 Jul/31/19 Aug/16/19
Description: AMAT Applied Materials 0100-20097 Water Leak Detector PCB Working Spare
usedeqsales Used - $157.19 1 Jul/30/19 Jan/17/20
Description: AMAT Applied Materials 0150-09800 High Voltage Cable Assembly Working Spare
usedeqsales Used - $2,507.19 1 Jul/30/19 Mar/30/20
Description: VAT Series 64.0 6" Mechanical Gate Valve Lam 853-190378-001 4520XL Working Spare
usedeqsales Used - $607.19 1 Jul/29/19 Jul/01/22
Description: AMAT Applied Materials 0140-76224 Wire Harness Assembly PVD Chamber Working
20041014625pm Refurbished - $1,595.00 0 Jul/26/19 Aug/05/19
Description: Lam Research 853-011142-001 QMC 41-M1683 Assembly Motor RF Gap WORKING!
20041014625pm Refurbished - $1,495.00 0 Jul/26/19 Aug/07/19
Description: Lam Research 853-012100-003 Loadlock Arm Assembly. Rebuilt,Tested, Working
20041014625pm Used - $3,295.00 0 Jul/26/19 Aug/05/19
Description: Lam Research 853-025903-001 Lofat Sled Assembly Low Frequency Autotune Working
usedeqsales Used - $1,507.19 1 Jul/26/19 Aug/12/19
Description: AMAT Applied Materials 0100-20068 CCD Board Assembly PCB Working Spare
20041014625pm Refurbished - $1,595.00 0 Aug/05/19 Aug/07/19
Description: Lam Research 853-011142-001 QMC 41-M1683 Assembly Motor RF Gap WORKING!
20041014625pm Used - $3,295.00 0 Aug/05/19 Aug/07/19
Description: Lam Research 853-025903-001 Lofat Sled Assembly Low Frequency Autotune Working
20041014625pm Refurbished - $1,495.00 0 Aug/07/19 Sep/11/19
Description: Lam Research 853-012100-003 Loadlock Arm Assembly. Rebuilt,Tested, Working
20041014625pm Refurbished - $1,595.00 0 Aug/07/19 Sep/11/19
Description: Lam Research 853-011142-001 QMC 41-M1683 Assembly Motor RF Gap WORKING!
20041014625pm Used - $3,295.00 0 Aug/07/19 Oct/22/19
Description: Lam Research 853-025903-001 Lofat Sled Assembly Low Frequency Autotune Working
usedeqsales Used - $1,508.19 1 Aug/08/19 Mar/25/20
Description: CTI-Cryogenics 0190-19393 On Board IS Controller AMAT Applied Materials Working
usedeqsales Used - $3,504.09 1 Aug/09/19 Sep/19/19
Description: H-2000 SMC INR-498-012D-X007 Thermo Chiller HX AMAT 0190-27732 Tested Working
usedeqsales Used - $1,005.19 1 Aug/13/19 Oct/28/19
Description: Lam Research 810-015987-101 TCP Autotune Board PCB Continuum Working Spare
usedeqsales Used - $1,508.19 1 Aug/15/19 Jul/07/21
Description: A&N 1539-S-N Vacuum Pneumatic Valve AMAT Applied Materials 0190-08958 Working
chenjianju0 Used - $180.00 0 Aug/22/19 Jan/20/22
Description: APPLIED MATERIALS PIRANI GAUGE SENSOR 0190-26328, Working
usedeqsales Used - $1,754.09 3 Aug/28/19 Sep/07/19
Description: Brooks 6-0002-0573-SP Robot Controller ESC-218BT-FWS AMAT 0190-08247 Working
zindchau15 Used - $1,400.00 0 Aug/30/19 Aug/04/20
Description: CTI-CRYOGENICS ON-BOARD CRYOPUMP, AMAT 0190-27350 WORKING
zindchau15 Used - $200.00 0 Aug/29/19 Oct/23/21
Description: Lam Valve With Flow Switch 796-003146-001 Working
usedeqsales Used - $5,008.19 1 Aug/29/19 Oct/07/19
Description: Kawasaki 30C61D-B003 Robot Master Controller CMP WET AMAT 0190-10319 Working
starbit10 Used - $450.00 0 Sep/03/19 Feb/05/20
Description: AMAT daq Mk11 type H 0090-90967 Circuit Board AMAT Quantum X working
usedeqsales Used - $3,809.19 0 Sep/04/19 Dec/08/20
Description: PRI 6-0002-0705-SP 300mm Robot WTM511-2-FWS02-V1 AMAT 0195-02882 Brooks Working
usedeqsales Used - $648.09 1 Aug/13/09 Apr/03/20
Description: Nikon 4S007-902-1 Interface Board PCB X2A-STGA/D NSR-S204B Used Working
usedeqsales Used - $809.19 0 Sep/12/19 Oct/12/23
Description: Lam Research 810-707103-001 Power Convertible Board PCB Continuum Working Spare
techequipsales Used - $350.00 1 Sep/24/19 Sep/08/22
Description: Leybold 262-78189-51V1 Turbo Pump Cable AMAT 0620-02820 *Used Working*
techequipsales Used - $665.00 0 Sep/24/19 Sep/08/22
Description: Leybold 85964-001-20M Turbo Pump Cable AMAT 0620-02310 *Used Working*
usedeqsales Used - $1,209.19 1 Sep/24/19 Sep/25/19
Description: AMAT Applied Materials 0010-09035 BWCVD Throttle Valve Assembly Working Spare
spsglobal Scrap, for parts - $300.00 1 Oct/06/19 Mar/19/20
Description: 129-0203// AMAT APPLIED 0100-00825 ASSY PCB AIO WITH NO A/D NOT WORKING
usedeqsales Used - $4,506.19 1 Oct/07/19 Oct/08/19
Description: AMAT Applied Materials 0190-35076 DC Power Supply VAD610014 Used Working
usedeqsales Used - $2,504.19 0 Oct/07/19 Mar/16/23
Description: Novellus Systems 02-259457-00 Vector Spindle Camco 50RGS4H14-270 Used Working
usedeqsales Used - $3,503.19 3 Oct/07/19 Jan/10/23
Description: Comet 20056053 Impedance Matching Network Novellus 27-433872-00 Working Spare
usedeqsales Used - $604.19 0 Oct/09/19 Sep/17/20
Description: AMAT Applied Materials 0100-00156 Rev. B Isolation Amplifier Used Working
usedeqsales Used - $3,804.19 1 Oct/07/19 Nov/15/19
Description: CTI-Cryogenics 0190-19390 On-Board IS-8F Cryopump AMAT Tested Working Surplus
usedeqsales Used - $3,503.19 0 Oct/07/19 Feb/20/20
Description: AE Advanced Energy 1110-00056 RF Bias Match 3155031-037 AMAT Working Spare
usedeqsales Used - $2,003.19 1 Oct/09/19 Dec/06/19
Description: AMAT Applied Materials 0010-36162 RF Match Assembly SIMPLE CAT Working Spare
usedeqsales Used - $2,003.19 1 Oct/09/19 Dec/17/20
Description: AMAT Applied Materials 0010-09416 RF Match Assembly Working Spare
usedeqsales Used - $2,003.19 1 Oct/09/19 Nov/21/19
Description: AMAT Applied Materials 0010-12088 RF Match Hybrid Etch Working Spare
usedeqsales Used - $3,003.19 0 Oct/08/19 Mar/20/20
Description: Yaskawa Electric YSC-02D04B02 Spindle Assembly Novellus 61-306478-00 Working
bustosinc2012 Used - $39.95 0 Oct/10/19 Sep/10/21
Description: Lam 853-128677-001 Working Properly #43
usedeqsales Used - $1,310.18 0 Oct/15/19 Oct/22/19
Description: HVA High Vacuum Apparatus 11211-1003R Gate Valve AMAT 0020-48595 Used Working
usedeqsales Used - $701.19 0 Oct/16/19 Jul/13/22
Description: AMAT Applied Materials 0100-90881 Vacuum Interlock PCB Card 0120-91654 Working
usedeqsales Used - $510.19 2 Oct/16/19 Sep/07/21
Description: AMAT Applied Materials 0090-90966 Power Supply PCB Card daq MkII type B Working
usedeqsales Used - $610.19 0 Oct/17/19 Nov/18/20
Description: AMAT 0120-93251 Backplane PCB Beamline Instrumentation M/BD Working Spare
usedeqsales Used - $710.19 0 Oct/17/19 Mar/31/20
Description: AMAT Applied Materials 0100-00991 Gap Servo PCB Card 0120-93017 Working Spare
usedeqsales Used - $610.19 0 Oct/17/19 Nov/18/20
Description: AMAT 0100-91135 H1 B/L Vacuum Control Motherboard PCB 0120-92492 Working Spare
usedeqsales Used - $810.19 0 Oct/17/19 Nov/18/20
Description: AMAT 0100-90533 H1 Beamline Vacuum Mimic Control Panel 0110-90533 Working Spare
usedeqsales Used - $3,010.19 1 Oct/22/19 Oct/28/19
Description: ASTeX AX8403A Ozone Generator AMAT Applied Materials 0190-36233 Tested Working
usedeqsales Used - $2,505.10 0 Oct/30/19 Mar/10/23
Description: AMAT Applied Materials 0010-25431 PVD Chamber SOURCE 2 CPI-AMO Copper Cu Working
usedeqsales Used - $2,505.10 0 Oct/30/19 Mar/10/23
Description: AMAT Applied Materials 0010-27504 PVD Chamber SOURCE 3 CPI-AMO Copper Cu Working
usedeqsales Used - $5,010.19 0 Oct/31/19 Nov/08/21
Description: AMAT Applied Materials 0010-25341 PVD Chamber SOURCE 1 CPI-VMO Copper Cu Working
jabedow Used - $4,500.00 1 Nov/06/19 Nov/06/19
Description: AMAT Applied Materials 0190-35076 DC Power Supply VAD610014 Used Working
shanghai*book Used - $5,336.80 0 Nov/06/19 Dec/06/19
Description: 1pcs used working Lam III 810-099175-011 Via DHL or EMS
shanghai*book Used - $4,366.82 0 Nov/06/19 Dec/06/19
Description: 1PCS used working applied materials 0010-27786 REV 004 Via DHL or EMS
usedeqsales Used - $2,107.83 1 Nov/12/19 Feb/14/20
Description: AMAT Applied Materials 0010-37866 Full Scan ISRM Module 0020-79594 Working Spare
smartelektronikgmbh Used - $1,000.00 1 Nov/12/19 Nov/14/19
Description: AMAT Applied Materials 0100-20068 CCD Board Assembly PCB Working Spare
usedeqsales Used - $261.19 2 Nov/14/19 Feb/03/21
Description: Horiba STEC SEC-Z512MGX Digital Mass Flow Controller MFC AMAT 3030-16280 Working
usedeqsales Used - $262.19 2 Nov/14/19 Apr/18/21
Description: Horiba STEC SEC-Z512MGX Digital Mass Flow Controller MFC AMAT 3030-15621 Working
usedeqsales Used - $261.19 2 Nov/14/19 Feb/03/21
Description: Horiba STEC SEC-Z512MGX Digital Mass Flow Controller MFC AMAT 3030-15614 Working
usedeqsales Used - $261.19 4 Nov/14/19 Apr/18/21
Description: Horiba STEC SEC-Z512MGX Digital Mass Flow Controller MFC AMAT 3030-15617 Working
usedeqsales Used - $261.19 0 Nov/14/19 May/04/20
Description: Horiba STEC SEC-Z512MGX Digital Mass Flow Controller MFC AMAT 3030-15818 Working
usedeqsales Used - $261.19 2 Nov/14/19 Apr/18/21
Description: Horiba STEC SEC-Z512MGX Digital Mass Flow Controller MFC AMAT 3030-15620 Working
usedeqsales Used - $261.19 4 Nov/14/19 Feb/03/21
Description: Horiba STEC SEC-Z512MGX Digital Mass Flow Controller MFC AMAT 3030-15618 Working
usedeqsales Used - $261.19 2 Nov/14/19 Apr/18/21
Description: Horiba STEC SEC-Z512MGX Digital Mass Flow Controller MFC AMAT 3030-15633 Working
usedeqsales Used - $2,511.19 1 Nov/14/19 Nov/29/19
Description: AMAT Applied Materials 0010-18024 300mm Radiance Pyrometer RTP Probe Working
usedeqsales Used - $322.83 1 Nov/14/19 Aug/26/24
Description: Novellus 15-256077-02 Robot Wafer Blade End Effector Vector Cu Working Spare
usedeqsales Used - $1,211.19 0 Nov/15/19 Nov/20/19
Description: Xantrex XHR 150-7 Power Supply AMAT Applied Materials 1140-00153 Tested Working
usedeqsales Used - $2,511.19 5 Nov/18/19 Feb/08/22
Description: Lam Research 02-287781-00 15" Heater Pedestal Assembly Rev. B Copper Cu Working
usedeqsales Used - $4,511.19 0 Nov/19/19 Jan/08/21
Description: AMAT Applied Materials 0040-85721 Upper Pedestal Kit CU Working Spare
usedeqsales Scrap, for parts - $1,911.19 0 Nov/22/19 Feb/26/20
Description: IPUP T100L Toyota 0190-30906 Vacuum Dry Pump AMAT 54705 Hrs Tested Working As-Is
usedeqsales Used - $2,003.19 1 Nov/22/19 Nov/25/19
Description: AMAT Applied Materials 0010-12088 RF Match Hybrid Etch Working Spare
usedeqsales Used - $5,011.19 2 Nov/27/19 Nov/29/19
Description: AMAT Applied Materials 0040-91179 Heater Purge Assembly 300mm TXZ Working Spare
usedeqsales Used - $810.15 0 Dec/03/19 Mar/03/21
Description: AMAT Applied Materials 0010-24405 Magnetic Source 0040-84886 Used Working
usedeqsales Used - $5,012.19 0 Dec/03/19 Nov/08/21
Description: AMAT Applied Materials 0010-22569 PVD Chamber SOURCE 4 CPI-VMO Copper Cu Working
usedeqsales Used - $5,012.19 0 Dec/03/19 Nov/08/21
Description: AMAT Applied Materials 0010-25341 PVD Chamber SOURCE 1 CPI-VMO Rev. 001 Working
usedeqsales Used - $1,312.19 1 Dec/03/19 Sep/17/20
Description: Yaskawa Electric SGMGH-75ACA61 AC Servo Motor Gearhead AMAT 3970-00029 Working
usedeqsales Used - $3,012.19 1 Dec/04/19 Oct/20/20
Description: AMAT Applied Materials 0010-70264 Robot Driver HP END DRVS Endura Working Spare
spsglobal Scrap, for parts - $500.00 1 Dec/05/19 Mar/18/21
Description: 350-0102// AMAT APPLIED 0010-30091 HV MODULE ASSY 0010-10901 NOT WORKING
spsglobal Used - $500.00 0 Dec/05/19 Oct/24/23
Description: 130-0201 AMAT APPLIED 0100-01321 ASSY PCB DIGITAL I/O DIO BD NOT WORKING
usedeqsales Used - $2,003.19 0 Dec/05/19 Mar/11/20
Description: AMAT Applied Materials 0010-12088 RF Match Hybrid Etch Working Spare
usedeqsales Used - $2,512.19 0 Dec/05/19 Jan/07/21
Description: AMAT Applied Materials X3286 Electrostatic Chuck Power Supply 0190-14140 Working
usedeqsales Used - $262.19 1 Dec/05/19 Jul/06/22
Description: Aera FC-7810CD Mass Flow Controller MFC 20 SLM He Novellus 22-127300-00 Working
vizko2017 Used - $120.00 3 Dec/08/19 Jul/08/20
Description: GRANVILLE-PHILLIPS CONVECTRON GAUGE 275262, APPLIED MATERIALS 0620-01708 WORKING
usedeqsales Used - $568.53 0 Dec/09/19 Sep/13/22
Description: AMAT Applied Materials 0190-07371 HN(M) TO HN(M) R/A RF Cable Working Spare
usedeqsales Used - $1,212.19 0 Dec/09/19 Jun/09/23
Description: MKS Instruments 20704A Process Sense Monitor End Point AMAT 0190-14960 Working
usedeqsales Used - $659.16 1 Dec/09/19 Sep/16/22
Description: AMAT Applied Materials 0010-13445 Stand Alone VGA Monitor Base P5000 Working
usedeqsales Used - $1,212.19 1 Dec/10/19 Dec/12/19
Description: AMAT Applied Materials 0140-00753 Centura 300mm Robot Interface Cable Working
usedeqsales Used - $312.19 1 Dec/11/19 Jun/30/20
Description: SMC VV5Q21-ULB99006 8-Port Pneumatic Manifold EX160-SDN1 AMAT 4060-01156 Working
usedeqsales Used - $1,012.19 2 Dec/16/19 Aug/03/22
Description: DIP 15049401 Compact PCI PCB Card CDN494 AMAT 0190-03373 300mm Centura Working
usedeqsales Used - $5,612.19 3 Dec/20/19 Feb/29/20
Description: HFV 8000 AE Advanced Energy 0920-01122 RF Generator 3155083-180 A AMAT Working
zoro Used - $475.30 2 Jan/07/20 Aug/03/20
Description: AMAT Applied Materials 0100-00991 Gap Servo PCB Card 0120-93017 Working USED
usedeqsales Used - $3,501.20 0 Jan/08/20 Feb/13/20
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0240-47117 Ultima X Working
usedeqsales Used - $3,501.20 0 Jan/08/20 Feb/13/20
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 Ultima X Working
usedeqsales Used - $7,000.14 1 Jan/16/20 Jan/31/20
Description: Novellus 02-321865-00 MC3R Platform Controller w/Ethernet 79-257795-00 Working
usedeqsales Used - $301.20 1 Jan/21/20 Jan/22/20
Description: Banner SM312CV2 IR Convergent AMAT 0090-76115 Lot of 6 Centura Ultima Working
j316gallery Used - $4,900.00 1 Jan/21/20 Oct/22/20
Description: 17356 APPLIED MATERIALS PCB SERIPLEX CTRL BUS W/ 0010-35174 (WORKING) 0190-35652
usedeqsales Used - $1,251.20 1 Jan/23/20 Jan/29/20
Description: AMAT Applied Materials 0010-14862 Throttle Valve Universal Drive 300mm Working
usedeqsales Used - $5,001.20 0 Jan/24/20 Dec/08/20
Description: AMAT Applied Materials 0020-79039 Spindle Housing Assembly 300mm Working Surplus
usedeqsales Used - $501.20 2 Jan/27/20 Sep/09/20
Description: Aera CA-98D9-Z MFC 9-Pin D to Micro Adapter AMAT 0720-05118 Lot of 13 Working
usedeqsales Used - $4,001.20 1 Jan/28/20 Jan/29/20
Description: ASTeX SXRHC Magnatron AMAT Applied Materials 0190-09769 5000 ASP Chamber Working
usedeqsales Used - $7,001.20 1 Jan/30/20 Feb/02/20
Description: AMAT Applied Materials 0010-03840 Ceramic Heater Assembly 200mm Producer Working
usedeqsales Used - $3,501.20 1 Jan/30/20 Jul/30/21
Description: Novellus Systems 15-050938-00 200mm ESC Electrostatic Chuck Concept 2 Working
usedeqsales Used - $2,002.20 0 Feb/03/20 Jun/09/23
Description: Novellus 02-113639-00 MCI Controller MOD CONT P166/64 Rev. C Concept Two Working
usedeqsales Used - $2,002.20 0 Feb/03/20 Jun/07/23
Description: Novellus 02-132030-00 MCI Controller MOD CONT P166/64 QNX4 Concept Two Working
usedeqsales Used - $2,802.19 1 Feb/03/20 Jul/02/20
Description: Novellus 02-127707-00 MCI Controller MOD CONT P166/64 Rev. C Concept Two Working
usedeqsales Used - $502.20 1 Feb/12/20 Feb/12/20
Description: AMAT Applied Materials 0020-19001 Slit Valve Body Working Surplus
usedeqsales Used - $2,202.20 0 Feb/13/20 Apr/07/21
Description: Kensington 15-4000-0001-00 Robot Controller AMAT 0190-23563 Endura Working Spare
usedeqsales Used - $2,202.20 1 Feb/13/20 Nov/12/20
Description: Kensington 15-4000-0002-00 Robot Controller AMAT 0190-23562 Endura Working Spare
usedeqsales Used - $3,102.20 0 Feb/14/20 Aug/25/22
Description: Newport 15-3600-0300-01 300mm Wafer Prealigner AMAT 0190-16360 Endura Working
usedeqsales Used - $502.20 0 Feb/17/20 Aug/25/22
Description: SBS Technologies 70000510 Drive Board PCB CPCI/H-X-F AMAT 0190-16171 Working
usedeqsales Used - $302.20 3 Feb/18/20 Aug/25/22
Description: Lambda PDC60-300 Power Supply PCB Card HAL-02-1474-A1 AMAT 0190-07661 Working
usedeqsales Used - $602.20 0 Feb/18/20 Aug/25/22
Description: SST Woodhead SST-DNP-CPCI-3U-1-NC DeviceNet PCB Card AMAT 0190-10156 Working
usedeqsales Used - $3,502.20 0 Feb/25/20 Apr/20/20
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 Working Spare
usedeqsales Used - $1,501.10 0 Feb/25/20 Aug/25/22
Description: VAT 0430X-BA24-AFU1 Transfer Valve Pneumatic Actuator AMAT 0190-37105 Working
usedeqsales Used - $3,502.20 0 Feb/25/20 Dec/17/20
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17212 Working Spare
usedeqsales Used - $1,450.59 0 Jan/18/19 Aug/25/22
Description: Denso 593682-2030 Servo Driver PZTDRIVER Nikon 4S587-754 NSR-S205C Used Working
usedeqsales Used - $2,901.19 0 Jan/18/19 Aug/21/20
Description: Denso 593682-2030 Servo Driver PZTDRIVER Nikon 4S587-754 NSR-S307E Used Working
usedeqsales Used - $6,512.19 1 Mar/02/20 Mar/05/20
Description: HFV 8000 AE Advanced Energy 0920-01122 RF Generator 3155083-180 8kW AMAT Working
usedeqsales Used - $253.20 2 Mar/02/20 Mar/31/20
Description: MKS Instruments 649A21T11CAFR-S Pressure Controller AMAT 0010-11590 Working
usedeqsales Used - $1,903.20 1 Mar/17/20 Mar/22/22
Description: IPUP T100L Toyota 0190-30906 Vacuum Dry Pump AMAT Tested Not Working As-Is
bestoneshop-korea Used - $249.99 1 Mar/18/20 Feb/06/23
Description: AMAT APPLIED MATERIALS 0090-07025 POWER UNIT TESTED WORKING
usedeqsales Used - $2,452.24 0 Mar/18/20 Aug/25/22
Description: Mercury 10013 AE Advanced Energy 27-256556-00 RF Match 3150273-004 F/R B Working
usedeqsales Used - $3,003.20 0 Mar/20/20 Mar/05/21
Description: AMAT Applied Materials 0021-96593 Cooling Plate Working Surplus
usedeqsales Used - $350.08 3 Mar/25/20 Nov/10/20
Description: Vicor MP4-74523 Power Supply MegaPAC AMAT Applied Materials 1140-74523 Working
semiconusa Refurbished - $5,599.00 1 Mar/23/20 Sep/15/20
Description: AMAT 0010-03244, HEATER HP+ TXZ 8 IN, 2nd Source, Working
usedeqsales Used - $503.20 0 Mar/25/20 Sep/22/20
Description: DIP 15039603 DeviceNet Analog I/O PCB Card AMAT 0190-01270 Rev. 003 Working
usedeqsales Used - $603.20 1 Mar/25/20 Jul/24/20
Description: AMAT Applied Materials 0100-01392 Mainframe I/O Display PCB 0130-01392 Working
usedeqsales Used - $503.20 0 Mar/25/20 Sep/22/20
Description: DIP 15039603 DeviceNet Analog I/O PCB Card AMAT 0190-01270 Rev. 004 Working
usedeqsales Used - $603.20 0 Mar/25/20 Apr/27/20
Description: DIP 15039103 Communications PCB Card AMAT 0660-01865 Rev. 001 Working Spare
usedeqsales Used - $603.20 0 Mar/25/20 Aug/25/22
Description: DIP 15039103 Communications PCB Card CDN391 DIP-520-130 AMAT 0660-01865 Working
usedeqsales Used - $603.20 1 Mar/25/20 Mar/09/22
Description: DIP 15039103 Communications PCB Card CDN391 DIP-102-093 AMAT 0190-06279 Working
usedeqsales Used - $303.20 1 Mar/26/20 Dec/23/20
Description: SMC NCDQ2WB63-80-DUQ01966 Pneumatic Cylinder AMAT 3020-00587 Working Surplus
usedeqsales Used - $150.08 6 Mar/26/20 Mar/30/20
Description: AMAT Applied Materials 0020-28944 300mm Profiler Weight Ring Working Surplus
usedeqsales Used - $803.20 2 Mar/26/20 Aug/10/21
Description: AMAT Applied Materials 0100-20458 PVD/IMP Chamber Interlock 0100-00574 Working
usedeqsales Used - $803.20 1 Mar/26/20 Mar/18/24
Description: MKS Instruments AS01391-21 DeviceNet CDN391R PCB Card AMAT 0190-07750 Working
usedeqsales Used - $403.20 2 Mar/26/20 Jun/08/22
Description: SBS Technologies CP3-SER16-TTL Processor PCB Card AMAT 0190-11817 Working
usedeqsales Used - $2,803.20 1 Mar/26/20 Jul/09/21
Description: ASTRONex MKS Instruments FI80131 Plasma Source AMAT 0920-00131 Tested Working
usedeqsales Used - $3,503.20 2 Mar/31/20 Mar/06/22
Description: AMAT Applied Materials 0010-35937 RF Match 2MHz VRB Cu Copper Exposed Working
usedeqsales Used - $1,504.20 0 Apr/07/20 Mar/05/21
Description: AMAT Applied Materials 9090-00668 ITL Scan Optimization Module 3X5G XR80 Working
happyglobalsolution Used - $2,000.00 0 Apr/08/20 Nov/22/20
Description: ENDURA XP ROBOT WRIST AMAT 0010-29842 AS-IS WORKING CONDITION
usedeqsales Used - $2,004.20 0 Apr/08/20 Aug/25/22
Description: AMAT Applied Materials 9090-00491 Quad Magnet Temperature Network Module Working
techequipsales Used - $5,000.00 0 Apr/08/20 Oct/12/20
Description: AMAT Applied Materials 0010-11491 Heater Assy HTR 200MM CPR STD WRZ *working*
usedeqsales Used - $804.20 0 Apr/08/20 Aug/25/22
Description: AMAT Applied Materials 0100-90934 Decel/Focus PSU Interface PCB Card Working
techequipsales Used - $5,000.00 0 Apr/08/20 Oct/12/20
Description: AMAT Applied Materials 0010-11491 002 Heater *used working*
techequipsales Used - $2,000.00 1 Apr/09/20 Sep/15/20
Description: AMAT Applied Materials 0010-03346 Heater Assy 6” AMJ WXZ *used working*
techequipsales Used - $4,000.00 0 Apr/09/20 Oct/12/20
Description: AMAT Applied Materials 0010-03346 Heater Assy 6” AMJ WXZ *used working*
techequipsales Used - $5,000.00 0 Apr/09/20 Oct/12/20
Description: AMAT Applied Materials 0010-11491 0040-49807 Heater *used working*
usedeqsales Used - $17,004.20 0 Apr/14/20 Feb/26/21
Description: AMAT 0190-04213 300mm HDPCVD Primary A/C Distribution Box Centura Ultima Working
usedeqsales Used - $15,252.10 0 Apr/15/20 Dec/08/20
Description: AMAT Centura Ultima X 0010-08687 HDP-CVD 300mm Chamber B 0010-11586 Working
usedeqsales Used - $25,004.20 0 Apr/15/20 May/07/20
Description: AMAT Centura Ultima X 0010-08687 HDP-CVD 300mm Chamber A 0010-11586 Working
usedeqsales Used - $1,254.55 2 Apr/21/20 Oct/14/21
Description: AMAT Applied Materials 0010-08322 Top Local RF Match Rev. 002 Centura Working
usedeqsales Used - $3,804.20 5 Apr/20/20 Mar/08/21
Description: ADTEC AX-2000III RF Plasma Generator Novellus 27-307431-00 Tested Working Spare
usedeqsales Used - $2,512.10 3 Apr/21/20 Jan/14/22
Description: AMAT Applied Materials 0010-08323 Top Local RF Match 300750 Rev. 007 Working
usedeqsales Used - $3,505.19 1 Apr/22/20 Apr/24/20
Description: AMAT Applies Materials 0010-21748 RF Match 300mm Preclean/RPC Copper Cu Working
usedeqsales Used - $6,504.20 0 Apr/22/20 Apr/27/20
Description: ASTRONi MKS AX7671-85 Remote Source Plasma ASTeX AMAT 0190-47768 Tested Working
usedeqsales Used - $4,204.20 0 Apr/24/20 Jul/14/20
Description: Comdel FP1215R2 2MHz RF Generator CLX-1250 Novellus 27-290674-00 Tested Working
usedeqsales Used - $2,504.20 0 Apr/27/20 May/07/24
Description: Deublin SR0227 Rotary Union AMAT Applied Materials 0190-76782 Working Spare
techequipsales Used - $950.00 1 May/02/20 Oct/26/22
Description: Novellus 02-033134-01 Heater *used working, 90 day warranty*
techequipsales Used - $4,000.00 0 May/03/20 Oct/12/20
Description: AMAT Applied Materials 0010-05254 0040-32148 Heater Assy *used working*
usedeqsales Used - $1,505.20 1 May/04/20 Feb/07/22
Description: Lam Research 02-426823-00 15" Heater Pedestal PED 02-426825-00 Copper Cu Working
usedeqsales Used - $605.20 1 May/06/20 Oct/09/20
Description: AMAT Applied Materials 0200-07514 Insulator 300mm Source Adaptor Ceramic Working
usedeqsales Used - $805.20 1 May/06/20 Jun/09/21
Description: AMAT Applied Materials 0200-07402 Chiller Plate XP Cooling Pedestal Working
usedeqsales Used - $705.20 0 May/06/20 Nov/18/20
Description: AMAT Applied Materials 0100-00991 Gap Servo PCB Card 0120-93017 XR80 Working
usedeqsales Used - $705.20 0 May/06/20 Nov/18/20
Description: AMAT Applied Materials 0100-90385 Contactor Drive PCB Card Issue ZC XR80 Working
usedeqsales Used - $3,005.20 1 May/06/20 May/18/21
Description: Lam Research 832-034908-009 TCP Match Enclosure Assembly FRU Working Surplus
usedeqsales Used - $1,505.20 1 May/07/20 Jun/18/21
Description: Lam Research 02-376090-00 15" Heater Pedestal C3VCTR Copper Exposed Working
techequipsales Used - $3,000.00 0 May/07/20 Oct/27/21
Description: LAM Research 715-803261-001 B ESC Electron Static Chuck *used working*
usedeqsales Used - $1,005.20 1 May/11/20 Nov/17/21
Description: Lam Research 810-102361-216 Chamber Mux Board PCB 855-132438-001 Working Spare
usedeqsales Used - $8,505.20 0 May/11/20 Aug/18/20
Description: AMAT Applied Materials 0010-16858 300mm Heater Pedestal SDMAC FDR SLTESC Working
techequipsales Used - $4,000.00 0 May/12/20 Oct/12/20
Description: AMAT Applied Materials 0010-11491 002 Heater *used working, clean surface*
usedeqsales Used - $1,505.20 1 May/12/20 May/10/21
Description: Lam Research 02-159684-00 15" Heater Pedestal PED Assembly Novellus Working
usedeqsales Used - $2,505.20 1 May/12/20 Jan/14/22
Description: AMAT Applied Materials 0010-08323 Top Local RF Match Centura Ultima X Working
usedeqsales Used - $1,205.20 1 May/13/20 Jun/08/20
Description: AMAT Applied Materials 0010-08724 Throttle Valve 0015-09077 Centura Working
spsglobal Used - $1,000.00 1 May/14/20 Oct/09/22
Description: 166-0201// LAM RESEARCH 832-038915-103 RF MATCH NETWORK [NOT WORKING]
usedeqsales Used - $355.20 1 May/14/20 Sep/09/20
Description: Vicor MP5-76530 Power Supply MegaPAC AMAT Applied Materials 1140-01359 Working
usedeqsales Used - $4,505.20 0 May/15/20 Sep/11/20
Description: AMAT Applied Materials 0040-85721 Upper Pedestal Rev. 003 Cu Copper Working
usedeqsales Used - $1,505.20 0 May/15/20 Dec/06/23
Description: Lam Research 16-383779-02 Showerhead 300mm 15" NC LL STD PTTN C3VCTR Working
usedeqsales Used - $502.60 0 May/15/20 Dec/07/23
Description: Lam Research 16-383780-02 Showerhead 300mm 15" NC LL STD PTTN Working Surplus
vintage-audio-source Used - $399.95 1 May/20/20 Jan/06/21
Description: AMAT Applied Materials 0190-76273 Power Supply P1183-208/208 Used Working
usedeqsales Used - $205.20 0 May/20/20 Nov/18/20
Description: Artesyn NLP65 Power Supply AMAT Applied Materials 9090-00033 XR80 Working Spare
xsysengineering Used - $450.00 0 May/23/20 May/16/21
Description: Applied Materials AMAT 0010-70385, CRT Monitor, w/ Bezel, PCB, Light Pen Working
usedeqsales Used - $3,578.41 1 Jun/16/20 Aug/04/20
Description: Novellus Systems 02-408299-00 Robot Controller SIGMA 5 AMP Working Surplus
usedeqsales Used - $706.20 0 Jun/26/20 Nov/18/20
Description: AMAT Applied Materials 0100-90881 Vacuum Interlock PCB Card Rev. A XR80 Working
usedeqsales Used - $306.20 0 Jun/26/20 Nov/18/20
Description: AMAT Applied Materials 0100-91104 Vacuum Gauge RJ45 CONN I/F PCB XR80 Working
usedeqsales Used - $506.20 1 Jun/26/20 Feb/23/22
Description: AMAT Applied Materials 9090-00093 Power Supply PCB Card daq MkII Rev. B Working
usedeqsales Used - $707.20 0 Jun/26/20 Nov/18/20
Description: AMAT Applied Materials 0100-01326 Turbo Pump Interface PCB Card XR80 Working
spsglobal Scrap, for parts - $100.00 0 Jul/05/20 Mar/29/21
Description: 126-0202// AMAT APPLIED 3870-01244 VALVE PNEU NW40 FLANGE [NOT WORKING]
usedeqsales Used - $1,207.20 1 Jul/08/20 Oct/13/20
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Working Surplus
usedeqsales Used - $2,607.20 1 Jul/09/20 Jan/06/21
Description: AMAT Applied Materials 3700-99008 Implanter Motor Assembly XR80 Working Spare
usedeqsales Used - $3,207.20 0 Jul/09/20 Aug/16/22
Description: Motech 0090-91598 Voltage Clamp Unit CD52/A AMAT Applied Materials XR80 Working
usedeqsales Used - $807.19 1 Jul/09/20 Jan/11/23
Description: Nor-Cal Products 3870-00165 Intellisys Pressure Controller Rev. B AMAT Working
usedeqsales Used - $807.20 0 Jul/09/20 Mar/31/23
Description: Nor-Cal Products 3870-00165 Intellisys Pressure Controller Rev. A AMAT Working
usedeqsales Used - $457.20 1 Jul/14/20 Apr/27/21
Description: Horiba STEC IV-2410AV-03 Injection Valve AMAT 3030-10059 Working Spare
techequipsales Used - $1,000.00 1 Jul/14/20 Dec/04/20
Description: LAM Research 839-000066-703 Electrostatic Chuck 300mm *used working*
techequipsales Used - $2,000.00 1 Jul/14/20 Jan/18/21
Description: LAM Research 839-800327-385 ESC ASSY DZ CLG 2 FNSH 300MM *used working*
usedeqsales Used - $807.20 1 Jul/15/20 Sep/22/20
Description: MKS Instruments L2-40-SP1 Vacuum Isolation Valve AMAT 0190-76185 Working Spare
usedeqsales Used - $607.20 1 Jul/22/20 Apr/20/21
Description: Lam Research 715-801021-012 300mm Upper Liner Working Surplus
usedeqsales Used - $507.20 0 Jul/23/20 Apr/13/23
Description: AMAT Applied Materials 0100-00582 Gas Panel Controller Backplane PCB Working
usedeqsales Used - $2,503.19 1 Jul/23/20 Feb/07/22
Description: Lam Research 02-287782-00 Heater Pedestal PED Assembly Rev. B Working Surplus
usedeqsales Used - $2,503.19 1 Jul/24/20 Jan/03/22
Description: Lam Research 02-287781-00 15" Heater Pedestal Assembly Rev. C Copper Cu Working
spsglobal Used - $4,000.00 1 Jul/29/20 Mar/06/22
Description: 114-0101// AMAT APPLIED 0190-70086 AE ADVANCED GENERATOR [NOT WORKING]
techequipsales Used - $1,500.00 1 Aug/13/20 Jul/03/22
Description: LAM 810-099175-009 VIOP Phase III Circuit Board *used working, 90-day warranty
spsglobal Scrap, for parts - $500.00 1 Aug/18/20 Mar/26/21
Description: 350-0103// AMAT APPLIED 0010-09933 HV MODULE ASSY, ESC [NOT WORKING]
techequipsales Used - $562.50 1 Aug/21/20 Nov/02/20
Description: Novellus 27-034079-01 ESC Power Supply Regan Solutions ecc1-1 *used working
ciscorpor Used - $1,500.00 0 Aug/24/20 Oct/23/21
Description: LAM SERIAL I/O-2 PCB 810-017038-002 working
spsglobal Used - $1,000.00 0 Aug/25/20 Sep/14/21
Description: 175-0301// AMAT APPLIED 0010-76019 ASSY 8" CASSETTE HANDLER [NOT WORKING]
usedeqsales Used - $908.20 1 Aug/27/20 Jan/02/23
Description: Lam Research 15-135892-01 Sputtering Target Pedestal Working Surplus Spare
usedeqsales Used - $1,508.20 1 Aug/28/20 Jan/03/22
Description: Lam Research 02-376092-00 1" MCA Machined Finish Pedestal C3VCTR Non-Cu Working
techequipsales Used - $700.00 0 Aug/28/20 May/10/23
Description: LAM 715-119652-023 Mag 8 Robot Bottom Plate *used working
techequipsales Used - $350.00 0 Aug/28/20 May/10/23
Description: LAM 716-044111-001 Plate *used working
techequipsales Used - $1,500.00 0 Sep/10/20 Mar/07/24
Description: LAM 853-002336-002 B AC Enclosure LAM 2300 KIYO3X Process Chamber *used working
spsglobal Used - $700.00 1 Sep/14/20 Nov/08/21
Description: 162-0201// AMAT APPLIED 0242-70220 KIT, P5000 ROBOT DRIVE, 8 [NOT WORKING]
usedeqsales Used - $1,009.20 1 Sep/22/20 Feb/17/21
Description: AMAT Applied Materials 0021-22627 End Effector Rev. 002 Working Surplus
usedeqsales Used - $1,509.20 0 Sep/23/20 Mar/14/24
Description: Asahi D2990 Liner Pulsemotor Controller PCB Card LDYCNT Nikon 4S014-178 Working
usedeqsales Used - $4,654.60 1 Sep/28/20 Dec/17/20
Description: ASTRON MKS Instruments AX7700 RPS Plasma Paragon AMAT 0190-39484 Tested Working
hyp3 NEW - $395.00 0 Sep/29/20 Jan/29/21
Description: MKS Instruments 20704A Process Sense Monitor End Point AMAT 0190-14960 Working
usedeqsales Used - $409.20 1 Sep/29/20 Oct/01/20
Description: SMC US3938 14-Port Pneumatic Manifold AMAT 0190-06706 Working Surplus
usedeqsales Used - $10,009.20 1 Oct/01/20 Oct/06/20
Description: Novellus 02-162448-00 MC3 Platform Controller PC 19-254846-00 Tested Working
usedeqsales Used - $1,260.20 1 Oct/01/20 Aug/09/21
Description: AMAT Applied Materials 0190-14177 Sensor Short Maglev 300mm Working Surplus
usedeqsales Used - $7,809.20 1 Oct/01/20 Oct/12/20
Description: Novellus Systems 02-321865-00 MC3R Ethernet Controller No Disk Tested Working
usedeqsales Used - $8,009.20 3 Oct/01/20 Oct/06/20
Description: Novellus Systems 02-321865-00 MC3R Ethernet Controller PC No Disk Tested Working
usedeqsales Used - $1,255.10 1 Oct/05/20 Oct/14/21
Description: Rapid-F AE Advanced Energy 0190-08638 RPS Plasma 3151801-003 AMAT Tested Working
usedeqsales Used - $4,310.20 1 Oct/05/20 Dec/17/20
Description: ASTRON MKS Instruments AX7710MTS-02 RPS Paragon F AMAT 0190-52331 Tested Working
usedeqsales Used - $1,010.20 5 Oct/13/20 Dec/23/20
Description: Force Computers 102205 PCB Card SYS68K/CPU-6A/C3 Lam 810-017034-300 4420 Working
usedeqsales Used - $1,210.20 1 Oct/13/20 Sep/07/22
Description: AMAT Applied Materials 0040-52072 Universal Lid Lift Assembly Working Surplus
usedeqsales Used - $1,610.20 4 Oct/14/20 Apr/09/22
Description: LF-5 AE Advanced Energy 3150012-009 RF Generator AMAT 0920-01014 Tested Working
usedeqsales Used - $360.20 2 Oct/19/20 Dec/22/20
Description: Tylan General CDL-12S13 Baratron Manometer Lam 685-092784-001 Continuum Working
j316gallery Used - $6,850.00 0 Oct/19/20 Jan/27/21
Description: 11048 APPLIED MATERIALS SPEC CTRL DRAWING INTELLIGENT MTR C (WORKING) 0190-09939
techequipsales Used - $2,500.00 0 Nov/06/20 May/26/22
Description: LAM Research 810-035321-002 A 810-024244-001 Prox Clean Motherboard *working
usedeqsales Used - $1,905.60 2 Nov/06/20 Sep/08/22
Description: ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 Tested Working
usedeqsales Used - $1,511.20 1 Nov/12/20 Jan/13/21
Description: MEI Motion Engineering T008-2001 eXMP Controller AMAT 0190-13990 Working Surplus
semiconusa Used - $6,599.00 2 Nov/12/20 Jan/21/21
Description: NSK SERVO DRIVER, ELA-B014CF2-03, AMAT 0190-11706, 200MM 300MM, Working
usedeqsales Used - $2,511.20 1 Nov/13/20 Jan/09/23
Description: Lam Research 02-287781-00 15" Heater Pedestal Assembly PED Rev. C Working Spare
spsglobal Used - $5,000.00 0 Dec/01/20 Nov/22/21
Description: 011-0101// AMAT APPLIED 0920-01019 GENRF 400KHZ 208VAC 3 PHASE [NOT WORKING]
spsglobal Scrap, for parts - $4,700.00 0 Dec/03/20 Apr/08/21
Description: 320-0501// AMAT APPLIED 0190-09769 MAGNATRON ASSY, 1500 WATTS [NOT WORKING]
usedeqsales Used - $612.20 4 Dec/14/20 Mar/18/24
Description: AMAT Applied Materials 0190-14415 Minienvironment Pressure Assembly Working
usedeqsales Used - $2,012.20 3 Dec/15/20 May/31/21
Description: Lam Research 853-017160-583-B-LEAN Rear EMO Assembly PCB 810-017003-004 Working
usedeqsales Used - $312.20 0 Dec/15/20 Jan/05/22
Description: AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Working
usedeqsales Used - $2,012.20 0 Dec/16/20 Dec/16/20
Description: Digital Dynamics 27-810157-00 I/O Controller V3.02 Novellus Concept Two Working
usedeqsales Used - $2,012.20 1 Dec/16/20 Dec/18/20
Description: Digital Dynamics 27-810157-00 I/O Controller V3.02 Novellus Concept Two Working
usedeqsales Used - $3,012.20 0 Dec/17/20 Aug/19/22
Description: VHF Ovation 35162 AE Advanced Energy 0190-16109 RF Generator AMAT Tested Working
usedeqsales Used - $412.20 2 Dec/17/20 Feb/28/21
Description: Jennings CSVF-500-0415 Adjustable RF Capacitor AMAT 0021-10751 Working Surplus
usedeqsales Used - $6,512.20 1 Dec/18/20 Feb/15/21
Description: AMAT Applied Materials 0010-19589 RF Match Module HE PVD Working Surplus
usedeqsales Used - $6,512.20 1 Dec/18/20 Feb/15/21
Description: AMAT Applied Materials 0010-19589 RF Match 300mm SIP Encore II Cu Copper Working
usedeqsales Used - $2,312.20 1 Dec/18/20 Aug/12/24
Description: Sorensen SGA200X25E-1DAA DC Power Supply Rev. J Ametek AMAT 0150-19805 Working
usedeqsales Used - $1,012.20 1 Dec/23/20 Jan/09/21
Description: Novellus Systems 03-417236-00 C3VCTR FE Interface GEN II PCB Working Surplus
usedeqsales Used - $501.21 6 Jan/06/21 Jan/23/22
Description: AMAT Applied Materials 9090-00314 Power Supply PCB Card daq MkII type H Working
usedeqsales Used - $2,201.21 0 Jan/08/21 Sep/27/21
Description: AMAT Applied Materials 0240-61428 Heat Exchanger Quantum Leap II Working Surplus
usedeqsales Used - $5,001.21 2 Jan/08/21 Feb/17/21
Description: CTI-Cryogenics 3620-00503 IS-1000 Cryo Compressor LV AMAT Tested Working Surplus
usedeqsales Used - $1,753.07 3 Jan/11/21 Oct/14/21
Description: CTI-Cryogenics 0190-12086 On-Board P300 Cryopump with 8113212G001 AMAT Working
usedeqsales Used - $1,750.60 2 Jan/11/21 Oct/14/21
Description: CTI-Cryogenics 0190-27355 On-Board P300 Cryopump Module 8113192G001 AMAT Working
usedeqsales Used - $2,100.73 3 Jan/12/21 Oct/14/21
Description: CTI-Cryogenics 0190-27350 On-Board P300 Cryopump Module 8113192G001 AMAT Working
usedeqsales Used - $1,201.21 1 Jan/12/21 Jan/05/23
Description: MKS Instruments 0190-43490 PLC Assembly CVD CIOC AMAT Applied Materials Working
usedeqsales Used - $1,003.19 1 Jan/18/21 Dec/28/21
Description: AMAT Applied Materials 0040-98491 Clean Chiller Assembly 300mm Working Surplus
usedeqsales Used - $1,800.60 1 Jan/19/21 Dec/21/21
Description: DCG-200Z ENI DC22S-Z022000010A Generator SLAVE AMAT 0190-08034 Damaged Working
techequipsales Used - $2,000.00 1 Jan/19/21 Jun/24/21
Description: LAM Research 839-000066-703 Electrostatic Chuck 300mm *used working*
semiconusa Used - $6,999.00 0 Jan/22/21 Jul/22/21
Description: NSK SERVO DRIVER, ELA-B014CF2-03, AMAT 0190-11706, 200MM 300MM, Working
hyp3 NEW - $299.00 1 Jan/29/21 Feb/25/21
Description: MKS Instruments 20704A Process Sense Monitor End Point AMAT 0190-14960 Working
j316gallery Used - $7,000.00 0 Feb/09/21 Nov/22/21
Description: 11048 APPLIED MATERIALS SPEC CTRL DRAWING INTELLIGENT MTR C (WORKING) 0190-09939
usedeqsales Used - $252.21 1 Feb/12/21 Feb/18/22
Description: Aera TC FC-PA7820C Mass Flow Controller MFC 100 SLM Ar AMAT 3030-15278 Working
techequipsales Used - $1,750.00 0 Mar/08/21 Apr/20/23
Description: LAM 2300 KIY03X 853-002336-002 AC Enclosure *used working
techequipsales Used - $2,000.00 0 Mar/08/21 Apr/20/23
Description: LAM 716-040607-001 ESC Electrostatic Chuck *used working
usedeqsales Used - $3,003.20 0 Mar/09/21 Jul/10/23
Description: AMAT Applied Materials 0021-96593 Cooling Plate Working Surplus
usedeqsales Used - $2,503.21 0 Mar/12/21 Sep/23/21
Description: AMAT Applied Materials 0010-24405 Magnetic Source 0021-27122 0040-84886 Working
usedeqsales Used - $453.21 2 Mar/12/21 Apr/28/22
Description: Kawasaki 50979-2459L01 Wafer Handling Robot Signal Cable AMAT 0190-10558 Working
usedeqsales Used - $2,003.21 1 Mar/15/21 Feb/27/24
Description: AMAT Applied Materials 9090-00668 ITL Scan Optimization Module 3X5G XR80 Working
usedeqsales Used - $703.21 1 Mar/17/21 Apr/16/21
Description: AMAT Applied Materials 0100-00991 Gap Servo PCB Card 0120-93017 XR80 Working
usedeqsales Used - $4,121.28 1 Mar/19/21 Aug/23/22
Description: AMAT Applied Materials 0195-07511 Centura AP Primary AC Rack HDP-CVD Working
usedeqsales Used - $9,003.21 2 Mar/22/21 Mar/28/21
Description: AMAT Applied Materials 0195-09327 300mm SoCoNi AUX Remote AC Rack ACP Working
usedeqsales Used - $603.21 1 Mar/25/21 Jul/12/21
Description: VAT 200500 Pendulum Valve Gate Paddle Lam Research 718-098591-001 Working Spare
8ten1944 Used - $111.95 0 Apr/02/21 May/01/21
Description: Amat 3030-14597 Horiba Stec Mfc Lf-F40m-A-Evd Atrp 4G/Min, Working
usedeqsales Used - $404.21 1 Apr/02/21 Apr/06/21
Description: Digi International (1P)50001344-01 ConnectPort T6 16 AMAT 0190-27952 Working
usedeqsales Used - $604.21 0 Apr/05/21 Aug/31/21
Description: Lam Research 810-057032-001 DC Servo Driver PCB Card Working Surplus
usedeqsales Used - $2,202.20 1 Apr/08/21 Feb/23/22
Description: Kensington 15-4000-0001-00 Robot Controller AMAT 0190-23563 Endura Working Spare
usedeqsales Used - $2,004.21 1 Apr/08/21 Aug/26/24
Description: AMAT Applied Materials 0040-96305 DRP2 Cooldown Chamber Pedestal Rev. 07 Working
usedeqsales Used - $804.21 1 Apr/09/21 Jan/25/22
Description: AMAT Applied Materials CVG-BPT Manifold 0021-09758 0020-34736 0150-00918 Working
usedeqsales Used - $1,504.21 5 Apr/12/21 May/25/21
Description: Glemco 90188A Ion Source Body QIII AMAT 0040-50527 Quantum II/III 90188 Working
usedeqsales Used - $1,604.21 0 Apr/13/21 Apr/04/22
Description: AMAT Applied Materials 0190-16895 CPI-VMO Transformer 8258 Working Surplus
usedeqsales Used - $3,504.21 1 Apr/15/21 Mar/29/22
Description: AMAT Applied Materials 0040-04658 Fixed Lower Wing Assembly 0040-81103 Working
usedeqsales Used - $3,252.10 2 Apr/16/21 Jul/28/22
Description: Pyramid X-Scan Controller AMAT Quantum X 0500-00300 Quantum X Implanter Working
usedeqsales Used - $604.21 1 Apr/19/21 Apr/19/24
Description: Lam Research 853-031764-001 Lifter Bellows Assembly Bimba Working Surplus
usedeqsales Used - $504.21 0 Apr/21/21 May/18/23
Description: Novellus Systems 15-118380-00 This 4-Piece Retaining Ring Working Surplus
usedeqsales Used - $654.21 0 Apr/21/21 May/18/23
Description: AMAT Applied Materials 0020-31609 RF Cover Shield Interlock Delta SACVD Working
usedeqsales Used - $804.21 0 Apr/20/21 May/18/23
Description: AMAT Applied Materials 0020-79091 8" Wafer Ring Sensor Head Lot of 4 Working
usedeqsales Used - $504.21 0 Apr/21/21 May/18/23
Description: Lam Research 716-011963-003 Attachment W/C Plate INTR.BLW Rev. C Cleaned Working
usedeqsales Used - $804.21 0 Apr/22/21 Jul/30/24
Description: AMAT Applied Materials 0200-09615 SR & BSE BWCVD Wafer Lift Working Surplus
usedeqsales Used - $504.21 1 Apr/28/21 Nov/30/22
Description: AMAT Applied Materials 0100-77011 Light Tower Relay PCB 0130-77011 Working Spare
usedeqsales Used - $3,604.21 0 Apr/30/21 Jul/21/22
Description: Brooks 6-0000-4663-PC Robot WTM-511-2-FWS02-V1-CU AMAT 0195-02883 Cu Working
usedeqsales Used - $404.21 1 Apr/30/21 May/18/23
Description: AMAT Applied Materials 0100-09011 AI MUX/CURRENT SENSE PCB Rev. G P5000 Working
8ten1944 Used - $100.95 0 May/01/21 May/30/21
Description: Amat 3030-14597 Horiba Stec Mfc Lf-F40m-A-Evd Atrp 4G/Min, Working
usedeqsales Used - $5,005.21 0 May/03/21 Nov/08/21
Description: AMAT Applied Materials 0010-25341 PVD Chamber SOURCE 1 CPI-VMO Rev. 003 Working
usedeqsales Used - $505.21 0 May/05/21 Feb/25/22
Description: AMAT Applied Materials 0021-77074 Mirra Lower Platen Bracket Lot of 3 Working
usedeqsales Used - $255.21 0 May/07/21 May/26/21
Description: AMAT Applied Materials 0100-02129 Serial Distribution Board PCB Rev. 002 Working
usedeqsales Used - $505.21 3 May/11/21 Jun/01/21
Description: AMAT Applied Materials 0190-09487 24-Port Pneumatic Manifold SMC NVJ114Y Working
usedeqsales Used - $1,505.21 2 May/11/21 Jun/02/22
Description: AMAT Applied Materials 0100-09034 Liquid Source Interface PCB Card Working Spare
techequipsales Used - $150.00 0 May/18/21 Jan/26/24
Description: Aera FC-D980C Mass Flow Controller AMAT 3030-10847 70 SCCM WF6 *used working
techequipsales Used - $150.00 0 May/18/21 Jan/26/24
Description: Aera FC-D980C Mass Flow Controller AMAT 3030-05297 500 SCCM NF3 *used working
8ten1944 Used - $84.95 0 May/30/21 Jun/29/21
Description: Amat 3030-14597 Horiba Stec Mfc Lf-F40m-A-Evd Atrp 4G/Min, Working
scootsarb Used - $121.83 1 Jun/16/21 Mar/08/22
Description: VEGA Overhead Projector & Case CTI Overlight - Educational School GOOD WORKING
usedeqsales Used - $2,503.21 1 Jun/16/21 May/07/22
Description: CTI-Cryogenics 8116136G001 Waterpump On-Board Tested Working
joseph1506 Used - $1,800.00 2 Jun/16/21 Oct/10/21
Description: ADVANCED ENERGY RF MATCHING NETWORK AZX 90 , WORKING CONDITION
jiach_9809 Used - $2,968.00 0 Jun/24/21 Dec/17/21
Description: AE Advanced Energy PE II 10K RF Power Supply, 400V, Working
jiach_9809 Used - $4,690.00 2 Jun/24/21 Mar/24/23
Description: AE Advanced Energy PE II 10K RF Power Supply Generator, 400V, Working
8ten1944 Used - $84.95 1 Jun/29/21 Jun/29/21
Description: Amat 3030-14597 Horiba Stec Mfc Lf-F40m-A-Evd Atrp 4G/Min, Working
usedeqsales Used - $3,154.35 1 Jul/03/21 Oct/18/21
Description: AMAT Applied Materials 0010-70066 AMAT0 Heat Exchanger P5000 Working Surplus
usedeqsales Used - $1,754.35 1 Jul/03/21 Oct/20/21
Description: AMAT Applied Materials 0010-30094 RF Match Precision 5000 P5000 Phase IV Working
usedeqsales Used - $1,754.35 1 Jul/03/21 Oct/20/21
Description: AMAT Applied Materials 0010-09490 RF Match Tungsten Rev. N P5000 Mark II Working
usedeqsales Used - $1,754.35 1 Jul/03/21 Oct/20/21
Description: AMAT Applied Materials 0010-09490 RF Match BW EB SMG Working Surplus
usedeqsales Used - $1,754.35 4 Jul/03/21 Sep/13/22
Description: AMAT Applied Materials 0010-09490 RF Match Precision 5000 Mark II P5000 Working
usedeqsales Used - $2,506.21 0 Jul/03/21 Mar/16/23
Description: AMAT Applied Materials 0010-09007 RF Match 0020-09357 P5000 Mark II Working
usedeqsales Used - $1,754.35 1 Jul/03/21 Oct/20/21
Description: AMAT Applied Materials 0010-09490 RF Match Tungsten Rev. L P5000 Mark II Working
usedeqsales Used - $1,754.35 1 Jul/03/21 Oct/20/21
Description: AMAT Applied Materials 0010-09417 RF Match Precision 5000 P5000 Mark II Working
usedeqsales Used - $406.21 0 Jul/03/21 Mar/16/23
Description: AMAT Applied Materials 0020-30481 8" w/Flex Carrier P5000 Working Surplus
usedeqsales Used - $1,506.21 0 Jul/03/21 Mar/16/23
Description: AMAT Applied Materials 0010-10327 200mm ESC Wafer Flat Pedestal Rev. B Working
spsglobal Used - $500.00 2 Jul/03/21 Jul/30/21
Description: 115-0501// CTI-CRYOGENICS 8113040G002 NETWORK TERMINAL [NOT WORKING]
spsglobal Used - $300.00 1 Jul/03/21 Apr/07/22
Description: 322-0103// AMAT APPLIED 1080-01204 MOTOR STEPPING 4.6A 1/4SHFT [NOT WORKING]
usedeqsales Used - $1,506.21 1 Jul/03/21 Nov/05/21
Description: AMAT Applied Materials 0010-76001 P5000 Cleanroom Storage Elevator Motor Working
usedeqsales Used - $2,506.21 2 Jul/03/21 Jul/15/21
Description: AMAT Applied Materials 0010-04926 HV Module CESC Negative Assembly Working Spare
usedeqsales Used - $1,755.05 1 Jul/03/21 Oct/16/21
Description: AMAT Applied Materials 0010-36162 MXP+ RF Match Rev. F P5000 Working Surplus
usedeqsales Used - $1,755.05 2 Jul/03/21 Oct/15/21
Description: AMAT Applied Materials 0010-36162 MXP+ RF Match Rev. E P5000 Working Spare
usedeqsales Used - $2,506.21 1 Jul/03/21 Jul/09/21
Description: AMAT Applied Materials 0010-36162 RF Match Simple CAT Rev. 001 P5000 Working
usedeqsales Used - $3,505.05 0 Jul/06/21 Oct/25/21
Description: TURBOVAC 340MC Leybold 89432 Turbomolecular Pump AMAT 3620-01368 Tested Working
usedeqsales Used - $3,207.21 1 Jul/06/21 Jul/18/22
Description: 306 Ebara 306W-TF Turbomolecular Pump Controller AMAT 3930-01104 Tested Working
usedeqsales Used - $2,007.21 1 Jul/06/21 Nov/09/21
Description: ET Ebara ET300WS Turbomolecular Vacuum Pump AMAT 3620-01535 Turbo Working As-Is
usedeqsales Used - $3,207.21 0 Jul/06/21 Jul/21/21
Description: 306W-TF Ebara AET08-4490C Turbo Pump Controller AMAT 3930-01104 Tested Working
usedeqsales Used - $5,507.21 1 Jul/10/21 Jul/08/21
Description: MERCURY 3013 AE Advanced Energy R27-255873-00 LF Automatch 3150274-008 A Working
usedeqsales Used - $2,507.21 0 Jul/10/21 Jan/13/22
Description: AE Advanced Energy 3155069-103 A RF CounterMatch AMAT 1110-01019 Working Surplus
usedeqsales Used - $2,507.21 0 Jul/10/21 Sep/13/22
Description: AMAT Applied Materials 0010-00548 CVD RF Match Precision 5000 P5000 Working
usedeqsales Used - $1,755.05 1 Jul/10/21 Oct/16/21
Description: AMAT Applied Materials 0010-36162 RF Match CATH Rev. E P5000 Working Spare
usedeqsales Used - $1,755.05 2 Jul/10/21 Oct/20/21
Description: AMAT Applied Materials 0010-09750 CVD RF Match P5000 Precision Working Surplus
usedeqsales Used - $12,007.20 1 Jul/10/21 Jul/09/21
Description: AMAT Applied Materials 0015-20115 Standard Body PVD Chamber Reflow Working Spare
usedeqsales Used - $1,755.05 1 Jul/10/21 Oct/16/21
Description: AMAT Applied Materials 0010-36162 RF Match CATH Rev. D P5000 Working Surplus
usedeqsales Used - $2,007.21 1 Jul/10/21 Nov/13/22
Description: AMAT Applied Materials 0010-20480 200mm Cassette Handler Left LLA P5000 Working
usedeqsales Used - $2,507.21 2 Jul/10/21 Jul/15/21
Description: AMAT Applied Materials 0010-76005 200mm Robot Blade 8" P5000 Precision Working
usedeqsales Used - $1,755.05 2 Jul/10/21 Oct/20/21
Description: AMAT Applied Materials 0010-09750 DC Bias RF Match Rev. G P5000 Working Spare
usedeqsales Used - $607.21 1 Jul/10/21 Nov/20/21
Description: Lam Research 853-001142-001 RF Automatch Controller Assembly Working Surplus
usedeqsales Used - $1,755.05 3 Jul/10/21 Oct/20/21
Description: AMAT Applied Materials 0010-09750 CVD RF Match Rev. K P5000 Working Surplus
usedeqsales Used - $1,755.05 1 Jul/10/21 Oct/16/21
Description: AMAT Applied Materials 0010-36162 Etch RF Match Rev. F P5000 Working Surplus
usedeqsales Used - $3,007.21 1 Jul/10/21 Jul/09/21
Description: AMAT Applied Materials 0010-13627 RF Match HE BIAS PVD Working Surplus
usedeqsales Used - $2,007.21 1 Jul/10/21 Nov/25/21
Description: Daihen SMA-10B Microwave Waveguide Magnetron Assembly AMAT 0190-35849 Working
usedeqsales Used - $2,507.21 1 Jul/10/21 Jul/19/21
Description: AMAT Applied Materials 0040-20505 8" Heater Assembly 6 Notch Working Surplus
usedeqsales Used - $2,007.21 1 Jul/10/21 Sep/12/22
Description: AMAT Applied Materials 0010-10741 WxZ Heater Assembly 0040-46196 Working Surplus
usedeqsales Used - $1,007.21 1 Jul/10/21 Jul/10/21
Description: AMAT Applied Materials 0010-01119 ESC 200mm Cathode Super-E Flat Working Surplus
usedeqsales Used - $1,505.20 1 Jul/10/21 Jan/04/22
Description: Lam Research 02-376090-00 15" Heater Pedestal C3VCTR Copper Exposed Working
usedeqsales Used - $5,007.21 1 Jul/12/21 Jul/14/21
Description: Sierracin Magnedyne 1100-0051-00 Chamber B Magnet Driver AMAT 0190-70060 Working
usedeqsales Used - $457.21 2 Jul/13/21 Jun/27/22
Description: AMAT Applied Materials 0150-76318 RF Cable 55 Foot Working Surplus
usedeqsales Used - $1,007.21 0 Jul/14/21 Mar/31/23
Description: ASTeX D13550-U Microwave Plasma Detector Power Supply AMAT 3750-01131 Working
usedeqsales Used - $3,507.21 1 Jul/14/21 Jul/15/21
Description: AMAT Applied Materials 0040-00876 E-Max 200mm ESC Chuck EMXP+ Dual Zone Working
usedeqsales Used - $2,507.21 1 Jul/15/21 Jul/27/21
Description: Novellus Systems 04-717767-01 Target Rotation Assembly Working Surplus
usedeqsales Used - $3,253.60 1 Jul/16/21 Oct/15/21
Description: Novellus Systems 02-282131-00 RF Network Match Concept Two C2-SPEED Working
usedeqsales Used - $4,507.21 0 Jul/19/21 Apr/02/23
Description: Novellus Systems 02-260913-03 3-Tap High Power RF Match Working Surplus
dom0808 Used - $3,590.00 0 Jul/19/21 Feb/11/22
Description: Lam Research 839-019090-632 ESC Chuck 839-019090-632 Parts or not working
usedeqsales Used - $2,507.21 1 Jul/19/21 Jul/23/21
Description: Trazar 27-024562-11 RF Match Network AMU2C-1 1971-002 Novellus Working Surplus
usedeqsales Used - $2,507.21 3 Jul/19/21 Dec/20/21
Description: AMAT Applied Materials 0010-09750 DC Bias RF Match Rev. H P5000 Working Surplus
usedeqsales Used - $2,507.21 1 Jul/19/21 Dec/15/21
Description: AMAT Applied Materials 0010-09750 CVD RF Match Rev. B P5000 PK5 Working Spare
usedeqsales Used - $757.21 1 Jul/20/21 Aug/25/22
Description: Novellus Systems 03-283739-01 5kW RF Cable Ion Source 03-28373-90 Working Spare
usedeqsales Used - $1,253.60 2 Jul/28/21 Oct/22/21
Description: Synergy Microsystems SV21 VME CPU Board PCB Card AMAT 0190-09312 Working Spare
usedeqsales Used - $5,007.21 1 Jul/28/21 Aug/03/21
Description: Sierracin Magnedyne 1100-0051-00 Chamber Magnet Driver AMAT 0010-09017 Working
usedeqsales Used - $1,507.21 2 Jul/28/21 Jul/23/21
Description: AMAT Applied Materials TxZ Lid Assembly 0021-35989 0021-36686 0040-39258 Working
usedeqsales Used - $2,007.21 1 Jul/28/21 Sep/16/21
Description: AMAT Applied Materials 0100-09172 Endpoint Board PCB Card Emission/Laser Working
usedeqsales Used - $2,507.21 5 Jul/28/21 Sep/22/21
Description: RadiSys 61-0595-40 PCB Card PFS-025-SS-64 AMAT 0190-01246 Working Surplus
usedeqsales Used - $1,007.21 1 Jul/28/21 Apr/30/22
Description: AMAT Applied Materials 0100-35250 Chamber Interface PCB Card DPS Centura Working
usedeqsales Used - $4,507.21 1 Jul/28/21 Sep/14/21
Description: AE Advanced Energy 3150114-000 A2 SE Network RF Match 1500W @ 450kHz Working
usedeqsales Used - $707.21 1 Jul/28/21 Oct/15/21
Description: AMAT Applied Materials 0100-35227 E-Chuck Controller Board PCB Rev. A Working
usedeqsales Used - $1,253.60 1 Jul/28/21 Oct/14/21
Description: AMAT Applied Materials 0040-99997 200mm ESC 0040-09961 Rev. B Working Surplus
usedeqsales Used - $607.21 1 Jul/28/21 Jul/28/21
Description: AMAT Applied Materials 0100-11000 Analog Input Board PCB Rev. F Working Surplus
usedeqsales Used - $2,507.21 1 Jul/28/21 Jul/28/21
Description: AMAT Applied Materials 0100-20001 System Electronics Interface Board PCB Working
usedeqsales Used - $807.21 3 Jul/28/21 Feb/04/22
Description: Vicor MP6-76542 Power Supply AMAT Applied Materials 1140-01379 Working Spare
usedeqsales Used - $657.21 3 Jul/28/21 Apr/07/22
Description: AMAT Applied Materials 0100-09056 Centerfinder SBC PCB Card Rev. G Working Spare
usedeqsales Used - $907.21 0 Jul/28/21 Aug/06/21
Description: AMAT Applied Materials 0100-09196 E-Chuck Controller PCB Card Rev. A Working
fa-parts Used - $1,400.00 1 Jul/28/21 Jul/28/21
Description: ADVANCED ENERGY PE-1000 AC PLASMA POWER SOURCE 3157501-000D TESTED WORKING
usedeqsales Used - $5,007.21 0 Jul/28/21 Jul/29/21
Description: AMAT Applied Materials 0010-70000 Mini Controller with TEOS Option Working Spare
usedeqsales Used - $2,507.21 1 Jul/28/21 Aug/08/23
Description: AMAT Applied Material 0040-09221 200mm Wafer CVD Chamber P5000 Working Surplus
usedeqsales Used - $2,503.60 1 Jul/29/21 Jan/24/22
Description: AMAT Applied Materials 0010-70000 Mini Controller with TEOS Option Working Spare
usedeqsales Used - $657.21 16 Jul/29/21 Aug/18/21
Description: AMAT Applied Materials 0100-00003 Stepper Drive Control PCB Card Rev. C Working
usedeqsales Used - $2,007.21 0 Jul/30/21 Apr/13/23
Description: Trazar 2370-001 Power Supply ECC1-4 SCM Novellus 27-130670-00 Working Surplus
usedeqsales Used - $2,007.21 1 Jul/30/21 Dec/08/21
Description: Conteq 1380-001 Power Supply ECC1-1 Novellus 27-034079-00 Trazar Working Surplus
usedeqsales Used - $2,008.21 2 Aug/02/21 Dec/08/21
Description: Trazar 1648-001 Power Supply ECC1-1 Novellus 27-034079-01 Regen Working Surplus
usedeqsales Used - $1,008.21 1 Aug/04/21 Aug/06/21
Description: MKS Instruments 750B11TCE2GK Baratron Novellus 27-126062-00 Lot of 5 Working
powereletronics Used - $1,100.00 1 Aug/04/21 Aug/05/21
Description: CTI Cryogenics CPC Module Controller PN 8113169G016 . Used Working Condition
usedeqsales Used - $2,008.21 0 Aug/04/21 Mar/18/24
Description: AMAT Applied Materials 0010-09341 Wafer Lift Assembly Precision 5000 P5K Working
usedeqsales Used - $508.21 3 Aug/04/21 Nov/21/21
Description: AMAT Applied Materials 0021-09104 Aligned Cathode Liner P5000 Working Surplus
powereletronics Used - $1,500.00 1 Aug/07/21 Aug/08/21
Description: CTI Cryogenics CPC Module Controller PN 8113169G016 . Used Working Condition
usedeqsales Used - $1,208.21 0 Aug/09/21 Mar/03/22
Description: Digital Dynamics 02-126829-00 sioc PVD Preclean 0 Interlock Novellus Working
usedeqsales Used - $508.21 1 Aug/10/21 Aug/11/21
Description: Tylan General ACR-28S02 Valve Controller AdapTorr Novellus 27-001412-00 Working
usedeqsales Used - $3,308.21 0 Aug/11/21 Aug/12/21
Description: OEM-12B ENI Power Systems OEM-12B-07 RF Generator AMAT 0190-76048 Tested Working
usedeqsales Used - $3,308.21 1 Aug/11/21 Aug/12/21
Description: OEM-12B ENI Power Systems OEM-12B-02 RF Generator AMAT 0190-70080 Working As-Is
usedeqsales Used - $1,254.10 3 Aug/12/21 Oct/12/21
Description: Novellus Systems 02-121096-00 200mm Electrostatic Chuck ESC 15-118976-00 Working
usedeqsales Used - $2,508.21 0 Aug/14/21 Jun/29/23
Description: Novellus 02-134264-00 200mm Electrostatic Chuck ESC 15-121119-00 Rev. A Working
usedeqsales Used - $758.21 0 Aug/18/21 Feb/29/24
Description: Novellus Systems 02-127631-00 Pedestal Lift Assembly Working Surplus
usedeqsales Used - $1,508.21 1 Aug/18/21 Aug/16/21
Description: AMAT Applied Materials 0100-00398 SEI Board PCB Card Rev. 003 Working Surplus
usedeqsales Used - $1,508.21 1 Aug/18/21 Dec/07/21
Description: AMAT Applied Materials 0100-09054 Analog Input Board PCB Card Rev. 05 Working
usedeqsales Used - $1,508.21 1 Aug/18/21 Oct/13/21
Description: Novellus Systems 15-135619-00 150mm Electrostatic Chuck ESC Working Surplus
usedeqsales Used - $308.21 1 Aug/18/21 Aug/23/22
Description: AMAT Applied Materials 0100-09055 Liquid Source SBC PCB Card Rev. H Working
usedeqsales Used - $408.21 0 Aug/18/21 Aug/25/22
Description: AMAT Applied Materials 0100-00060 Chopper Drive PCB Card Rev. M Working Surplus
usedeqsales Used - $7,508.21 1 Aug/22/21 Apr/13/22
Description: Novellus 15-045913-00 300mm Electrostatic Chuck ESC Concept 3 C3 Working Spare
usedeqsales Used - $5,004.11 1 Aug/22/21 Apr/08/22
Description: Novellus 15-045913-00 300mm Electrostatic Chuck ESC Concept 3 C3 Cleaned Working
usedeqsales Used - $1,508.21 0 Aug/22/21 Mar/16/23
Description: Novellus 02-260547-00 Concept 3 300mm Electrostatic Chuck ESC C3 HDP-CVD Working
usedeqsales Used - $911.18 0 Aug/22/21 Jan/10/22
Description: Nikon 4S018-726 Backplane Interface Board PCB LC-MTR-X4 NSR-S205C Used Working
usedeqsales Used - $1,208.21 1 Aug/23/21 Oct/13/21
Description: Digital Dynamics 27-152970-00 sioc Controller Novellus Systems Working Surplus
usedeqsales Used - $3,208.21 1 Aug/23/21 Mar/07/22
Description: Digital Dynamics 02-109139-00 sioc ALTUS 2 Control Novellus 02-109699-00 Working
usedeqsales Used - $808.21 0 Aug/23/21 Sep/15/21
Description: Novellus Systems 02-028052-00 CVD-D Interlock Board PCB 27-028053-00 Working
usedeqsales Used - $4,508.21 0 Aug/26/21 Nov/18/21
Description: SEMIWIZ SMW003201-00 QNX4 Controller Computer PC Novellus 02-152902-00 Working
usedeqsales Used - $3,208.21 1 Aug/26/21 Mar/28/22
Description: Digital Dynamics 03-123984-00 sioc WTS-HV 3&4 Novellus 02-109699-00 Working
usedeqsales Used - $308.21 1 Aug/26/21 Jul/28/22
Description: Novellus Systems 02-047113-00 CVD & Etch Interface Board PCB Rev. A Working
usedeqsales Used - $2,508.21 0 Aug/26/21 Apr/02/23
Description: Novellus Systems 02-143828-00 150mm Wafer Pedestal Assembly Working Surplus
usedeqsales Used - $658.21 7 Aug/26/21 Oct/18/21
Description: AMAT Applied Materials 0100-00003 Stepper Drive Control PCB Card Rev. C Working
usedeqsales Used - $2,508.21 0 Aug/26/21 Apr/13/23
Description: Novellus Systems 02-159684-00 300mm WCVD Pedestal Assembly Working Surplus
spsglobal Used - $4,000.00 0 Aug/27/21 Sep/29/21
Description: 000-0001// CTI-CRYOGENICS 8135900G001 (#1) CTI-CRYOGENICS COMPRESSOR NOT WORKING
usedeqsales Used - $1,608.21 1 Aug/27/21 Apr/20/23
Description: Novellus Systems 15-028190-00 Driver Motor Panel Parker OEM300 OEM750 Working
usedeqsales Used - $2,008.21 1 Aug/27/21 Aug/28/21
Description: Novellus Systems 02-032615-00 Metro NG Terminal Panel PCB Working Surplus
usedeqsales Used - $2,508.21 0 Sep/07/21 Jun/15/23
Description: Trazar 62511-001 Seal Plate 300mm HDP Rev. K Novellus 02-122732-00 Working Spare
usedeqsales Used - $1,508.21 1 Sep/07/21 Jun/22/22
Description: Novellus Systems 02-042686-00 Interlock Board PCB SPEED-S Rev. E Working Spare
usedeqsales Used - $3,008.21 0 Sep/07/21 Apr/20/23
Description: Digital Dynamics VECTOR HDSIOC 0 Controller Novellus 02-252395-00 Working Spare
usedeqsales Used - $1,008.21 1 Sep/07/21 Aug/31/21
Description: Verity Instruments PM220-S Dual EP Detector Novellus 60-032099-00 Working Spare
usedeqsales Used - $602.10 0 Sep/07/21 Jun/10/24
Description: AMAT Applied Materials 0030-76002 Front Bezel Precision 5000 Mark II Working
usedeqsales Used - $1,208.21 0 Sep/07/21 Jun/15/23
Description: AMAT Applied Materials 0090-09242 Side Magnet Coil Assembly 0090-09158 Working
usedeqsales Used - $608.21 0 Sep/07/21 Jun/15/23
Description: AMAT Applied Materials 0030-09057 Front Bezel Precision 5000 Etch MXP Working
usedeqsales Used - $358.21 0 Sep/07/21 Jun/15/23
Description: Novellus Systems 04-722691-00 Table Ring Cleaned Working Surplus
usedeqsales Used - $809.21 1 Sep/07/21 Jan/17/22
Description: Novellus Systems 03-123072-00 N SEQ-X Interlock Board PCB 76-123072-00 Working
usedeqsales Used - $1,609.21 0 Sep/07/21 Nov/29/22
Description: Novellus Systems 02-052262-00 AC Power Box Speed C2 Concept Two Speed Working
usedeqsales Used - $2,504.60 1 Sep/10/21 Nov/03/21
Description: Trazar 3502-002 RF Match Network AMU10E-2 Novellus 27-118072-00 Working Surplus
usedeqsales Used - $1,309.21 1 Sep/14/21 Sep/29/22
Description: Novellus Systems 16-135824-00 200mm Pinned Showerhead Cleaned Working Surplus
usedeqsales Used - $1,009.21 0 Sep/14/21 Sep/05/23
Description: AMAT Applied Materials 0100-09022 Mini AI/AO PCB Card Rev. F 0190-09021 Working
usedeqsales Used - $609.21 1 Sep/15/21 Mar/07/22
Description: AMAT Applied Materials 0100-09389 TC Amp/Interlock PCB Rev. B Working Spare
usedeqsales Used - $859.21 0 Sep/16/21 Mar/03/22
Description: AMAT Applied Materials 0226-47607 Gas Panel Analog PCB Rev. A Working Surplus
usedeqsales Used - $409.12 0 Sep/22/21 Aug/17/22
Description: Novellus Systems 15-116097-00 Transparent Slit Valve Blank-Off Working Surplus
usedeqsales Used - $309.21 0 Sep/22/21 Apr/03/22
Description: Novellus Systems 03-134121-00 Interface PCB Altus MSSD Rev. 1 Working Surplus
usedeqsales Used - $1,009.21 1 Sep/23/21 Oct/01/21
Description: AMAT Applied Materials 0100-20007 Pneumatic Distribution PCB Rev. D Working
cubit001 Used - $500.00 1 Sep/27/21 Sep/27/21
Description: 0100-20068 CCD Board Assembly PCB Working Spare
usedeqsales Used - $509.21 1 Sep/28/21 Dec/08/22
Description: AMAT Applied Materials 0020-04194 Heated Chamber Top Lid MXP Working Surplus
usedeqsales Used - $359.21 3 Sep/28/21 Jan/24/22
Description: AMAT Applied Materials 0100-09107 TEOS Gas Interface PCB Rev. D Working Surplus
powereletronics Used - $1,800.00 1 Sep/28/21 Sep/30/21
Description: CTI Cryogenics CPC Module Controller P/N 8113245G001 Used Working Condition.
itrecyclenow Used - $400.00 0 Oct/03/21 Mar/26/22
Description: Regeneration Controller 8044060 CTI-Cryogenics Helix w/ working 6A fuse
usedeqsales Used - $1,009.21 1 Oct/07/21 Dec/09/21
Description: AMAT Applied Materials 0100-20007 Pneumatic Distribution PCB Rev. D Working
torrom_120 Used - $1,899.00 0 Oct/12/21 Mar/16/23
Description: LAM Research 810-073479-215 Rev Board Tested working
usedeqsales Used - $3,510.21 1 Oct/12/21 Oct/11/21
Description: MERCURY 3013 AE Advanced Energy 3150274-005 B RF Match Network Mattson Working
usedeqsales Used - $360.21 1 Oct/13/21 Oct/31/21
Description: CTI-Cryogenics 8112582G001 Cryopump Vacuum Roughing Valve Working Surplus
techequipsales Used - $1,750.00 1 Oct/15/21 Sep/08/22
Description: Advanced Energy MDX-500 3152261-012A DC Power Supply *used tested working
techequipsales Used - $1,575.00 0 Oct/15/21 Sep/08/22
Description: Advanced Energy MDX-500 3152261-012A DC Power Supply *used working*
usedeqsales Used - $510.21 0 Oct/15/21 Jun/29/23
Description: AMAT Applied Materials 0021-38119 Faceplate DXZ DCVD Center Hole Working Surplus
capitolareatech NEW - $799.95 0 Oct/20/21 Feb/07/22
Description: Applied Materials (AMAT) 0015-09091 ASSY LAMP DRIVER FOR PARTS ONLY NOT WORKING
capitolareatech NEW - $299.95 0 Oct/20/21 Feb/07/22
Description: Applied Materials (AMAT) 0100-11002 DIGITAL I/O PWB ASSY-FOR PARTS/NOT WORKING
capitolareatech NEW - $299.95 0 Oct/20/21 Feb/07/22
Description: Applied Materials (AMAT) 0100-00011 w PWB, CHOPPER DRIVE-FOR PARTS/NOT WORKING
capitolareatech NEW - $79.95 0 Oct/20/21 Aug/20/22
Description: Applied Materials (AMAT) 0020-09743 (FOR PARTS/NOT WORKING)
capitolareatech NEW - $89.95 0 Oct/20/21 Feb/07/22
Description: Applied Materials (AMAT) 0100-00084 PCB CAP SENSOR AMP (PARTS/NOT WORKING)
capitolareatech NEW - $99.95 0 Oct/20/21 Feb/07/22
Description: Applied Materials (AMAT) 0110-09006 PCB (FOR PARTS/NOT WORKING)
capitolareatech NEW - $199.95 0 Oct/20/21 Feb/07/22
Description: Applied Materials (AMAT) 0100-00060 PCB (FOR PARTS/NOT WORKING)
capitolareatech NEW - $599.95 0 Oct/20/21 Feb/07/22
Description: Applied Materials (AMAT) 0100-09022 PCB (FOR PARTS/NOT WORKING)
capitolareatech NEW - $199.95 0 Oct/20/21 Feb/07/22
Description: Applied Materials (AMAT) 0100-09023 PCB (FOR PARTS/NOT WORKING)
capitolareatech NEW - $199.95 0 Oct/20/21 Feb/07/22
Description: Applied Materials (AMAT) 0100-00014 PCB (PARTS ONLY/NOT WORKING)
capitolareatech NEW - $199.95 0 Oct/20/21 Feb/07/22
Description: Applied Materials (AMAT) 0100-00008 PCB TC GAUGE (PARTS/NOT WORKING)
usedeqsales Used - $710.21 1 Oct/20/21 Aug/08/22
Description: CTI-Cryogenics 8042300 Cryo-Torr Temperature Monitor Working Surplus
usedeqsales Used - $7,510.21 1 Oct/25/21 Nov/18/21
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $5,010.21 0 Oct/25/21 May/09/22
Description: TURBOVAC 340MC Leybold 89432 Turbomolecular Pump AMAT 3620-01368 Tested Working
usedeqsales Used - $847.15 0 Oct/28/21 Aug/25/22
Description: Nikon 4S019-080 Power Relay Board PCB IU-PWR2-X4P2 NSR Series Working Surplus
usedeqsales Used - $2,010.21 1 Oct/29/21 Nov/09/21
Description: AMAT Applied Materials 0010-10009 HRTV Drive Throttle Valve 0015-090777 Working
usedeqsales Used - $3,755.60 1 Nov/02/21 Nov/26/21
Description: CTI-Cryogenics 8050059 High Vacuum CRYO-TORR 400 Cryopump TEL Working Surplus
usedeqsales Used - $6,518.19 1 Nov/08/21 May/10/22
Description: Cesar 2720 AE Advanced Energy 61400023 RF Power Generator 2000w Tested Working
katiil3 Used - $2,899.00 0 Nov/09/21 Feb/10/22
Description: AE Advanced Energy Cesar 1320 61300065 RF Power Generator 2000w Working
usedeqsales Used - $361.21 1 Nov/10/21 Dec/28/21
Description: Verity 1001410-704 Single Endpoint Detector PD100 Novellus 21-122307-00 Working
usedeqsales Used - $661.21 0 Nov/16/21 Mar/17/22
Description: AMAT Applied Materials 0021-09016 Wafer Alignment Transparent 0270-09283 Working
usedeqsales Used - $1,011.21 1 Nov/18/21 Apr/13/23
Description: AMAT Applied Materials 0020-31558 ESC RF Box Plate Assembly Working Surplus
usedeqsales Used - $2,511.21 1 Nov/18/21 Dec/21/21
Description: Lam Research 853-015130-002 RF Match Gear Drive Assembly Working Surplus
hehapr Used - $1,500.00 0 Nov/20/21 Nov/26/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
usedeqsales Used - $2,011.21 1 Nov/23/21 Dec/20/21
Description: AMAT Applied Materials 0100-11001 Analog Output PCB Card Rev. 1 Working Surplus
usedeqsales Used - $2,511.21 0 Nov/24/21 Jul/02/24
Description: AMAT Applied Materials 0100-20003 Digital I/O PCB Card Rev. D P5000 Working
usedeqsales Used - $2,011.21 1 Nov/24/21 Dec/07/21
Description: AMAT Applied Materials 0100-11001 Analog Output PCB Card Rev. B P5000 Working
usedeqsales Used - $1,511.21 10 Nov/24/21 Mar/29/22
Description: AMAT Applied Materials 0100-09054 Analog Input PCB Card Rev. H P5000 Working
usedeqsales Used - $1,511.21 1 Nov/24/21 Feb/23/22
Description: AMAT Applied Materials 0100-00003 Stepper Drive PCB Card Rev. D P5000 Working
usedeqsales Used - $2,011.21 1 Nov/24/21 Nov/29/21
Description: AMAT Applied Materials 0100-20001 System Electronics Interface PCB P5000 Working
hehapr Used - $1,500.00 0 Nov/26/21 Dec/03/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
usedeqsales Used - $1,757.85 1 Nov/29/21 Feb/14/23
Description: AMAT Applied Materials 0010-08322 Top Local RF Match Rev. 009 Centura Working
usedeqsales Used - $411.21 4 Nov/29/21 Jul/01/22
Description: AMAT Applied Materials 0100-00014 Opto Detect PCB Card Rev. J P5000 Working
usedeqsales Used - $1,211.21 1 Nov/29/21 Apr/28/22
Description: PDP 2500 AE Advanced Energy 3156012-002A RF Pulsed Plasma Not Working As-Is
usedeqsales Used - $311.21 0 Nov/30/21 Oct/12/23
Description: AMAT Applied Materials 0100-00008 TC Gauge P.W.B. PCB Card Rev. G P5000 Working
usedeqsales Used - $2,511.21 1 Nov/30/21 Jan/14/22
Description: AMAT Applied Materials 0010-08322 Top Local RF Match Rev. 002 Centura Working
zindchau15 Used - $3,200.00 1 Dec/01/21 Jul/12/22
Description: NIKON 4S086-527 HRV-FB05D LS5-1, TYPE ALL, WORKING
usedeqsales Used - $2,512.21 1 Dec/02/21 Dec/03/21
Description: AMAT Applied Materials 0010-70790 MXP+ SCR DWN Lid 0040-31943 0020-33096 Working
usedeqsales Used - $1,408.55 0 Dec/02/21 Mar/28/23
Description: Spectrum 260-00651 VME PCB Card FRU 600-90051 Nikon 4S013-361 NSR-S204B Working
usedeqsales Used - $1,512.21 0 Dec/02/21 Nov/08/22
Description: AMAT Applied Materials 0150-01411 2MHz Coaxial RF Cable Endura Chamber Working
usedeqsales Used - $912.21 0 Dec/03/21 Aug/25/22
Description: AMAT Applied Material 0010-10061 A/D Uni-lid Hinge Precision 5000 P5000 Working
usedeqsales Used - $5,512.21 1 Dec/03/21 Dec/04/21
Description: AMAT Applied Materials 0010-39736 5200 Centura Clamp Lid 0021-03277 Working
hehapr Used - $1,500.00 0 Dec/03/21 Dec/10/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
usedeqsales Used - $2,512.21 0 Dec/03/21 Feb/20/23
Description: AMAT Applied Materials 0100-20003 Digital I/O PCB Card Rev. F P5000 Working
usedeqsales Used - $2,012.21 1 Dec/03/21 Dec/03/21
Description: AMAT Applied Materials 0100-11001 Analog Output PCB Card Rev. D Working Surplus
usedeqsales Used - $1,012.21 3 Dec/03/21 Feb/15/23
Description: AMAT Applied Materials 0010-70191 5000 Cleanroom Slit Valve P5000 Working Spare
usedeqsales Used - $1,012.21 1 Dec/06/21 Feb/15/23
Description: AMAT Applied Materials 0010-70029 Slit Valve Rev. D P5000 Etch Working Surplus
usedeqsales Used - $2,012.21 1 Dec/06/21 Dec/06/21
Description: AMAT Applied Materials 0010-20088 8" Optics Assembly Orienter Working Surplus
usedeqsales Used - $2,012.21 0 Dec/06/21 Apr/02/23
Description: AMAT Applied Materials 0010-09936 High Voltage HV Module Connector Working Spare
usedeqsales Used - $912.21 2 Dec/08/21 Dec/08/21
Description: AMAT Applied Materials 0140-70173 Interconnect Cable Harness WB Chamber Working
usedeqsales Used - $2,512.21 0 Dec/08/21 Feb/08/22
Description: Apical Instruments DCR 100 MCVD End Point Detector AMAT 0190-35510 Working Spare
fa-parts Used - $2,000.00 1 Dec/09/21 Dec/17/21
Description: AMAT APPLIED MATERIALS 0100-20100 ANALOG I/O BOARD WORKING FREE SHIP
usedeqsales Used - $2,512.21 0 Dec/09/21 Jan/10/22
Description: AMAT Applied Materials 0190-25290 300mm Wafer End Effector Blade Fork Working
usedeqsales Used - $2,012.21 0 Dec/09/21 Apr/13/23
Description: Conteq 1380-001 Power Supply ECC1-1 Novellus 27-034079-00 Trazar Working Surplus
hehapr Used - $900.00 0 Dec/10/21 Dec/17/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
usedeqsales Used - $2,106.10 0 Dec/10/21 Nov/03/22
Description: DC Pinnacle AE Advanced Energy 3152428-101 6kW Power Supply Working Surplus
usedeqsales Used - $4,006.10 1 Dec/10/21 Jan/27/22
Description: DC Pinnacle Plus AE Advanced Energy 3152135-302 Pulsed-DC Power Supply Working
usedeqsales Used - $612.21 0 Dec/10/21 Aug/25/22
Description: AMAT Applied Materials 0020-10059 Wafer Lift Ring NI/SO Working Surplus
usedeqsales Used - $2,604.88 1 Dec/10/21 Sep/08/22
Description: Cesar 1320 AE Advanced Energy 61300065 Power Supply 2000W @ 13.56 MHz Working
usedeqsales Used - $4,212.21 1 Dec/10/21 Jan/05/22
Description: Cesar 1310 AE Advanced Energy 61300053 Power Supply 1000W @ 13.56 MHz Working
usedeqsales Used - $1,009.21 0 Dec/10/21 Mar/03/22
Description: AMAT Applied Materials 0100-20007 Pneumatic Distribution PCB Rev. D Working
usedeqsales Used - $2,012.21 1 Dec/10/21 Dec/15/22
Description: CTI-Cryogenics 8032224 SC Air Cooled Compressor Working Surplus
usedeqsales Used - $2,012.21 7 Dec/14/21 Jan/29/22
Description: AMAT Applied Materials 0040-42414 200 HDP Standoff Cold Plate Assembly Working
usedeqsales Used - $1,012.21 5 Dec/14/21 Jul/31/22
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 01 Working Surplus
usedeqsales Used - $1,012.21 6 Dec/14/21 Aug/18/22
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A Working Surplus
usedeqsales Used - $962.21 0 Dec/15/21 Jul/05/22
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A Broken Tab Working Spare
usedeqsales Used - $3,012.21 1 Dec/15/21 Dec/18/21
Description: Lam Research 810-017086-018 ESC Power Supply PCB Rev. D Working Surplus
usedeqsales Used - $2,512.21 1 Dec/15/21 Jul/13/23
Description: Lam Research 810-520659-001 6 Channel Serial I/O PCB Card Rev. S1 Working Spare
usedeqsales Used - $1,512.21 1 Dec/16/21 Jun/10/22
Description: Lam Research 810-370141-001 STD Interlock PCB Card Rev. C Working Surplus
usedeqsales Used - $1,512.21 2 Dec/16/21 Aug/26/23
Description: Lam Research 810-017388-001 VME Ethernet PCB Card Rev. 1 Working Surplus
usedeqsales Used - $1,058.55 0 Dec/16/21 Mar/16/23
Description: Nikon 4S015-227 Network Interface Board PCB NK8601A 4S700-995 NSR-204B Working
usedeqsales Used - $2,512.21 0 Dec/16/21 Aug/10/23
Description: Nikon LSIOP VME PCB Card 4S015-227 NK8601A 4S013-355 IFIOPIF NSR Series Working
usedeqsales Used - $1,012.21 3 Dec/16/21 Jun/28/22
Description: AMAT Applied Materials 0100-00162 Expanded I/O PCB 0110-00162 Working Surplus
usedeqsales Used - $2,012.21 2 Dec/17/21 Dec/18/21
Description: AMAT Applied Materials 0100-35124 Seriplex I/O Distribution PCB Card Working
usedeqsales Used - $2,012.21 0 Dec/17/21 Feb/20/23
Description: AMAT Applied Materials 0100-76124 Digital I/O VME PCB Card P5000 Rev. B Working
hehapr Used - $900.00 0 Dec/17/21 Dec/24/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
usedeqsales Used - $2,512.21 8 Dec/17/21 Nov/30/23
Description: Nikon 4S015-119 Processor PCB Card NK386SX4 4S015-150 SX4-BT-02S NSR Working
usedeqsales Used - $812.21 1 Dec/20/21 Dec/21/21
Description: Lam Research 810-017003-005 High Frequency DIP PCB Rev. F Working Surplus
usedeqsales Used - $812.21 2 Dec/20/21 Dec/21/21
Description: Lam Research 810-017003-004 High Frequency DIP PCB Rev. 2 Working Surplus
hehapr Used - $900.00 0 Dec/24/21 Dec/31/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
techequipsales Used - $2,750.00 1 Dec/27/21 Dec/30/21
Description: LAM Research 839-800327-315 ESC 300mm CER BI IMB BIG PIN *used working
hehapr Used - $900.00 0 Dec/31/21 Jan/07/22
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
fa-parts Used - $1,600.00 1 Jan/01/22 Jan/03/22
Description: ADVANCED ENERGY PE-1000 AC PLASMA POWER SOURCE 3157501-000D WORKING #2
fa-parts Used - $1,600.00 1 Jan/01/22 Jan/03/22
Description: ADVANCED ENERGY PE-1000 AC PLASMA POWER SOURCE 3157501-000D TESTED WORKING #1
fa-parts Used - $3,500.00 2 Jan/08/22 Jun/03/23
Description: ADVANCED ENERGY 3157501-000 E,PE-1000 AC PLASMA POWER SOURCE TESTED WORKING
fa-parts Used - $3,500.00 0 Jan/08/22 Jun/30/22
Description: ADVANCED ENERGY 3157512-000D,PE-1000 AC PLASMA POWER SOURCE TESTED WORKING
usedeqsales Used - $251.22 0 Jan/08/22 Mar/03/22
Description: UNIT Instruments UFC-1100 Mass Flow Controller MFC AMAT 3030-01366 Working
katiil3 Used - $1,199.00 1 Jan/08/22 Jun/10/24
Description: Advanced Energy AE R27-368450-00 3155162-037 Navigator RF Match Used Working
hehapr Used - $900.00 0 Jan/08/22 Jan/14/22
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
fa-parts Used - $2,000.00 1 Jan/08/22 May/31/23
Description: 1PCS AE ADVANCED ENERGY MDX II,3152264-001 A CONTROL PANEL WORKING FREE SHIP
usedeqsales Used - $2,001.22 2 Jan/10/22 Apr/05/22
Description: Koganei SP1178W Pneumatic Control Box Nikon 4B028-878 NSR-S204B Working Surplus
usedeqsales Used - $1,500.61 0 Jan/11/22 Dec/05/22
Description: Nikon 4S018-750 I/O PCB Card SPIOX4 4S700-994 4S700-995 NSR Series Working Spare
usedeqsales Used - $501.22 0 Jan/12/22 Apr/13/23
Description: Nikon 4S018-869 I/O Board PCB PRE2 I/O-1A NSR Series Working Surplus
hehapr Used - $900.00 0 Jan/14/22 Jan/21/22
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
usedeqsales Used - $2,100.85 0 Jan/18/22 Jun/23/22
Description: Tazmo NSR18 Robot Controller Nikon 4S064-548 NSR-S306C 200mm DUV Working Surplus
joseph1506 Used - $3,000.00 1 Jan/21/22 Jan/21/22
Description: APPLIED MATERIALS P5000 , RF MATCH , PHASE IV , P/N. 0010-20525 , WORKING
folkestonestars Used - $1.00 0 Jan/22/22 Jan/22/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $3,201.22 0 Jan/24/22 Oct/06/22
Description: AMAT Applied Materials 0040-20505 200mm Dual Zone PVD Heater Pedestal Working
usedeqsales Used - $2,501.22 1 Jan/24/22 Jan/24/22
Description: AMAT Applied Materials 0040-20505 200mm Bellows PVD Heater Pedestal Working
usedeqsales Used - $6,301.22 1 Jan/25/22 Jan/27/22
Description: CTI-Cryogenics 8185041G001R Cryopump On-Board IS-8F AMAT Tested Working
usedeqsales Used - $6,501.22 1 Jan/25/22 Jan/27/22
Description: CTI-Cryogenics 8185041G001R Cryopump On-Board IS-8F 8186227G004 Tested Working
usedeqsales Used - $6,501.22 1 Jan/25/22 Jan/27/22
Description: CTI-Cryogenics 8185040G001R Cryopump On-Board IS-8F 8186227G004 Tested Working
usedeqsales Used - $6,301.22 1 Jan/25/22 Jan/27/22
Description: CTI-Cryogenics 0190-19391 On-Board IS-8F Cryopump AMAT Tested Working
usedeqsales Used - $1,501.22 2 Jan/26/22 Jan/27/22
Description: Control Concepts 2028B-1007 SCR Power Controller AMAT 0500-01139 Working Surplus
infotone Used - $1.00 0 Jan/26/22 Jan/26/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $401.21 1 Jan/27/22 Feb/09/22
Description: VAT 0300X-MA24-1006 Slit Valve Seal Actuator AMAT 0190-09663 Working Surplus
tfstech Used - $325.00 0 Jan/27/22 Aug/17/22
Description: CTI Cryogenics Temperature Monitor Scientific Instruments 9300 8-Channel Working
tfstech Used - $98.00 0 Jan/27/22 Aug/17/22
Description: CTI Cryogenics 8044051 Purge Gas Heater 90-120 Vac 90 W 165 Ohm Working 1/4 Tube
usedeqsales Used - $351.22 4 Jan/28/22 Oct/04/22
Description: Oriental Motor C5335-9212M 2-Phase Stepping Motor VEXTA AMAT 0090-75011 Working
israbuy Used - $2,500.00 0 Jan/28/22 Mar/02/22
Description: Sumitomo ADE1 H Control Unit 4S587-598 M28557 Nikon NSR-S307E Used Working
israbuy Used - $489.50 0 Jan/28/22 Mar/02/22
Description: TDK MSE280E Power Supply PCB Card Nikon 4S001-102 NSR System Working
israbuy Used - $177.96 0 Jan/28/22 Mar/02/22
Description: Nikon 4S018-646 Case I/F Interface Board PCB Used Working
israbuy Used - $2,000.00 0 Jan/28/22 Mar/02/22
Description: Nikon 4S587-172 Switch Box TC-SW NSR-S306C DUV Scanning System Used Working
eabani1111 Used - $1.00 0 Jan/29/22 Jan/29/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
techequipsales Used - $3,500.00 1 Feb/01/22 Feb/11/22
Description: AE Advanced Energy 3152012-000 E MDX Magnetron Drive *used working
techequipsales Used - $3,500.00 1 Feb/01/22 Feb/11/22
Description: AE Advanced Energy 3152011-020 C MDX Magnetron Drive *used working
usedeqsales Used - $602.22 0 Feb/04/22 Apr/02/23
Description: AMAT Applied Materials 0100-09145 RS232 Video Interconnect PCB Working Surplus
usedeqsales Used - $1,202.22 1 Feb/04/22 Sep/25/23
Description: AMAT Applied Materials 0100-20313 Chamber Interconnect PCB Rev. P3 Working Spare
usedeqsales Used - $502.22 2 Feb/04/22 Feb/22/22
Description: Lambda Electronics LIS-3I-12 Power Supply PCB Card AMAT 1140-00079 Working Spare
jappartsgalore Used - $1.00 0 Feb/06/22 Feb/06/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
eddiesrecords Used - $1.00 0 Feb/06/22 Feb/06/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
bakclk1 Used - $1.00 0 Feb/07/22 Feb/07/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $352.22 0 Feb/09/22 Mar/16/23
Description: AMAT Applied Materials 0100-09127 Loader Interconnect PCB Rev. C P5000 Working
usedeqsales Used - $452.22 3 Feb/11/22 Feb/14/22
Description: Edwards D14641000 Vacuum Active Gauge AIM-S-NW25 AMAT 3310-90044 Working Surplus
usedeqsales Used - $202.22 0 Feb/11/22 Oct/12/23
Description: AMAT Applied Materials 0100-09020 Fuse PCB Rev. A Precision 5000 P5000 Working
agri-supplyukltd Used - $1.00 0 Feb/11/22 Feb/11/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
openanduseb4-labels Used - $1.00 0 Feb/12/22 Feb/12/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
stewart8768 Used - $1.00 0 Feb/12/22 Feb/12/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
sootysmtg Used - $1.00 0 Feb/13/22 Feb/13/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
techequipsales Used - $2,000.00 1 Feb/13/22 Mar/11/22
Description: Novellus 19-00128-00 27-152454-00 CPU PCB *used working
usedeqsales Used - $1,202.22 1 Feb/15/22 Feb/16/22
Description: AMAT Applied Materials 0100-35124 Seriplex I/O Distribution VME PCB Card Working
usedeqsales Used - $252.22 0 Feb/16/22 Sep/05/23
Description: AMAT Applied Materials 0100-09123 Wafer Sensor PCB P5000 Precision 5000 Working
usedeqsales Used - $6,301.22 1 Feb/16/22 Feb/17/22
Description: CTI-Cryogenics 0190-19391 On-Board IS-8F Cryopump AMAT Tested Working
usedeqsales Used - $6,501.22 1 Feb/16/22 Feb/17/22
Description: CTI-Cryogenics 8185040G001R Cryopump On-Board IS-8F 8186227G004 Tested Working
usedeqsales Used - $6,501.22 1 Feb/16/22 Feb/17/22
Description: CTI-Cryogenics 8185041G001R Cryopump On-Board IS-8F 8186227G004 Tested Working
jskautomotivenew Used - $1.00 0 Feb/17/22 Feb/17/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
one-for-all-jp Used - $721.76 0 Feb/18/22 Mar/09/22
Description: SEKIDENKO OR400M ADVANCED ENERGY Optical Pyrometer working
ledfirstchoiceltd Used - $1.00 0 Feb/19/22 Feb/20/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
classiccover Used - $1.00 0 Feb/19/22 Feb/20/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $3,501.55 0 Feb/23/22 Oct/20/23
Description: Navigator AE Advanced Energy 3155162-037 RF Match Novellus 27-368450-00 Working
militarymight Used - $1,999.99 0 Feb/24/22 Dec/14/22
Description: Nikon 4S064-631 IU-IOP2 Controller NSR Series Used Working
love_my_cottage Used - $1.00 0 Feb/25/22 Feb/26/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
fogmodels Used - $1.00 0 Feb/26/22 Feb/26/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
bmc.london Used - $1.00 0 Feb/26/22 Feb/26/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
jennyb7362 Used - $1.00 0 Feb/28/22 Feb/28/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
avonvalleymusic Used - $1.00 0 Mar/01/22 Mar/01/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $408.09 0 Mar/04/22 Jul/10/24
Description: Nikon 2S700-598 Processor PCB Card MCR 2S014-038-2 OPTISTATION 3 Working Surplus
pccentre-528 Used - $1.00 0 Mar/04/22 Mar/05/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
ethnicroots Used - $1.00 0 Mar/05/22 Mar/05/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $6,003.22 1 Mar/07/22 Mar/07/22
Description: CTI-Cryogenics 8185095G001R On-Board IS-8F Cryopump Tested Working Surplus
usedeqsales Used - $1,207.20 1 Mar/08/22 Jul/22/22
Description: CTI-Cryogenics P300 Cryopump Cryocooler Assembly On-Board Working Spare
usedeqsales Used - $4,103.22 0 Mar/09/22 Jun/01/23
Description: Apex 1513 AE Advanced Energy 660-900984R008 RF Generator 1500W Tested Working
one-for-all-jp Used - $721.76 0 Mar/09/22 Mar/29/22
Description: SEKIDENKO OR400M ADVANCED ENERGY Optical Pyrometer working
usedeqsales Used - $4,103.22 0 Mar/09/22 Sep/06/24
Description: Apex 1513 AE Advanced Energy 3156110-003 RF Generator 1500W Tested Working Spare
usedeqsales Used - $2,503.22 0 Mar/09/22 Jun/01/23
Description: Lam Research 02-335821-00 Heater Pedestal PED Assembly Copper Exposed Working
usedeqsales Used - $2,503.22 1 Mar/10/22 May/09/22
Description: Lam Research 02-287782-00 Heater Pedestal PED Assembly Working Surplus
usedeqsales Used - $1,753.22 1 Mar/10/22 May/13/22
Description: CTI-Cryogenics 8113008 On-Board FastRegen Control Sputtering Module Working
usedeqsales Used - $3,401.29 0 Mar/10/22 Aug/25/22
Description: Nikon 4S086-162 Analog I/O PCB Card SUAOI-1 4S019-243 SU-AOI NSR FX-601F Working
usedeqsales Used - $1,753.22 1 Mar/10/22 May/13/22
Description: CTI-Cryogenics On-Board FastRegen Control Sputtering Module Unmarked Working
media-xpert Used - $177.96 1 Mar/10/22 Oct/13/22
Description: Nikon 4S018-646 Case I/F Interface Board PCB Used Working
media-xpert Used - $489.50 0 Mar/10/22 Apr/10/22
Description: TDK MSE280E Power Supply PCB Card Nikon 4S001-102 NSR System Working
media-xpert Used - $2,000.00 0 Mar/10/22 Jun/27/24
Description: Nikon 4S587-172 Switch Box TC-SW NSR-S306C DUV Scanning System Used Working
media-xpert Used - $2,500.00 1 Mar/10/22 Sep/12/22
Description: Sumitomo ADE1 H Control Unit 4S587-598 M28557 Nikon NSR-S307E Used Working
toro.industrial.surplus Used - $1,050.00 1 Mar/10/22 Oct/24/22
Description: AMAT Applied Materials 0100-09054 Analog Input PCB Card Rev. H P5000 Working
usedeqsales Used - $5,003.22 0 Mar/11/22 Mar/30/23
Description: Nikon 4S019-244 ATM PCB Card PC02100A NSR FX-601F Step-and-Repeat Working Spare
emarts-uk Used - $1.00 0 Mar/12/22 Mar/12/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
mydepoz Used - $1.00 0 Mar/12/22 Mar/12/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
bmc.london Used - $1.00 0 Mar/13/22 Mar/13/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
giftwearonline Used - $1.00 0 Mar/13/22 Mar/13/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
thecollectorinlondon Used - $1.00 0 Mar/13/22 Mar/13/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $9,003.22 1 Mar/16/22 Mar/17/22
Description: CTI-Cryogenics 8128903G004 High Capacity Compressor AMAT Copper Exposed Working
usedeqsales Used - $4,001.61 1 Mar/16/22 Oct/14/22
Description: Nikon 4S089-634 BOOT-BSAX6-03 PCB Card Motorola 01-W3518F81E NSR FX-601F Working
usedeqsales Used - $4,001.61 1 Mar/16/22 Oct/14/22
Description: Nikon 4S015-467 BOOT-BSAX6-02 PCB Card Motorola 01-W3518F81B NSR FX-601F Working
usedeqsales Used - $3,852.25 0 Mar/17/22 Mar/23/22
Description: CTI-Cryogenics 8018236 Vacuum Cryopump Cryo-Torr 10 Brooks Working Surplus
usedeqsales Used - $4,202.25 3 Mar/17/22 Apr/08/22
Description: CTI-Cryogenics 8039274 Vacuum Cryopump Cryo-Torr 250F Brooks Working Surplus
usedeqsales Used - $3,152.25 2 Mar/17/22 Mar/24/22
Description: CTI-Cryogenics 8018332G001 Vacuum Cryopump Cryo-Torr CT10F Working Surplus
signedsport Used - $1.00 0 Mar/20/22 Mar/20/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
washablefabrics Used - $1.00 0 Mar/20/22 Mar/20/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
retrotex Used - $1.00 0 Mar/20/22 Mar/20/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
spsglobal Used - $100.00 0 Mar/21/22 Mar/12/23
Description: 129-0401// AMAT APPLIED 0100-11002 PWB DIGITAL I/O [NOT WORKING]
usedeqsales Used - $4,603.22 0 Mar/21/22 Apr/12/22
Description: Ovation 2760 AE Advanced Energy 0190-17779 RF 3150292-007 AMAT Tested Working
katiil3 Used - $1,199.00 0 Mar/21/22 Jul/28/22
Description: Nikon 4S018-693 FPIF-IMAC VME PCB Card Used working.
usedeqsales Used - $2,401.29 0 Mar/21/22 Aug/25/22
Description: Nikon 4S013-681 PCB Card SSIFX6B-4 SSIFX6B-4-SUB NSR FX-601F Working Surplus
katiil3 Used - $1,999.00 0 Mar/22/22 May/22/24
Description: Nikon 4S018-714-1 Interface PCB Card STIFMEMX4A 4S018-771 Used Working
usedeqsales Used - $1,751.61 0 Mar/25/22 Mar/16/23
Description: Nikon 4S019-748 PCB Card BU-AOI NSR FX-601F FPD Lithography System Working Spare
itrecyclenow Used - $400.00 0 Mar/26/22 May/17/22
Description: CTI-Cryogenics Regeneration Controller 8044060 Helix w/ working 6A fuse
itrecyclenow Used - $400.00 0 Mar/27/22 Mar/27/22
Description: Regeneration Controller 8044060 CTI-Cryogenics Helix w/ working 6A fuse
usedeqsales Used - $653.22 0 Mar/28/22 Apr/02/23
Description: AMAT Applied Materials 0226-10573 Signal Lamp Board PCB Working Surplus
usedeqsales Used - $2,102.25 0 Mar/28/22 Jun/30/22
Description: Nikon 4S050-702 PCB Card M-Power Board NSR FX-601F Lithography System Working
one-for-all-jp Used - $721.76 1 Mar/29/22 Apr/13/22
Description: SEKIDENKO OR400M ADVANCED ENERGY Optical Pyrometer working
usedeqsales Used - $653.22 1 Mar/31/22 Feb/01/23
Description: Elmo Motion Control G-BAS10/230FEHN1A3 Driver AMAT 1080-01495 Working Surplus
usedeqsales Used - $1,003.22 0 Mar/31/22 Jun/15/22
Description: Yaskawa Electric SDGV-R90FE1AY902AB Driver SERVOPACK AMAT 0190-62051 Working
usedeqsales Used - $2,503.22 0 Mar/31/22 Sep/03/24
Description: Control Concepts 2096-1002A SCR Power Controller AMAT 0190-43080 Working Surplus
usedeqsales Used - $2,003.22 0 Mar/31/22 Jun/30/22
Description: Nikon 4S019-621 ALGOP-BU-MTHR Backplane PCB 660-ALGOP-BU NSR FX-601F Working
usedeqsales Used - $1,403.22 1 Mar/31/22 Nov/04/22
Description: Copley Controls 800-1846 Dual Axis Servo Drive Xenus AMAT 1080-01388 Working
theswerve0 Used - $1.00 0 Apr/02/22 Apr/02/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $304.22 4 Apr/04/22 Nov/15/22
Description: Brooks Instrument GF125C-200039 MFC Mass Flow Controller AMAT 0190-64106 Working
usedeqsales Used - $1,004.22 0 Apr/04/22 Apr/02/23
Description: TeNTA AS00086-01 TCG Smoke Water Detection PCB SWLD-RTP AMAT 0190-06857 Working
usedeqsales Used - $1,504.22 0 Apr/04/22 Apr/02/23
Description: Lam Research 605-016005-001 CRT Video Bottom Board PCB 91196-005 Rev. C Working
dom0808 Used - $3,590.00 0 Apr/04/22 Apr/10/22
Description: Lam Research 839-019090-632 ESC Chuck 839-019090-632 Parts or not working
usedeqsales Used - $2,004.22 0 Apr/05/22 Apr/02/23
Description: AMAT Applied Materials 0040-09002 Etch Chamber Body and Base Set of 2 Working
usedeqsales Used - $3,504.22 0 Apr/05/22 Apr/02/23
Description: AMAT Applied Materials 0021-09835 MXP+ Poly Liner Working Surplus
usedeqsales Used - $2,004.22 1 Apr/05/22 Jun/26/23
Description: ATMI CUST-UNIQUE-2D-PHOS Ampule Bubbler CVD AMAT 0226-96764 P5000 Working
usedeqsales Used - $2,205.22 0 Apr/06/22 Jun/08/23
Description: AMAT Applied Materials 0020-22547 11.3" Adapter Wide Body Chamber Source Working
usedeqsales Used - $2,504.22 0 Apr/07/22 Apr/02/23
Description: AMAT Applied Materials 0010-10778 5000 CVD DXZ/EXZ Throttle Valve P5000 Working
usedeqsales Used - $1,504.22 2 Apr/07/22 Apr/13/22
Description: Lam Research 853-540253-003 Endpoint Detector 703nm 261.8nm Working Surplus
usedeqsales Used - $2,504.22 1 Apr/07/22 Jul/19/22
Description: AMAT Applied Materials 0040-44822 Producer Lift Assembly 0040-44820 Working
usedeqsales Used - $1,604.22 1 Apr/08/22 Apr/08/22
Description: CTI-Cryogenics 8113234G001R CPC Module Brooks Automation Working Surplus
usedeqsales Used - $3,004.22 1 Apr/08/22 Apr/12/22
Description: Teledyne Instruments 033590400 Ozone Sensor M452 AMAT 0190-34193 Cleaned Working
usedeqsales Used - $2,004.22 1 Apr/12/22 Apr/16/22
Description: AMAT Applied Materials 0010-76004 150mm Wafer Blade 6" Working Surplus
usedeqsales Used - $6,004.22 0 Apr/13/22 Apr/02/23
Description: Nikon 4S019-611 PCB Card AFX6BS1 NSR FX-601F Lithography System Working Surplus
fa-parts Used - $6,000.00 1 Apr/17/22 Nov/16/22
Description: AE ADVANCED ENERGY PINNACLE 3152420-116 C, DC POWER SUPPLY TESTED WORKING
usedeqsales Used - $604.22 0 Apr/19/22 Apr/02/23
Description: Horiba STEC LF-F40M-A-EVD Liquid Flow Control AMAT 3030-16939 Cleaned Working
usedeqsales Used - $404.22 1 Apr/19/22 May/19/23
Description: MKS Instruments AS00124-04 MicroNode AMAT Applied Materials 0190-25870 Working
usedeqsales Used - $2,252.11 0 Apr/19/22 Mar/16/23
Description: Nikon 4S018-762 Driver PCB IU-DRV1 NSR FX-601F FPD Lithography System Working
usedeqsales Used - $3,504.22 0 Apr/20/22 Jun/15/23
Description: Nikon 4S025-049 PCB Card NAIO-X6C E101394M000 E101394A000 NSR FX-601F Working
usedeqsales Used - $504.22 0 Apr/22/22 Apr/02/23
Description: AMAT Applied Materials 0226-31379 TEOS Gas Interface PCB 0100-09107 Working
usedeqsales Used - $2,504.22 1 Apr/22/22 Jun/23/22
Description: Synergy SV21 SBC Single Board Computer VME PCB Card STD AMAT 0190-09379 Working
usedeqsales Used - $354.22 0 Apr/26/22 Nov/08/22
Description: AE Advanced Energy Pinnacle AC Cable AMAT Applied Materials 0150-42805 Working
usedeqsales Used - $1,504.22 0 Apr/26/22 Jun/01/23
Description: MEI T001-0029 Motion Controller PCB Card XMP-CPCI-3U AMAT 0190-24445 Working
usedeqsales Used - $804.22 0 Apr/26/22 Feb/02/24
Description: MKS Instruments AS01391-21 DeviceNet CDN391R PCB Card AMAT 0190-24115 Working
usedeqsales Used - $604.22 1 Apr/26/22 Jul/19/22
Description: SST Woodhead DNP-CPCI-3U-4 DeviceNet Scanner PCB Card AMAT 0190-16928 Working
usedeqsales Used - $604.22 0 Apr/26/22 Aug/27/24
Description: SST Woodhead DNP-CPCI-3U-4 DeviceNet Scanner PCB Card AMAT 0190-16927 Working
usedeqsales Used - $504.22 0 Apr/26/22 Feb/23/23
Description: AMAT Applied Materials 0190-05647 Serial Module PCB Card Working Surplus
usedeqsales Used - $2,004.22 2 Apr/27/22 Jul/06/22
Description: Nor-Cal Products 021010-1 Throttle Control Gate Valve AMAT 3870-03322 Working
usedeqsales Used - $1,204.22 0 Apr/27/22 May/03/22
Description: AMAT Applied Materials 0190-60306 Remote DeviceNet Scanner SST-EDN-1-V2 Working
usedeqsales Used - $404.22 0 Apr/27/22 Jun/30/22
Description: CompactPCI 446-1001889 Backplane PCB 217-1001888 AMAT 0190-07911 Working Surplus
usedeqsales Used - $2,004.22 1 Apr/28/22 Apr/11/23
Description: Nor-Cal 3870-04672 IQ Series Throttling Butterfly Valve Intellisys AMAT Working
usedeqsales Used - $7,508.21 0 Apr/28/22 May/16/22
Description: Novellus 15-045913-00 300mm Electrostatic Chuck ESC Concept 3 C3 Working Spare
usedeqsales Used - $2,504.22 0 Apr/29/22 Oct/12/22
Description: Control Concepts 2096-1002 SCR Power Controller AMAT 0190-16825 Working Surplus
usedeqsales Used - $604.22 0 Apr/29/22 May/02/22
Description: Sayna Denki PV2A015SMT1PA0-C1 Pedestal Lift Servo Driver AMAT 0190-25422 Working
usedeqsales Used - $604.22 1 Apr/29/22 Dec/06/22
Description: Sayna Denki PMDPA1C3P20 Servo Driver AMAT Applied Materials 1080-01276 Working
usedeqsales Used - $1,004.22 1 Apr/29/22 Jun/07/22
Description: Horiba STEC SEC-4400M Mass Flow Controller MFC Lot of 6 AMAT 3030-04345 Working
usedeqsales Used - $1,004.22 1 Apr/29/22 May/11/22
Description: UNIT Instruments UFC-8161 Mass Flow Controller Lot of 6 AMAT 3030-00085 Working
usedeqsales Used - $604.22 1 Apr/29/22 Jul/22/22
Description: Sayna Denki PV2A015SMT1P50-01 Pedestal Lift Servo Driver AMAT 0190-12138 Working
usedeqsales Used - $1,005.22 1 May/02/22 Nov/30/22
Description: UNIT Instruments UFC-8160 Mass Flow Controller Lot of 6 AMAT 3030-06030 Working
dgold32 Used - $299.99 1 May/02/22 May/03/22
Description: Lam Research A-4 Distribution Module, 853-287967-001 Working
usedeqsales Used - $5,505.22 1 May/03/22 May/03/22
Description: AMAT Applied Materials 0090-06913 Producer Control PCB Module 0090-02681 Working
usedeqsales Used - $1,823.65 0 May/03/22 Sep/16/22
Description: Lam Research 852-014681-005 RF Generator Cart ENI OEM-650A 4420 Tested Working
usedeqsales Used - $505.22 1 May/05/22 Jun/29/22
Description: UNIT UFC-1660 Mass Flow Controller MFC Reseller Lot of 3 AMAT 3030-04968 Working
usedeqsales Used - $1,005.22 1 May/06/22 May/19/22
Description: Brooks GF125CXXC Mass Flow Controller MFC Lot of 6 AMAT 0010-42845 Working Spare
usedeqsales Used - $1,005.22 0 May/06/22 Aug/25/22
Description: Celerity IFC-125C Mass Flow Controller UNIT MFC Lot of 6 AMAT 0190-19320 Working
usedeqsales Used - $1,005.22 1 May/06/22 Oct/30/22
Description: AMAT Applied Materials 0050-91103 Multi-Port Manifold 300mm Producer Working
usedeqsales Used - $505.22 1 May/09/22 Mar/30/23
Description: Lam Research 852-014681-583 RF Generator Cart Power Panel Working Surplus
usedeqsales Used - $2,005.22 0 May/12/22 May/24/22
Description: AMAT Applied Materials 0010-09181 DC Power Supply Precision 5000 P5000 Working
usedeqsales Used - $255.22 0 May/12/22 Sep/16/22
Description: MKS Instruments 1159B-00020RV-SPCAL Mass Flow Controller AMAT 3030-01172 Working
usedeqsales Used - $7,508.21 1 May/13/22 May/20/22
Description: Novellus 15-045913-00 300mm Electrostatic Chuck ESC Concept 3 C3 Working
usedeqsales Used - $10,008.20 1 May/13/22 May/17/22
Description: Novellus 15-045913-00 300mm Electrostatic Chuck ESC Concept 3 C3 Cleaned Working
usedeqsales Used - $4,105.22 2 May/13/22 May/08/24
Description: Apex 1513 AE Advanced Energy 0920-00127 RF Generator 3156111-207 Tested Working
usedeqsales Used - $2,873.65 0 May/13/22 Sep/06/22
Description: Apex 1513 AE Advanced Energy 0920-00120 RF Generator 3156111-007 Tested Working
usedeqsales Used - $5,002.61 1 May/13/22 Dec/05/22
Description: AMAT Applied Materials 0190-82155 RPS Remote Plasma Source Tested Working
usedeqsales Used - $605.22 0 May/16/22 Dec/18/23
Description: Nor-Cal Products 3870-01331 Pneumatic Angle Valve 300mm AMAT Producer Working
usedeqsales Used - $1,505.22 1 May/16/22 May/31/23
Description: InUSA 820-1104-01 NDIR OPTI-Sense 5000 AMAT 0190-08344 Producer Working Surplus
usedeqsales Used - $2,503.21 1 May/16/22 Apr/20/23
Description: CTI-Cryogenics 8116136G001 Waterpump On-Board Tested Working
usedeqsales Used - $4,005.22 0 May/17/22 Jun/20/24
Description: IPUP T100L Toyota 0190-30906 Dry Pump AMAT Applied Materials Tested Working
usedeqsales Used - $1,505.22 1 May/17/22 Aug/02/22
Description: Brad Communications SST-EDN-1 DeviceNet Scanner AMAT 0190-29030 Producer Working
usedeqsales Used - $705.22 1 May/17/22 Jun/29/22
Description: Yaskawa SGDH-01AE-N3Y900 Servo Driver AMAT Applied Materials 1080-00126 Working
itrecyclenow Used - $400.00 0 May/17/22 Aug/14/22
Description: CTI-Cryogenics Regeneration Controller 8044060 Helix w/ working 6A fuse
usedeqsales Used - $355.22 0 May/18/22 Apr/13/23
Description: Mesa Power Systems 10651 100 Watt UPC PCB Card AMAT 0190-08875 Working Surplus
usedeqsales Used - $705.22 0 May/18/22 Aug/25/22
Description: AMAT Applied Materials 0120-01139 PSD Board PCB Card Z SENSOR 0110-01139 Working
usedeqsales Used - $605.22 0 May/20/22 Nov/08/22
Description: Sanyo Denki P50B07040HCS00M Servomotor BL Super P5 AMAT 0090-00417 Working Spare
usedeqsales Used - $505.22 0 May/20/22 Aug/25/22
Description: Yaskawa SGDF-A2CPY503 Driver AMAT Applied Materials 0190-14220 Producer Working
usedeqsales Used - $1,605.22 0 May/20/22 Aug/25/22
Description: AMAT Applied Materials 0090-08397 Temperature Unit 0100-03389 Producer Working
usedeqsales Used - $605.22 1 May/20/22 Jul/13/22
Description: Copley Controls 800-1514 Driver AMAT Applied Materials 0190-26057 Working Spare
usedeqsales Used - $555.22 1 May/20/22 Jul/02/22
Description: MKS Instruments 100018482 Angle Valve AMAT Applied Materials 3870-06948 Working
usedeqsales Used - $1,205.22 0 May/25/22 Apr/02/23
Description: XP Power 101261-01 Power Supply AMAT Applied Materials 0015-02149 Working Spare
usedeqsales Used - $705.22 0 May/25/22 Aug/31/23
Description: AMAT Applied Materials 0100-02745 INTLK Gas Heater Control PCB Producer Working
japan-peekaboo Used - $749.98 0 May/26/22 Nov/26/22
Description: SEKIDENKO OR400M ADVANCED ENERGY Optical Pyrometer working
usedeqsales Used - $706.22 1 Jun/03/22 Jun/12/23
Description: AMAT Applied Materials 0090-06578 2/13 MHz Inner RF Filter 0041-39198 Working
usedeqsales Used - $806.22 8 Jun/07/22 May/17/23
Description: MKS Instruments AS01391-22 DeviceNet CDN391R PCB Card AMAT 0190-26786 Working
usedeqsales Used - $7,506.22 1 Jun/10/22 Jun/11/22
Description: CTI-Cryogenics 0190-25016 On-Board IS-8F Cryopump AMAT Working Surplus
sherwoodforestfinearts Used - $18.96 0 Jun/12/22 Jun/12/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
mogoraug39 Used - $18.96 0 Jun/12/22 Jun/13/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
ladydidog Used - $18.96 0 Jun/13/22 Jun/14/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $806.22 0 Jun/14/22 Jul/16/24
Description: MKS Instruments AS01491-AB-1 PCB Card CDN491R AMAT 0190-27072 Producer Working
usedeqsales Used - $1,506.22 1 Jun/15/22 Aug/24/22
Description: AMAT Applied Materials 0100-01363 Signal Conditioning PCB Card Producer Working
usedeqsales Used - $806.22 2 Jun/15/22 Apr/18/23
Description: MKS Instruments AS01496-AB-2 PCB Card CDN496R AMAT 0190-30079 Producer Working
michelleshellmark Used - $18.96 0 Jun/21/22 Jun/21/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $1,206.22 0 Jun/22/22 Apr/02/23
Description: Nikon 4S003-068 Power Supply PCB Assembly X6WXFLT NSR Series Working Surplus
usedeqsales Used - $1,506.22 0 Jun/22/22 Jun/30/22
Description: AMAT Applied Materials Calibration Tools Lot of 11 0270-20083 0270-20087 Working
usedeqsales Used - $3,006.22 0 Jun/23/22 Jul/18/24
Description: Tazmo NSR18 200mm Robot Controller Nikon 4S064-548 NSR-S306C DUV Working Surplus
usedeqsales Used - $1,506.22 0 Jun/23/22 May/31/23
Description: AMAT Applied Materials 0090-90967 Power Supply PCB Card daq MkII type H Working
usedeqsales Used - $1,506.22 0 Jun/23/22 Mar/18/24
Description: AMAT Applied Materials 0090-90966 Power Supply PCB Card daq MkII type B Working
j316gallery Used - $999.00 0 Jun/23/22 Jun/23/22
Description: FOR TEST APPLIED MATERIALS ASSY ELEAL SYNERGY V452 SBC W (WORKING) 0090-03467
j316gallery Used - $999.00 1 Jun/23/22 Jun/24/22
Description: FOR TEST APPLIED MATERIALS ASSY ELE SYNERGY V452 SBC W (WORKING) 0090-03467 AMAT
usedeqsales Used - $1,506.22 0 Jun/24/22 May/31/23
Description: AMAT Applied Materials 0090-90969 Power Supply PCB Card daq MkII type H Working
usedeqsales Used - $1,006.22 1 Jun/24/22 Sep/06/22
Description: AMAT Applied Materials 0100-00361 H.V./A MAG Motherboard PCB 0120-93371 Working
trendysavers-uk Used - $18.96 0 Jun/24/22 Jun/25/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $2,506.22 0 Jun/27/22 Apr/02/23
Description: Control Concepts 3096-1007 SCR Power Controller AMAT 0190-14927 Working Surplus
usedeqsales Used - $6,506.22 0 Jun/28/22 Aug/10/23
Description: Sony 1-681-861-12 Laserscale PCB DPR-LS23 Nikon 4S019-117 Rev B Copper Working
usedeqsales Used - $2,506.22 0 Jun/29/22 Mar/31/23
Description: Nikon 4S015-119 Processor PCB Card NK386SX4 Copper Exposed NSR Series Working
usedeqsales Used - $2,508.18 0 Jun/30/22 Jul/22/24
Description: Nikon 4S013-500 Processor Interface Board PCB BLIFX4B1 Used Working
usedeqsales Used - $2,103.61 0 Jul/08/22 Nov/03/22
Description: MDX Pinnacle AE Advanced Energy 3152411-005 1.5kW RF Generator Tested Working
usedeqsales Used - $3,253.61 3 Jul/08/22 Oct/28/22
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
usedeqsales Used - $2,945.05 0 Jul/11/22 Jul/20/22
Description: RF Apex 3013 AE Advanced Energy A3D3L000CA140A121A RF Generator Tested Working
usedeqsales Used - $3,255.98 1 Jul/13/22 Nov/16/23
Description: Apex 10kW/13 AE Advanced Energy A3H2C200DA130E112D RF Generator Tested Working
usedeqsales Used - $1,007.22 1 Jul/13/22 Jul/09/24
Description: AMAT Applied Materials 0100-00991 Gap Servo PCB Card 0120-93017 Working Surplus
usedeqsales Used - $2,945.05 1 Jul/14/22 Aug/19/22
Description: RF Apex 3013 AE Advanced Energy A3D5L000BA140A121A RF Generator Tested Working
usedeqsales Used - $1,507.22 0 Jul/18/22 Oct/26/22
Description: CTI-Cryogenics 252112 Cryopump CCM Module 8184021 HXL 8084021G001 Working Spare
usedeqsales Used - $607.22 0 Jul/19/22 Sep/05/24
Description: Sanyo Denki P50B07040HCS00MA06 Servomotor BL Super P5 AMAT 0040-02841 Working
usedeqsales Used - $607.22 0 Jul/20/22 Sep/21/22
Description: MKS Instruments 1350-00255 Baratron Capacitance Manometer AMAT Working Surplus
usedeqsales Used - $757.22 0 Jul/20/22 Sep/05/24
Description: Panasonic MQDB012AAD AC Servo Driver AMAT 0190-15550 Working Surplus
usedeqsales Used - $7,506.22 1 Jul/25/22 Jul/28/22
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
usedeqsales Used - $907.22 0 Jul/25/22 Apr/29/24
Description: Copley Controls 800-1773A Accelnet AMAT Applied Materials 0190-43437 Working
usedeqsales Used - $907.22 0 Jul/25/22 Apr/29/24
Description: Copley Controls 800-1774A Accelnet AMAT Applied Materials 0190-43438 Working
usedeqsales Used - $2,007.22 0 Jul/27/22 Apr/26/24
Description: MKS Instruments AS000859G-01 Endura CVD Interlock PCB AMAT 0100-02152 Working
usedeqsales Used - $2,507.22 0 Jul/29/22 Feb/13/23
Description: AMAT Applied Materials 0190-09796 LCF Sensor Interface PCB Module Working
usedeqsales Used - $1,507.20 0 Aug/01/22 Oct/31/22
Description: CTI-Cryogenics 3 Output On-Board Frequency Converter Working Surplus
usedeqsales Used - $1,208.22 0 Aug/02/22 Apr/02/23
Description: XP Power 100948-03 Power Supply AMAT Applied Materials 0015-16537 Working Spare
time-4-dreamz Used - $18.96 0 Aug/02/22 Aug/03/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $2,008.22 1 Aug/04/22 Aug/05/22
Description: Nor-Cal Products 021010-1 Throttle Control Gate Valve AMAT 3870-03322 Working
usedeqsales Used - $2,008.22 0 Aug/08/22 Nov/08/22
Description: AMAT Applied Materials 0190-02032 300mm RF Cable 75 Foot Working Surplus
southweststeam1 Used - $18.96 0 Aug/08/22 Aug/08/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $608.22 0 Aug/09/22 Aug/15/22
Description: Sayno Denki PV2A015SMT1PA0-C1 Pedestal Lift Servo Driver AMAT 0190-25422 Working
usedeqsales Used - $608.22 0 Aug/09/22 Aug/17/22
Description: Panasonic MQDB012AAD02 AC Servo Wafer Lift Driver AMAT 0190-15328 Working Spare
railhome Used - $18.96 0 Aug/12/22 Aug/12/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
railhome Used - $14.95 0 Aug/12/22 Aug/12/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $1,008.22 0 Aug/12/22 Nov/24/23
Description: AMAT Applied Materials 0100-02393 RF Filter Ceramic Heater PCB Working Surplus
top_formalwear-accessories Used - $18.96 0 Aug/13/22 Aug/13/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
hawkg2 Used - $18.96 0 Aug/14/22 Aug/14/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
itrecyclenow Used - $360.00 0 Aug/14/22 Nov/28/22
Description: CTI-Cryogenics Regeneration Controller 8044060 Helix w/ working 6A fuse AS-IS
usedeqsales Used - $1,754.11 1 Aug/16/22 Mar/24/23
Description: Motech 0090-91598 Voltage Clamp Unit CD52/A AMAT Applied Materials XR80 Working
usedeqsales Used - $3,008.22 0 Aug/16/22 Aug/18/22
Description: Nor-Cal 0190-19133 Chamber Throttling Pendulum Gate Valve AMAT Working Surplus
usedeqsales Used - $608.22 2 Aug/17/22 Aug/18/22
Description: Sanyo Denki P50B07040HCS00M Servomotor BL Super P5 AMAT 0090-02841 Working Spare
usedeqsales Used - $3,008.22 2 Aug/18/22 Jun/30/23
Description: Nor-Cal 0190-19133 Chamber Throttling Pendulum Gate Valve AMAT Working Surplus
usedeqsales Used - $1,108.22 0 Aug/19/22 Aug/22/24
Description: Tec Izu Electronics VDBC0002202 Power Supply Card Nikon 4S001-108 NSR Working
tfstech Used - $68.00 1 Aug/22/22 Oct/31/22
Description: CTI Cryogenics 8044051 Purge Gas Heater 90-120 Vac 90 W 165 Ohm Working 1/4 Tube
tfstech Used - $199.00 0 Aug/22/22 Nov/17/22
Description: CTI Cryogenics Temperature Monitor Scientific Instruments 9300 8-Channel Working
usedeqsales Used - $1,508.22 0 Aug/22/22 Aug/25/22
Description: Nikon 2S701-426 Interface Connector PCB STGB-1 2S007-241-1 NRM-3100 Working
usedeqsales Used - $2,008.22 0 Aug/30/22 May/18/23
Description: Nikon 4S019-844 WL-P1AD Board PCB Nikon NSR Series Working Surplus
usedeqsales Used - $608.22 0 Aug/30/22 May/14/24
Description: SST Woodhead DNP-CPCI-3U-4 DeviceNet Scanner PCB Card AMAT 0190-16928 Working
usedeqsales Used - $3,008.22 0 Aug/30/22 Apr/02/23
Description: Nikon 4S013-602 PRE-EL Control Module Board PCB Nikon NSR Series Working Surplus
0115haywood8 Used - $14.55 0 Aug/31/22 Aug/31/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $1,754.61 0 Sep/01/22 Mar/25/23
Description: Nikon 2S013-210-1 Controller VME PCB Card CAMCA 2S013-211 NRM-3100 Working Spare
usedeqsales Used - $709.22 0 Sep/08/22 May/14/24
Description: SBS Technologies 85553585-002 CompactPCI PCB Card AMAT 0190-23312 Working Spare
usedeqsales Used - $3,809.22 0 Sep/08/22 Apr/02/23
Description: ADTEC AXR-2000III 2000W RF Plasma Generator Novellus 27-360919-00 Tested Working
ordipackdepannage Used - $18.96 0 Sep/08/22 Sep/09/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
ebon625 Used - $18.96 0 Sep/10/22 Sep/10/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
carolyns_cosmetics Used - $3,717.96 0 Sep/12/22 Sep/12/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $1,109.22 4 Sep/13/22 Jan/06/24
Description: AMAT Applied Materials 0190-07371 HN(M) TO HN(M) R/A RF Cable Surplus Working
usedeqsales Used - $2,508.22 1 Sep/13/22 Oct/12/22
Description: AMAT Applied Materials 0010-00548 CVD RF Match Precision 5000 P5000 Working
usedeqsales Used - $3,009.22 0 Sep/13/22 Sep/16/22
Description: AMAT Applied Materials 0010-09490 RF Match Precision 5000 Mark II P5000 Working
zavattishop Used - $18.96 0 Sep/13/22 Sep/13/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
riccardinocatania Used - $18.96 0 Sep/13/22 Sep/13/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $509.22 0 Sep/15/22 Nov/15/22
Description: AMAT Applied Materials 0100-90773 Wafer Arm Servo PCB Card Working Surplus
usedeqsales Used - $509.22 0 Sep/15/22 May/31/23
Description: AMAT Applied Materials 9090-00093 PCB Card daq MKII type H Working Surplus
usedeqsales Used - $809.22 0 Sep/15/22 Apr/02/23
Description: Nikon 4S019-845 Interface PCB WL_WTBAD NSR Series System Working Surplus
usedeqsales Used - $409.22 2 Sep/15/22 May/31/24
Description: AMAT Applied Materials 0100-90944 Wafer Arm Gripper Interface PCB Card Working
usedeqsales Used - $609.22 0 Sep/15/22 Jul/27/23
Description: AMAT Applied Materials 0100-90790 Arm Position Sensor PCB Card Working Surplus
usedeqsales Used - $2,509.22 0 Sep/19/22 Jun/18/24
Description: Control Concepts 3096-1007A SCR Power Controller AMAT 0190-43079 Working Surplus
usedeqsales Used - $2,009.22 1 Sep/20/22 Oct/19/22
Description: Deltron V300B Quad Power Supply Lam Research 853-017230-001 4420 Working
usedeqsales Used - $2,509.22 0 Sep/20/22 Oct/26/22
Description: Control Concepts 3096-2002 SCR Power Controller AMAT 0190-14928 Working Surplus
usedeqsales Used - $1,754.61 1 Sep/21/22 Mar/24/23
Description: AMAT Applied Materials 0010-09035 CVD BWCVD 100 125 150mm Throttle Valve Working
usedeqsales Used - $1,009.22 1 Sep/22/22 Sep/22/22
Description: AMAT Applied Materials 0100-35057 Gas Panel Distribution PCB Working Surplus
goldenagecycles Used - $18.96 0 Sep/25/22 Sep/25/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
cbnumismatique Used - $18.96 0 Sep/25/22 Sep/25/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
riccardinocatania Used - $18.96 0 Sep/27/22 Sep/27/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $4,004.61 1 Sep/28/22 Oct/19/22
Description: RF Paramount 3013 AE Advanced Energy 3156330-150 RF Generator Tested Working
usedeqsales Used - $1,809.22 1 Sep/29/22 Mar/13/23
Description: AMAT Applied Materials 0010-02513 300mm HDPCVD MKS Mass Flow MFC Panel Working
usedeqsales Used - $2,809.22 0 Sep/29/22 May/10/23
Description: AMAT Applied Materials 0020-34587 DXZ View Lid Plate Assembly Working Surplus
usedeqsales Used - $2,509.22 0 Sep/30/22 Jun/05/24
Description: AMAT Applied Materials 0100-20003 Digital I/O PCB Card Rev. G P5000 Working
usedeqsales Used - $1,509.22 2 Sep/30/22 Jan/17/23
Description: Yaskawa Electric SGMCS-14C3C-YA12 AC Servo Motor AMAT 0010-54184 Working Surplus
giftwareireland Used - $1.00 0 Oct/01/22 Oct/02/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $1,510.22 0 Oct/04/22 Oct/26/22
Description: Control Concepts 3096-1000 SCR Power Controller AMAT 0190-14926 Working Surplus
usedeqsales Used - $1,210.22 1 Oct/04/22 Apr/19/24
Description: Novellus Systems 15-260779-00 200mm Electrostatic Chuck ESC Pad Working Surplus
desahogostore Used - $18.96 0 Oct/04/22 Oct/04/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
horner_shearing Used - $18.96 0 Oct/04/22 Oct/04/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $1,510.22 1 Oct/05/22 Dec/01/22
Description: MKS Instruments AS01496-AB-4 PCB Card CDN496R AMAT 0190-34055 Lot of 4 Working
dreams-and-jeans Used - $1.00 0 Oct/09/22 Oct/09/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
buyhitek Used - $1,999.99 0 Oct/10/22 Jun/29/23
Description: CTI Cryogenics 1020R Air-Cooled Compressor WORKING
buyhitek Used - $6,500.00 1 Oct/10/22 May/10/23
Description: CTI Cryogenics Cryo-Torr 10 High Vacuum Pump WORKING
goldenagecycles Used - $18.96 0 Oct/10/22 Oct/10/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $1,510.22 2 Oct/11/22 Oct/12/22
Description: AMAT Applied Material 0010-09928 ESC Controller Box Powerspec P5000 Working
usedeqsales Used - $2,810.22 0 Oct/11/22 Feb/22/23
Description: AMAT Applied Materials 0010-04514 Full Scan INT ISRM Laser Module Working Spare
usedeqsales Used - $2,510.22 1 Oct/11/22 Mar/13/23
Description: AMAT Applied Materials 0020-34031 MXP+ Shortened Support Pedestal Working Spare
usedeqsales Used - $3,010.22 0 Oct/11/22 Jul/05/23
Description: AMAT Applied Materials 0010-76019 200mm Cassette Handler Assembly P5000 Working
pyreneescollections Used - $22.20 0 Oct/11/22 Oct/11/22
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
pyreneescollections Used - $11.47 0 Oct/11/22 Oct/11/22
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
usedeqsales Used - $3,810.22 1 Oct/12/22 Sep/11/23
Description: AMAT Applied Materials 0020-32163 200mm ESC Pedestal 0020-32161 Working Surplus
usedeqsales Used - $1,510.22 1 Oct/13/22 Jan/19/23
Description: AMAT Applied Material 0010-09928 ESC Controller Box 0100-09212 P5000 Working
usedeqsales Used - $1,127.56 4 Oct/17/22 Jan/21/23
Description: Malema MFC-8000-T2104-052-P-001 Flow Controller AMAT 0190-14383 Working Surplus
usedeqsales Used - $810.22 0 Oct/18/22 Jun/10/24
Description: Vicor MP3-74528 Power Supply MegaPAC 10-130138-01 AMAT 1140-00384 Working Spare
techequipsales Used - $2,300.00 1 Oct/18/22 Oct/18/22
Description: AE Advanced Energy MDX-500 3152261-012 A DC Power Supply *used test working
usedeqsales Used - $760.22 0 Oct/19/22 Nov/08/22
Description: Panasonic MQDB012AAD02 AC Servo Motor Drive AMAT 0190-15328 Working Surplus
usedeqsales Used - $610.22 0 Oct/20/22 Nov/08/22
Description: Sayno Denki PV2A015SMT1PA0-C1 Pedestal Lift Servo Driver AMAT 0190-25422 Working
ezsurplus15 Used - $1,850.00 1 Oct/21/22 Feb/23/23
Description: Advanced Energy MDX Magnetron Drive 3152011-073A - Tested & Working
ezsurplus15 Used - $1,850.00 1 Oct/21/22 Feb/23/23
Description: Advanced Energy MDX Magnetron Drive 2012-003-A - Tested & Working
ezsurplus15 Used - $1,850.00 1 Oct/21/22 Feb/23/23
Description: Advanced Energy MDX Magnetron Drive 2012-036-K - Tested & Working
filateliadesimoni Used - $22.20 0 Oct/22/22 Oct/22/22
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
filateliadesimoni Used - $11.47 0 Oct/22/22 Oct/22/22
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
recortitos Used - $2.33 0 Oct/23/22 Oct/24/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
recortitos Used - $22.20 0 Oct/24/22 Oct/24/22
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
recortitos Used - $11.47 0 Oct/24/22 Oct/24/22
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
usedeqsales Used - $1,110.22 1 Oct/25/22 Feb/22/23
Description: AMAT Applied Materials 0100-01349 PCB 300mm TCG CONTROLLER DISTRIBUTION Working
usedeqsales Used - $17,510.20 0 Oct/26/22 Sep/14/23
Description: AMAT Applied Materials Quantum X Wafer Robot 0020-75028 0020-60583 Working
usedeqsales Used - $2,510.22 1 Oct/27/22 May/29/24
Description: Control Concepts 3096-1014A SCR Power Controller AMAT 0190-15904 Working Surplus
c23min Used - $1,490.00 1 Oct/28/22 Nov/07/22
Description: Advanced Energy MDX-1K, DC Power Supply 3152105-006 G (Tested and working)
napoleon155 Used - $22.20 0 Oct/29/22 Oct/29/22
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
napoleon155 Used - $11.47 0 Oct/29/22 Oct/29/22
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
napoleon155 Used - $2.33 0 Oct/29/22 Oct/29/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
usedeqsales Used - $1,255.61 1 Nov/01/22 Dec/06/22
Description: Lam Research 853-025054-001 ESC Power Supply Module 810-17086-002 Working
usedeqsales Used - $1,133.41 1 Nov/08/22 Dec/05/23
Description: AMAT Applied Materials 0150-01411 2MHz Coaxial RF Cable Endura Chamber Working
usedeqsales Used - $2,503.22 0 Nov/08/22 Apr/26/24
Description: AMAT Applied Materials 0190-02032 300mm RF Cable 75 Foot Working Surplus
usedeqsales Used - $611.22 3 Nov/08/22 Dec/20/23
Description: Delta Tau 603603-106 Main cPCI 96 I/O PCB Card AMAT 0190-15384 Working Surplus
usedeqsales Used - $511.22 1 Nov/08/22 Dec/07/22
Description: Mesa Power Systems 10686 Power Supply PCB Card AMAT 0190-16526 Working Surplus
20041014625pm Used - $995.00 0 Nov/15/22 Dec/07/22
Description: Lam Research SERIAL I/O-2 PCB 810-017038-002 WORKING
usedeqsales Used - $1,011.22 0 Nov/16/22 Mar/18/24
Description: AMAT Applied Materials 0100-00689 Power Module PCB Card Working Surplus
tfstech Used - $179.00 0 Nov/17/22 Feb/09/23
Description: CTI Cryogenics Temperature Monitor Scientific Instruments 9300 8-Channel Working
europlantsvivai Used - $2.20 0 Nov/19/22 Nov/19/22
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
europlantsvivai Used - $2.15 0 Nov/19/22 Nov/19/22
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
europlantsvivai Used - $1.00 0 Nov/19/22 Nov/19/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
spsglobal Used - $2,500.00 0 Nov/24/22 Aug/16/23
Description: 017-0101 ADVANCED ENERGY 3156113-006 A APEX 3013 GENERATOR [NOT WORKING]
kollexion Used - $1.00 0 Nov/28/22 Nov/28/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
itrecyclenow Used - $360.00 0 Nov/28/22 Feb/15/23
Description: CTI-Cryogenics Regeneration Controller 8044060 Helix w/ working 6A fuse AS-IS
voltapaginanet Used - $5.37 0 Nov/29/22 Nov/30/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
voltapaginanet Used - $9.20 0 Nov/29/22 Nov/30/22
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
voltapaginanet Used - $2.15 0 Nov/29/22 Nov/30/22
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
usedeqsales Used - $711.22 1 Nov/30/22 Jun/07/23
Description: McLean Engineering UES17H115S29 Fan Tray AMAT 0190-70066 P5000 Working Surplus
usedeqsales Used - $2,011.22 0 Dec/01/22 Mar/16/23
Description: Watlow CLS208 Anafaze Temperature Controller Assembly AMAT 0010-17447 Working
usedeqsales Used - $5,512.22 0 Dec/05/22 Jun/09/23
Description: AMAT Applied Materials 0190-01769 300mm RF Cable 75 Foot Working Surplus
usedeqsales Used - $2,509.22 0 Dec/05/22 Mar/18/24
Description: AMAT Applied Materials 0190-01952 300mm RF Cable 75 Foot Working Surplus
079gabriel2005 Used - $2.33 0 Dec/05/22 Dec/05/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
079gabriel2005 Used - $22.20 0 Dec/05/22 Dec/05/22
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
079gabriel2005 Used - $11.47 0 Dec/05/22 Dec/05/22
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
20041014625pm Used - $895.00 0 Dec/07/22 Feb/14/23
Description: Lam Research SERIAL I/O-2 PCB 810-017038-002 WORKING
fma16000 Used - $22.20 0 Dec/07/22 Dec/07/22
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
fma16000 Used - $11.47 0 Dec/08/22 Dec/08/22
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
usedeqsales Used - $662.22 1 Dec/08/22 Jun/26/23
Description: AMAT Applied Materials 0100-37904 RF Match Control PCB Working Surplus
usedeqsales Used - $1,212.22 0 Dec/09/22 Apr/13/23
Description: XP Power 100951 Power Supply AMAT Applied Materials 0190-32141 Working Surplus
chenggongsp Used - $7,999.00 0 Dec/10/22 Feb/24/23
Description: AE,Advanced Energy PE II 10K RF Power Supply Generator, 208V, Working
usedeqsales Used - $3,858.55 0 Dec/13/22 Mar/06/23
Description: RF Navigator Z'Scan AE Advanced Energy 3155126-020 RF Match Working Surplus
usedeqsales Used - $1,012.22 0 Dec/14/22 Aug/31/23
Description: MDC Vacuum Products KAV-150-P-10-AMAT Pneumatic Angle Valve 3870-02890 Working
usedeqsales Used - $612.22 0 Dec/14/22 Apr/02/23
Description: XP Power 101573 Power Supply Assembly AMAT 0190-32550 Working Surplus
usedeqsales Used - $3,512.22 0 Dec/15/22 Dec/15/22
Description: AMAT Applied Materials 0040-22035 200mm Single Zone PVD Heater Working Surplus
sandman7900 Used - $700.00 0 Dec/15/22 Dec/15/22
Description: CTI CRYOGENICS KEYPAD CONTROLLER, ON-BOARD SYSTEM | PULLED OFF WORKING MACHINE
sandman7900 Used - $700.00 1 Dec/17/22 Jan/12/23
Description: CTI CRYOGENICS KEYPAD CONTROLLER, ON-BOARD SYSTEM | PULLED OFF WORKING MACHINE
ehrenb5 Used - $250.00 1 Dec/18/22 Feb/09/23
Description: CTI CRYOGENICS KEYPAD CONTROLLER, ON-BOARD | FROM WORKING MACHINE
giftwearonline Used - $22.20 0 Dec/18/22 Dec/18/22
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
giftwearonline Used - $11.47 0 Dec/18/22 Dec/18/22
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
giftwearonline Used - $2.33 0 Dec/18/22 Dec/18/22
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
giftwearonline Used - $3.23 0 Dec/18/22 Dec/18/22
Description: AMAT Applied Materials 0190-82155 RPS Remote Plasma Source Tested Working
giftwearonline Used - $2.90 0 Dec/18/22 Dec/18/22
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
napo12 Used - $22.20 0 Dec/19/22 Dec/19/22
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
napo12 Used - $11.47 0 Dec/19/22 Dec/19/22
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
usedeqsales Used - $1,312.22 1 Dec/19/22 Nov/07/23
Description: AMAT Applied Materials 0040-09098 200mm Wafer Blade End Effector w/Arm Working
usedeqsales Used - $8,050.86 0 Jan/06/23 Jun/01/23
Description: Kollmorgen MAG05-25041-007 EMAG 4 Channel Power System AMAT 0195-05598 Working
usedeqsales Used - $2,450.86 1 Jan/10/23 Apr/19/23
Description: MDX-10K AE Advanced Energy 2194-008-R DC Supply SLAVE 3152194-008 Tested Working
usedeqsales Used - $851.23 1 Jan/10/23 May/19/23
Description: MKS Instruments AS01225G-03 Factory Interface I/O Module AMAT 0190-53579 Working
usedeqsales Used - $1,800.61 1 Jan/10/23 Oct/11/23
Description: MDX-10K AE Advanced Energy 315225-053A Magnetron Sputter Power Supply Working
dgold32 Used - $1,299.99 1 Jan/10/23 Feb/01/23
Description: Lam Research motherboard V7668A-132L00W02 605-064676-008 Tested and Working
spsglobal Used - $100.00 0 Jan/11/23 Dec/21/23
Description: 321-0303 AMAT APPLIED 0870-00083 DRIVER , DEVICENET POSITION CONT [NOT WORKING]
spsglobal Used - $300.00 0 Jan/11/23 Jun/29/23
Description: 129-0202 AMAT APPLIED 0100-20015 PCB ASSY, CONVECTRON GAUGE NOT WORKING
usedeqsales Used - $2,501.23 0 Jan/11/23 Jan/17/23
Description: Lam Research 02-376090-00 15" Heater Pedestal Assembly PED Rev. A Working Spare
usedeqsales Used - $4,101.23 1 Jan/11/23 Apr/25/24
Description: Apex 1513 AE Advanced Energy 3156111-207 1.5kW RF Generator AMAT Tested Working
usedeqsales Used - $1,001.23 0 Jan/12/23 Jul/22/24
Description: Yamatake DMC50CR Multi-Loop Controller DMC50 Nikon 4S087-738 NSR-S610C Working
usedeqsales Used - $1,001.23 0 Jan/12/23 Jul/22/24
Description: Yamatake DMC50M Multi-Loop Controller DMC50 Nikon 4S087-830 NSR-S610C Working
onestopbuys Used - $3.23 0 Jan/12/23 Jan/12/23
Description: AMAT Applied Materials 0190-82155 RPS Remote Plasma Source Tested Working
onestopbuys Used - $2.90 0 Jan/12/23 Jan/12/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
usedeqsales Used - $840.86 0 Jan/13/23 Apr/02/23
Description: AMAT Applied Materials 0226-31917 RF Coaxial Cable 30 Foot Working Surplus
mppatrick Used - $22.20 0 Jan/14/23 Jan/14/23
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
mppatrick Used - $3.23 0 Jan/14/23 Jan/14/23
Description: AMAT Applied Materials 0190-82155 RPS Remote Plasma Source Tested Working
mppatrick Used - $2.33 0 Jan/14/23 Jan/14/23
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
mppatrick Used - $11.47 0 Jan/14/23 Jan/14/23
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
mppatrick Used - $2.90 0 Jan/14/23 Jan/14/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
usedeqsales Used - $2,100.86 0 Jan/16/23 Jun/20/23
Description: MEI Motion Engineering T008-2004 Servo Drive AMAT 0190-13990 0150-14649 Working
usedeqsales Used - $1,501.23 0 Jan/18/23 Aug/15/24
Description: AMAT Applied Materials 0190-60306 Remote DeviceNet Scanner SST-EDN-1-V2 Working
usedeqsales Used - $1,750.86 0 Jan/23/23 Apr/21/23
Description: Brad Communications SST-EDN-1 DeviceNet Scanner AMAT 0190-29030 Lot of 2 Working
techequipsales Used - $500.00 0 Jan/25/23 May/10/23
Description: AE Advanced Energy MDX 5022-001-B Controller *used working
techequipsales Used - $3,000.00 1 Jan/25/23 Apr/18/23
Description: AE Advanced Energy APEX 1513 3156110-214 RF Generator 660-032596-214 C *working
spsglobal Used - $300.00 4 Jan/26/23 Aug/01/23
Description: 129-0101 AMAT APPLIED 0190-24115 ASSY. PCB, CDN391R, D-I/O, 300MM [NOT WORKING]
usedeqsales Used - $1,001.23 0 Jan/26/23 Mar/18/24
Description: Yamatake DMC50CS Multi-Loop Controller DMC50 Nikon 4S087-736 NSR System Working
usedeqsales Used - $1,001.23 0 Jan/26/23 Mar/18/24
Description: Yamatake DMC50M Multi-Loop Controller DMC50 Nikon 4S087-740 NSR System Working
spsglobal Used - $300.00 1 Jan/27/23 May/10/24
Description: 129-0101 AMAT APPLIED 0100-09022 wPCB ASSY, MINI AI/AO [NOT WORKING]
cosplity Used - $7,800.00 0 Feb/01/23 May/31/23
Description: AMAT 1040-01153 METER PRESS 0-30 PSI DHI RPM3 G0030 S/N 1077 , WORKING
usedeqsales Used - $3,502.23 3 Feb/03/23 Feb/04/23
Description: AMAT Applied Materials 0040-22035 200mm Single Zone PVD Heater Working Surplus
dgold32 Used - $1,199.99 1 Feb/08/23 Mar/27/23
Description: Lam Research motherboard V7668A-131000 605-109114-001 Tested and Working
tfstech Used - $149.99 0 Feb/09/23 Apr/16/23
Description: CTI Cryogenics Temperature Monitor Scientific Instruments 9300 8-Channel Working
zartons Used - $11.47 0 Feb/10/23 Feb/10/23
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
usedeqsales Used - $652.23 0 Feb/13/23 Mar/14/23
Description: Lam Research 853-001142-001 RF Automatch Controller Assembly Working Surplus
itrecyclenow Used - $324.00 0 Feb/15/23 Jun/13/23
Description: CTI-Cryogenics Regeneration Controller 8044060 Helix w/ working 6A fuse AS-IS
eleganthairextensions Used - $11.47 0 Feb/26/23 Feb/27/23
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
avoneverywhere Used - $2.90 0 Feb/26/23 Feb/27/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
ledamoiseau Used - $11.47 0 Mar/05/23 Mar/06/23
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
ledamoiseau Used - $2.90 0 Mar/05/23 Mar/05/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
part-soulution Used - $3,757.12 1 Mar/07/23 May/07/23
Description: Lam Research Kiyo45 TCR Ring 678-031432-007 REV A (Working)
usedeqsales Used - $4,003.23 1 Mar/09/23 Apr/28/23
Description: Apex 3013 AE Advanced Energy 3156114-001 RF Generator Tested Not Working Spare
papyrus10 Used - $11.47 0 Mar/12/23 Mar/13/23
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
papyrus10 Used - $2.90 0 Mar/12/23 Mar/13/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
usedeqsales Used - $653.23 0 Mar/16/23 Aug/29/24
Description: AMAT Applied Materials 0010-75090 Stand Alone VGA Monitor Base P5000 Working
punki64 Used - $2.33 0 Mar/20/23 Mar/20/23
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
punki64 Used - $22.20 0 Mar/20/23 Mar/20/23
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
punki64 Used - $11.47 0 Mar/20/23 Mar/20/23
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
tfstech Used - $299.99 0 Mar/22/23 Oct/04/23
Description: 0110-11002 AMAT Digital I/O Board PCB Applied Materials Working
usedeqsales Used - $8,003.23 0 Mar/22/23 Mar/22/23
Description: AMAT Applied Materials 0041-87036 Chamber Line Feedthrough 0041-94607 Working
tfstech Used - $199.99 0 Mar/24/23 Oct/04/23
Description: AMAT 0150-00128 Phase and Magnitude Detector Applied Materials Working
usedeqsales Used - $2,803.23 1 Mar/24/23 Apr/11/24
Description: Lam Research 839-460152-006 200mm Lower Electrode STP Chamber 150mm Working
ghis6969 Used - $2.33 0 Mar/25/23 Mar/26/23
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
ghis6969 Used - $22.20 0 Mar/25/23 Mar/25/23
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
en.avant.les.histoires Used - $3,002.00 0 Mar/25/23 Mar/25/23
Description: AMAT Applied Materials 0190-82155 RPS Remote Plasma Source Tested Working
en.avant.les.histoires Used - $3,424.00 0 Mar/25/23 Mar/25/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
deuchman69 Used - $2.33 0 Mar/26/23 Mar/26/23
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
dgold32 Used - $1,199.99 1 Mar/28/23 Mar/28/23
Description: Lam Research motherboard V7668A-131000 605-109114-001 Tested and Working
usedeqsales Used - $3,003.23 0 Mar/29/23 Apr/28/23
Description: MEI Motion Engineering T008-2001 eXMP Controller AMAT 0190-13990 Working Surplus
usedeqsales Used - $1,203.23 2 Mar/30/23 Apr/23/24
Description: Elmo Motion Control G-TUB30/480FEHSNA2 Servo Drive AMAT 1080-01355 Working
rodagon88 Used - $22.20 0 Apr/01/23 Apr/01/23
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
rodagon88 Used - $11.47 0 Apr/01/23 Apr/01/23
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
bo19531 Used - $3.34 0 Apr/02/23 Apr/03/23
Description: AMAT Applied Materials 0190-82155 RPS Remote Plasma Source Tested Working
bo19531 Used - $3.01 0 Apr/02/23 Apr/03/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
bo19531 Used - $8.97 0 Apr/02/23 Apr/03/23
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
bo19531 Used - $6.20 0 Apr/02/23 Apr/03/23
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
bo19531 Used - $6.15 0 Apr/02/23 Apr/03/23
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
dgold32 Used - $1,199.99 1 Apr/03/23 Sep/03/23
Description: Lam Research motherboard V7668A-131000 605-109114-001 Tested and Working
usedeqsales Used - $904.23 0 Apr/06/23 Feb/29/24
Description: Copley Controls 800-1536 Accelnet AMAT Applied Materials 0190-24676 Working
usedeqsales Used - $904.23 0 Apr/06/23 Apr/29/24
Description: Copley Controls 800-1537 Accelnet AMAT Applied Materials 0190-24677 Working
itrecyclenow Used - $360.00 0 Apr/07/23 Jun/13/23
Description: CTI-Cryogenics Regeneration Controller 8044060 Helix w/ working 6A fuse AS-IS
usedeqsales Used - $2,004.23 2 Apr/12/23 May/01/23
Description: AMAT Applied Materials 0100-00334 ISA Board PCB Card 0110-00334 Working Surplus
usedeqsales Used - $2,003.38 0 Apr/13/23 Jun/29/23
Description: VAT 0430X-BH24-ADV5 300mm Slit Valve AMAT 0246-08161 Working Surplus
usedeqsales Used - $754.23 4 Apr/17/23 Apr/18/23
Description: Panasonic MQDB012AAD02 AC Servo Drive AMAT 0190-15328 Working Surplus
usedeqsales Used - $3,004.23 0 Apr/18/23 Apr/18/23
Description: AMAT Applied Materials 1080-01809 Servo Drive Working Surplus
usedeqsales Used - $1,004.23 0 Apr/19/23 Mar/13/24
Description: MKS Instruments MFVA-27960 Mass Flow Verifier πMFV AMAT 0190-26370 Working Spare
usedeqsales Used - $1,052.96 1 Apr/19/23 Jul/03/23
Description: Nor-Cal Products 3870-04672 Butterfly Throttling Valve AMAT Working Surplus
usedeqsales Used - $3,252.12 3 Apr/24/23 Oct/17/23
Description: VAT 0340X-CA24-BFP1 Slit Valve AMAT 3870-04338 300mm Producer Working Surplus
usedeqsales Used - $5,002.12 2 Apr/25/23 Oct/09/23
Description: ATH 1600 M Alcatel P25621B1 Turbomolecular Pump AMAT 3620-00182 Tested Working
usedeqsales Used - $3,504.23 0 Apr/25/23 Feb/29/24
Description: MDX Pinnacle 20kW AE Advanced Energy 3152412-125 DC Power Supply Not Working
usedeqsales Used - $6,504.23 0 Apr/27/23 Aug/31/23
Description: VAT 10846-XE28-ANL1 UHV Ultra High Vacuum Gate Valve AMAT 3870-03969 Working
tfstech Used - $149.99 0 Apr/29/23 Nov/30/23
Description: CTI Cryogenics Temperature Monitor Scientific Instruments 9300 8-Channel Working
usedeqsales Used - $2,505.23 1 May/01/23 May/09/23
Description: MDX Pinnacle AE Advanced Energy 3152327-00 Remote Controller Tested Working
usedeqsales Used - $755.23 0 May/03/23 Sep/22/23
Description: AMAT Applied Materials 0190-75888 Baratron Transducer CG100 Tested Working
usedeqsales Used - $605.23 1 May/04/23 Dec/20/23
Description: Delta Tau 603603-103 Main cPCI 96 I/O PCB Card AMAT 0190-15384 Working Surplus
usedeqsales Used - $2,005.23 0 May/04/23 Mar/07/24
Description: AMAT Applied Materials 0100-01363 Signal Conditioning Board PCB Card Working
usedeqsales Used - $2,505.23 1 May/04/23 May/20/24
Description: Leybold 400036V1017 Turbomolecular Pump Cable 20M Turbo AMAT 0620-01945 Working
usedeqsales Used - $2,943.66 1 May/04/23 Jun/08/23
Description: Nikon AF Halving Motor/Digimic Assembly MH-15-041 4S554-041 NSR-S204B Working
usedeqsales Used - $605.23 1 May/09/23 May/10/23
Description: AMAT Applied Materials 0020-21221 Wafer Hoop 200mm Reseller Lot of 7 Working
usedeqsales Used - $1,205.23 0 May/16/23 May/17/23
Description: MKS Instruments AS00284-03 ISAC CPI IO BLOCK PCB AMAT 0100-01957 Working Surplus
usedeqsales Used - $655.23 0 May/17/23 Mar/18/24
Description: AMAT Applied Materials 0010-13445 Stand Alone VGA Monitor Base P5000 Working
johanmcleo_0 Used - $125.00 1 May/17/23 May/28/23
Description: CTI-Cryogenics 8043075 Cryopump Supply CryoLine 10 Foot Working Surplus
techequipsales Used - $1,500.00 1 May/18/23 May/18/23
Description: Novellus Regan Solutions ECC1-1 27-034079-01 ESC Power Supply *used working
usedeqsales Used - $755.23 0 May/25/23 Mar/18/24
Description: AMAT Applied Materials 0190-75888 Baratron Transducer CG100 Tested Working
usedeqsales Used - $755.23 0 May/25/23 Mar/18/24
Description: AMAT Applied Materials 0190-49700 Baratron Transducer CG100 Tested Working
ssarah712 Used - $2.33 0 May/28/23 May/28/23
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
ssarah712 Used - $3.23 0 May/28/23 May/29/23
Description: AMAT Applied Materials 0190-82155 RPS Remote Plasma Source Tested Working
aincoln0 Used - $22.20 0 May/28/23 May/28/23
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
aincoln0 Used - $11.47 0 May/28/23 May/28/23
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
ssarah712 Used - $2.90 0 May/28/23 May/29/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
usedeqsales Used - $755.23 3 May/30/23 May/30/23
Description: AMAT Applied Materials 0190-04068 HTESC Umbilical 100' Cable Working Surplus
generalbonaparte Used - $2.33 0 Jun/04/23 Jun/04/23
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
generalbonaparte Used - $7.56 0 Jun/04/23 Jun/04/23
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
generalbonaparte Used - $3.87 0 Jun/04/23 Jun/04/23
Description: AMAT Applied Materials 0190-82155 RPS Remote Plasma Source Tested Working
generalbonaparte Used - $10.23 0 Jun/04/23 Jun/04/23
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
generalbonaparte Used - $3.54 0 Jun/04/23 Jun/04/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
usedeqsales Used - $506.23 1 Jun/05/23 Dec/05/23
Description: MKS Instruments AS01496-AB-2 PCB Card AMAT 0190-30079 Reseller Lot of 2 Working
usedeqsales Used - $1,005.23 0 Jun/05/23 May/07/24
Description: Copley Controls 800-1894 Servo Drive AMAT Applied Materials 0195-14416 Working
usedeqsales Used - $1,005.23 1 Jun/05/23 Jun/19/24
Description: Copley Controls 800-2018 Servo Drive AMAT Applied Materials 1080-01750 Working
usedeqsales Used - $5,506.23 3 Jun/06/23 Jul/07/24
Description: ADLINK 91-31116-6680 SBC PCB Card MKS AS03615-01 AMAT 0190-43226 Working Surplus
usedeqsales Used - $1,005.23 0 Jun/07/23 Nov/28/23
Description: Copley Controls 800-1849 Servo Drive AMAT Applied Materials 1080-01431 Working
usedeqsales Used - $2,506.23 0 Jun/07/23 Aug/27/24
Description: AMAT Applied Materials 0190-26387 LCF Sensor Interface PCB Module Working
usedeqsales Used - $606.23 1 Jun/08/23 Jun/17/23
Description: Sanyo Denki P30B04010PCKSTA01 Motor Lift Assembly AMAT 0190-27188 Working
i-s-m-e Used - $6,712.00 1 Jun/08/23 Jan/31/24
Description: NOVELLUS SYSTEMS 02-321865-00 Rev A ASSY MC3R ETHERNET WORKING NO COVER
i-s-m-e Used - $7,451.00 1 Jun/08/23 Aug/02/23
Description: NOVELLUS SYSTEMS 02-321865-00 Rev A ASSY MC3R ETHERNET Working Spare
j316gallery Used - $30,000.00 0 Jun/09/23 Jun/22/23
Description: 12211 MKS ENI RF GEN, SPECTRUM 10513-00, AMAT 0190-17877 (WORKING) B-10513
hhulo Used - $2.33 0 Jun/11/23 Jun/11/23
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
hhulo Used - $22.20 0 Jun/11/23 Jun/11/23
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
usedeqsales Used - $2,806.23 0 Jun/12/23 Feb/01/24
Description: AMAT Applied Materials 0020-34587 DXZ View Lid Plate Assembly Working Surplus
itrecyclenow Used - $260.10 0 Jun/13/23 Aug/02/23
Description: CTI-Cryogenics Regeneration Controller 8044060 Helix w/ working 6A fuse AS-IS
usedeqsales Used - $856.23 0 Jun/14/23 Jun/28/24
Description: MKS Instruments AS01225G-03 Factory Interface I/O Module AMAT 0190-53579 Working
usedeqsales Used - $606.23 1 Jun/15/23 Jun/16/23
Description: SMC VV5Q11-16-X1602V 16-Port Pneumatic Manifold AMAT 4060-00930 Working Surplus
usedeqsales Used - $606.23 1 Jun/16/23 Jun/17/23
Description: SMC VV5Q11-16-DAP00151 16-Port Pneumatic Manifold AMAT 4060-00866 Working
usedeqsales Used - $2,104.36 2 Jun/16/23 Aug/28/23
Description: MEI Motion Engineering T008-2001 Servo Drive AMAT 0190-13990 0150-14649 Working
usedeqsales Used - $984.36 1 Jun/19/23 Aug/31/23
Description: MKS Instruments L2-40-SP1 Pneumatic Angle Valve AMAT 0190-76185 Working Surplus
usedeqsales Used - $606.23 5 Jun/20/23 Jun/21/23
Description: SMC IN587-21 21-Port Manifold SJ2A60T-5NFZ-N1-X21 AMAT 0190-37256 Working
outletmp3 Used - $2.33 0 Jun/24/23 Jun/24/23
Description: ISA Instruments H1061 Monochromator AMAT Applied Materials 0010-09935 Working
outletmp3 Used - $22.20 0 Jun/24/23 Jun/24/23
Description: NSK ELA-B014CFT-03 Servo Drive ELA Series AMAT 0190-19865 Working Surplus
outletmp3 Used - $11.47 0 Jun/24/23 Jun/24/23
Description: DC Pinnacle Plus AE Advanced Energy 3152439-301 10kW RF Generator Tested Working
outletmp3 Used - $3.23 0 Jun/24/23 Jun/24/23
Description: AMAT Applied Materials 0190-82155 RPS Remote Plasma Source Tested Working
outletmp3 Used - $2.90 0 Jun/24/23 Jun/24/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
usedeqsales Used - $906.23 1 Jun/26/23 Jan/31/24
Description: Copley Controls 800-1604A Xenus Servo Drive AMAT 0190-31258 Working Surplus
usedeqsales Used - $606.23 0 Jun/26/23 Jun/26/23
Description: Horiba STEC SEC-Z524MGXN Mass Flow Controller AMAT 0190-75613 Working Surplus
usedeqsales Used - $606.23 2 Jun/28/23 Jun/29/23
Description: Sayno Denki PV2A015SMT1PA0-C1 Pedestal Lift Servo Driver AMAT 0190-25422 Working
usedeqsales Used - $3,010.22 0 Jul/05/23 Jul/20/23
Description: AMAT Applied Materials 0010-76019 200mm Cassette Handler Assembly P5000 Working
usedeqsales Used - $2,307.23 0 Jul/11/23 Oct/16/23
Description: AMAT Applied Materials 0100-09054 Analog Input PCB 0300-11000 Working Spare
techequipsales Used - $2,000.00 1 Jul/11/23 Mar/19/24
Description: AE Advanced Energy Cesar 405 Power Generator 64000034 40MHz *used working