[click to login]
WSEMI


TAGS > 300

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
NEW 5
in stock
$250.00
Description: AMAT 0150-76560 Cable Assy, Devicenet Trunk, System AC, 300 mm
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0270-01316 stand, cell build, 300 mm dual cell IECP
farmoninc
[view on eBay]
Used 1
in stock
$695.00
Description: Horiba Stec MFC SEC-4400, SEC-4400M Ar gas, 300 SCCM range, AMAT 3030-06264
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0200-02452 cover ring, Quartz, L-Shape, 32RA, 300 EMAX
farmoninc
[view on eBay]
Used 1
in stock
$296.25
Description: AMAT 0190-22161 FeedThru, Flex Sleeve, 300 mm, Contact
grandbirdnet
[view on eBay]
NEW 1
in stock
$14,400.00
Description: AMAT 0190-08582 KAIJO 78101-AD6-UL 800W RF GENERATOR, MEGASONIC CLEANER 300, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0010-02433 Assy, FCW Valve, 300 MM Centura
farmoninc
[view on eBay]
NEW 4
in stock
$125.00
Description: AMAT 0021-39608 Throttle Valve seal, 300 mm SACVD
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0040-23318 Bracket, 300 mm source conncetor
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 96 AMAT 0021-36893 Holder, 8MM Mag Lev Sensor, 300 RTP
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: AMAT 0140-02464 H/A, AC POWER, SECONDARY AC BOX TO FI, 300
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-04401 CABLE ASSY, STEELHEAD 0, 300 MM XGEN CHAMBER, 399532
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-04401 CABLE ASSY, STEELHEAD 0, 300 MM XGEN CHAMBER
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-76409 CABLE ASSY 300 MM WAFER ON BLADE,CHB, 400080
grandbirdnet
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0040-82292 GIMBAL SUPPORT, 300 MM PROFILER , USED
farmoninc
[view on eBay]
NEW 1
in stock
$4,900.00
Description: AMAT 0240-11209 Kit, Pod Retrofits 200 & 300, IECP 401892
farmoninc
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0150-05938 Ground, Lamp Head, 300 mm Radiance Cable 402079
farmoninc
[view on eBay]
NEW 5
in stock
$195.00
Description: AMAT 0150-05938 Ground, Lamp Head, 300 mm Radiance Cable 402074
farmoninc
[view on eBay]
NEW 5
in stock
$195.00
Description: AMAT 0150-05938 Ground, Lamp Head, 300 mm Radiance Cable 402080
auctionrus
[view on eBay]
NEW 20
in stock
$15.00
Description: AMAT 0020-24606 Washer Hoop 300 PCII E, 407190
auctionrus
[view on eBay]
NEW 8
in stock
$15.00
Description: AMAT 0020-24606 Washer Hoop 300 PCII E. 407210
auctionrus
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0140-76863 H/A, EMO INTERCONNECT PWR/ELEC BOX, 300. 411141
auctionrus
[view on eBay]
NEW 1
in stock
$315.00
Description: AMAT 0140-01409 Rev.FG, Harness Assembly, DPS, 300, Remote, Mainframe. 413453
auctionrus
[view on eBay]
NEW 2
in stock
$315.00
Description: AMAT 0140-01409 Harness Assembly, DPS, 300, Remote, Mainframe, Cable, 413483
auctionrus
[view on eBay]
NEW 1
in stock
$315.00
Description: AMAT 0140-01409 Harness Assembly, DPS, 300, Remote, Mainframe, Cable, 413482
auctionrus
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0150-76401 Cable 300 MM Motion Control Interconnect, Harness, 412829
auctionrus
[view on eBay]
Used 1
in stock
$385.00
Description: AMAT 0140-02469 Harness Assy, P3 Remote Plasma Gen., 300, Cable, 412826
auctionrus
[view on eBay]
NEW 1
in stock
$385.00
Description: AMAT 0140-02469 Harness Assembly P3 Remote Plasma Gen, 300, Cable 414151
auctionrus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0040-43824, Plate, Cover, Particle Port, F1-IECP 300. 414491
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$25.30
Description: Hose (AMAT) 0190-02641 ASSY, 3FT SUP/RET PIGTAIL, EMAX 300
ecomicron
[view on eBay]
Used 1
in stock
$300.00
Description: 0190-02641, AMAT, HOSE ASSY, SUP/RET PIGTAIL, EMAX 300
j316gallery
[view on eBay]
Used 1
in stock
$1,052.57
Description: 5024 NOVELLUS SHIELD, CHAMBER, TOP HAT, PC 300 17-157996-00
farmoninc
[view on eBay]
Used 1
in stock
$795.00
Description: AMAT 3030-01877, MFC UFC-1660, 300 SCCM, N2, 1/4 VCR, MTL, NC, HOV, 416368
grandbirdnet
[view on eBay]
NEW 1
in stock
$8,350.00
Description: AMAT 0010-19010 MOTORIZED LIFT, 300 MM ASSEMBLY, NEW
j316gallery
[view on eBay]
Used 2
in stock
$555.43
Description: 5368 NOVELLUS DIGITAL DYNAMICS SIOC HDP 300 02-282622-00
bornalliancecom
[view on eBay]
NEW 2
in stock
$750.00
Description: Stec, MFC SEC-4400MO-UC H2 300 SCCM H2 C.F. 1.00 AMAT # 3030-01379
bornalliancecom
[view on eBay]
Used 1
in stock
$950.00
Description: Unit, MFC UFC-1660 300 SCCM N2 AMAT # 3030-07171
j316gallery
[view on eBay]
Used 3
in stock
$1,580.45
Description: 8965 APPLIED MATERIALS FACEPLATE, APF, 300 MM PRODUCER SE 0020-49785
j316gallery
[view on eBay]
Used 1
in stock
$883.68
Description: 9206 APPLIED MATERIALS PS FLAT PANEL MIRRA 300 MM, 02-01046-01 0190-02820
testeqe
[view on eBay]
NEW 1
in stock
$199.99
Description: NEW Applied Materials AMAT PN: 0040-41325 Retainer Spring Side RF Source 300
odysseystudios
[view on eBay]
Used 1
in stock
$3,900.00
Description: Applied Materials 0242-14110 300 mm ESC CHM Controller with 14 day warranty
j316gallery
[view on eBay]
Used 4
in stock
$495.37
Description: 10611 APPLIED MATERIALS FACEPLATE, LOWK, XTRA ROW EXTENDING, 300 0020-48972
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: Aera FC-D980C Mass Flow Controller MFC N2 300 SCCM Novellus 22-136138-00, 421384
spsglobal
[view on eBay]
Used 1
in stock
$260.00
Description: 143-0701// AMAT APPLIED 0140-01257 HARNESS ASSY, PURGE HEATER 300 NEW
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,250.00
Description: Applied Materials 0200-00873 Clamp, Upper, Gas Inlet, 300 MM AMAT
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 344-0101// AMAT APPLIED 0021-00327 SCR CAPTIVE, NI, 4-40 X .5 300 NEW
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: APW McLean UES17H115S29 Fantray, AMAT 0190-70066, 300 CFM, 115VAC, 450925
farmoninc
[view on eBay]
Used 1
in stock
$562.50
Description: APW McLean UES17H115S29 Fantray, AMAT 0190-70066, 300 CFM, 115VAC, 450924
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: APW McLean UES17H115S29 Fantray, AMAT 0190-70066, 300 CFM, 115VAC, 450923
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: APW McLean UES17H115S29 Fantray, AMAT 0190-70066, 300 CFM, 115VAC, 450880
farmoninc
[view on eBay]
Used 1
in stock
$562.50
Description: APW McLean UES17H115S29 Fantray, AMAT 0190-70066, 300 CFM, 115VAC, 450879
j316gallery
[view on eBay]
NEW 6
in stock
$557.60
Description: 13461 APPLIED MATERIALS CBL ASSY,PWR HEAT EXCH XFMR,ENDURA 300 (NEW) 0150-06693
roundtable1
[view on eBay]
NEW 5
in stock
$199.00
Description: Applied Materials 0050-75344 Adapter Gas Feed-thru 300 MM P2K
farmoninc
[view on eBay]
Used 1
in stock
$14,250.00
Description: AMAT 0010-37176 ESC Assembly, Chuck, 300 MM , DPS AE Etch Chamber, 326850
giga-hertz
[view on eBay]
Used 1
in stock
$1,500.00
Description: Applied Materials AMAT 0010-32218 0041-00747 0041-00729 300 mm ESC Vacuum Chuck
giga-hertz
[view on eBay]
Used 1
in stock
$1,500.00
Description: Applied Materials 0190-24048 0041-00747 300 mm ESC Vacuum Chuck
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0021-13375 BRKT SENSOR, TRANSDUCER MEGASONIC, 300 M, USED
roundtable1
[view on eBay]
NEW 1
in stock
$399.00
Description: Applied Materials 0040-03667 BASE WRIST 300 MM REV: 001 New
dr.dantom
[view on eBay]
Used 1
in stock
$285.00
Description: Applied Materials AMAT 3030-13895 MFC INTELLIFLOW II 300 SCCM GAS AR
j316gallery
[view on eBay]
Used 4
in stock
$262.76
Description: 18898 NOVELLUS CABLE ASSY HF SB TO PED 167 HDP 300 03-257667-02
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$622.77
Description: 15-151335-00 / LVR SCRN ROUND HDP 300 LOUVERED / NOVELLUS
j316gallery
[view on eBay]
NEW 5
in stock
$107.12
Description: 20313 NOVELLUS SPACER, ANODE CHAMBER, .300 (NEW) 15-263559-02
capitolareatech
[view on eBay]
NEW 9
in stock
$125.95
Description: AMAT 0040-09210 Plunger, Soft Tip Hinge Head Lock, 300 M
capitolareatech
[view on eBay]
NEW 1
in stock
$50.95
Description: AMAT 0200-01303 Guide Pin, Quartz, COOLDOWN Station, 300
capitolareatech
[view on eBay]
NEW 3
in stock
$331.95
Description: NOVELLUS 15-176142-00 SHOWERHEAD, CHMBR VER 3.1 GAMMA 300
capitolareatech
[view on eBay]
NEW 6
in stock
$200.95
Description: NOVELLUS 04-168717-00 KIT,UPGR,CHMBR HDWR,HDP 300
capitolareatech
[view on eBay]
NEW 1
in stock
$356.95
Description: NOVELLUS 03-257667-02 CBL ASSY,HF,SB TO PED,167,HDP 300
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,141.23
Description: 0050-40478 / LINE VACUUM EXHAUST OVERPRESSURE CH. B EPI 300 MM / AMAT
mattron747
[view on eBay]
NEW 7
in stock
$250.00
Description: Novellus 15-053271-02, Injector Tube, Clean, HDP 300
mooresemi
[view on eBay]
Used 1
in stock
$750.00
Description: Brooks Instruments 0550E Mass Flow Controller MFC 300 SLM H2 AMAT 0190-14020
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0200-02354 SINGLE RING, SMALL DIA, QUARTZ, HART 300, REFURBISHED
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$357.77
Description: 17-129396-00 / REFLECTOR, UPPER 300 PVD MODULE / NOVELLUS
visionsemi
[view on eBay]
NEW 1
in stock
$100.00
Description: APPLIED MATERIALS AMAT CONNECTOR BIASD RF ROD 300 0020-24542
ntsurplus302
[view on eBay]
Used 1
in stock
$500.00
Description: 5609 Applied Materials 0010-07540 Assy, Water Box, 300 MM SLT ESC
ntsurplus302
[view on eBay]
Used 1
in stock
$500.00
Description: 5610 Applied Materials 0010-07540 Assy, Water Box, 300 MM SLT ESC
capitolareatech
[view on eBay]
Used 1
in stock
$209.95
Description: Applied Materials (AMAT) 0090-76115 ASSY, 300 Mm Wafer Sensor
usedeqsales
[view on eBay]
Used 1
in stock
$1,209.21
Description: Novellus Systems 02-109470-00 Field Connector PCB HDP 300 SIOC '0' New Spare
mattron747
[view on eBay]
NEW 1
in stock
$1,250.00
Description: Applied Materials 0090-00456 Leak Sensor Mirra 300, ELS-1100 Level Switch
usedeqsales
[view on eBay]
Used 1
in stock
$1,209.21
Description: Novellus Systems 02-111283-00 Gas Box Distribution PCB AERA WCVD 300 New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$459.21
Description: Novellus Systems 02-111301-00 Gas Box Distribution PCB Speed 300 Rev. 1 Working
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: Advanced Energy Aera FCD980C, MFC 300 sccm, SIH4 w/ Calibration Sheet, 105350
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,589.68
Description: 0041-79433 / FACEPLATE TEOS HIGH DEP RATE 300 MM PRODUCER SA / AMAT
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$6,700.88
Description: 71-252989-00 / WAFER CARBON 300 CALIBRATION NO NOTCH / NOVELLUS
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0050-87996 HOSE ASSY HIGH FREQ. RF GEN SUPPLY PIGTAIL, HART 300 MM, 106134
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0050-87996 HOSE ASSY HIGH FREQ. RF GEN SUPPLY PIGTAIL, HART 300 MM, 106133
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0100-76113 PCB ASSY, INTERLOCK SELECT 300 GEN RACK, 106852
vizvik16
[view on eBay]
NEW 1
in stock
$120.00
Description: APPLIED MATERIALS 0140-09416 REV. 002 PROX SENSOR TSDA IN POSITION REFLEXION 300
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$15,985.80
Description: 0040-78138 / PLATEN LOWER LK 300 MM / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$632.42
Description: 0090-00726 / HARNESS, SWITCH, LIQ. LEVEL LOW, 300 MM / APPLIED MATERIALS AMAT
j316gallery
[view on eBay]
Used 1
in stock
$2,999.00
Description: 29699 APPLIED MATERIALS ASSY, SYSTEM ELECTRONICS MODULE, EPI 300 0010-17730
roundtable1
[view on eBay]
NEW 1
in stock
$199.99
Description: Applied Materials 0150-78429 Cable Assy Mirra 300 MM LI 1-11938000-27 REV: 201
j316gallery
[view on eBay]
Used 1
in stock
$4,000.00
Description: 29730 APPLIED MATERIALS ASSY, SYSTEM ELECTRONICS MODULE, EPI 300 0010-17730
xiangha
[view on eBay]
Used 2
in stock
$2,500.00
Description: SIOC O HDP 300 F/C Novellus P/N: 02-282622-00
new-trade-inc
[view on eBay]
NEW 5
in stock
$2,424.98
Description: 1PCS NEW D514MG 300 SCCM AMAT 0190-44702 Free FedEx or EMS
songlcd
[view on eBay]
NEW 5
in stock
$2,127.34
Description: 1PCS NEW D514MG 300 SCCM AMAT 0190-44702 via DHL or FedEx
gteprimo
[view on eBay]
Used 1
in stock
$170.49
Description: AMAT Applied 0100-00567 0130-00567 Gas Box Distribution PCB Endura 300 inkl MwSt
gteprimo
[view on eBay]
Used 0
in stock
$34,042.00
Description: AMAT Applied 0010-32275 Cathode Base 300 mm HT DPS2 AE Chamber Heated Filter
amat-sparescom
[view on eBay]
NEW 1
in stock
$2,995.00
Description: Applied Materials 0200-01225 Cathode Ring Isolator, 300 MM Producer AMAT
j316gallery
[view on eBay]
Used 1
in stock
$551.91
Description: 27827 NOVELLUS SHIELD, BOTTOM FRONT, SST, 300 AS 17-291689-01
j316gallery
[view on eBay]
Used 1
in stock
$635.95
Description: 27828 NOVELLUS SHIELD, BTM FRONT, ARCS, PVD 300 17-140885-00
sx-space
[view on eBay]
NEW 5
in stock
$2,424.99
Description: 1PCS NEW D514MG 300 SCCM AMAT 0190-44702 by DHL or FedEx
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$3,943.56
Description: 27-160698-00 / ROBOT,ARM,ATR7,300,RABBIT MAP(SABRE NON 3D) 002-6640-39/ NOVELLUS
j316gallery
[view on eBay]
Used 2
in stock
$7,999.00
Description: 32051 APPLIED MATERIALS ASSY, ELECTRONIC BOX, BRUSH, 300 MM HVM 0010-03151
eisale1535
[view on eBay]
NEW 5
in stock
$540.00
Description: 01 AMAT 0021-06316 Shield Aluminum 300 WxZ
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,400.82
Description: 0020-02344 / SHIELD, LOWER 300 MM SIP / APPLIED MATERIALS AMAT
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0020-10829 NUT PLATE, BELT GUARD, 300 MM REFLEXION, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0150-05477 CABLE ASSY, WAFER LOSS/HD SWP, MIRRA 300, USED
j316gallery
[view on eBay]
Used 1
in stock
$2,100.00
Description: 32520 NOVELLUS SESIOC WAFER HANDLE 300, WAFER HANDLING 300 02-168107-00
j316gallery
[view on eBay]
Used 2
in stock
$35,000.00
Description: 32540 APPLIED MATERIALS HTR/PURGE RING ASSY SST HTR 300 MM TXZ 0010-02441
j316gallery
[view on eBay]
Used 1
in stock
$4,000.00
Description: 32529 NOVELLUS 300 PVD MOD-1, IXT PVD SIOC 1 02-277104-00
zoro
[view on eBay]
Used 1
in stock
$1,975.83
Description: AE Advanced Energy 3152412-005B Pinnacle 300 14kW (7.5x7.5) RF Generator
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$15,550.00
Description: 0020-01995 /HY-11 MAGNET 300 MM ASSEMBLED WITH 0010-03485 /AMAT
katiil3
[view on eBay]
Used 1
in stock
$419.30
Description: Applied Materials 0051-06470 HOSE ASSY 55FT SUP/RET HI TEMP LID-CHAMBER P3I, 300
j316gallery
[view on eBay]
Used 1
in stock
$383.69
Description: 5736 NOVELLUS PCB LOWER DISTRIBUTION, NEXT, HDP 300 03-274553-00
j316gallery
[view on eBay]
Used 1
in stock
$35,000.00
Description: 32706 APPLIED MATERIALS HTR/PURGE RING ASSY SST HTR 300 MM TXZ 0010-02441
j316gallery
[view on eBay]
Used 1
in stock
$35,000.00
Description: 32690 APPLIED MATERIALS HTR/PURGE RING ASSY SST HTR 300 MM TXZ 0010-02441
allforsale555
[view on eBay]
Used 1
in stock
$649.00
Description: AMAT APPLIED MATERIALS 0020-84586 SLIT VALVE LID 300 TxZ
equipplus
[view on eBay]
Used 1
in stock
$349.00
Description: Novellus 02-111301-00 Rev A Gas Box Distribution,Speed 300 Board,Used,US*8465
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0021-21770 SHIM, .75MM(.029")SST 300 MM PVD, 117475
jtechsemi
[view on eBay]
Used 1
in stock
$600.00
Description: Applied Materials 0190-02820 POWER SUPPLY FLAT PANEL MIRRA 300 MM AMAT

This tag has been viewed 15 times

Most recent views:

Taiwan (Province of China) Friday, Oct/18/2024 at 12:43 am CST
China Friday, Oct/18/2024 at 12:43 am CST
Singapore Friday, Oct/18/2024 at 12:41 am CST
United States of America Friday, Oct/18/2024 at 12:41 am CST
Singapore Friday, Oct/18/2024 at 12:32 am CST
Korea (Republic of) Friday, Oct/18/2024 at 12:32 am CST
Korea (Republic of) Friday, Oct/18/2024 at 12:31 am CST
Taiwan (Province of China) Friday, Oct/18/2024 at 12:27 am CST
Singapore Friday, Oct/18/2024 at 12:23 am CST
Korea (Republic of) Friday, Oct/18/2024 at 12:18 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
testeqe Used - $999.99 0 Apr/27/09 Dec/27/14
Description: IDI-Cybor RFM PN: 5-200-001 Aquatar Dispenser Pump 300
capitolareatech Used - $1,500.00 1 Aug/24/12 Dec/17/12
Description: APPLIED MATERIALS 0190-17416 CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD
capitolareatech NEW - $9,562.50 0 Jan/04/13 Sep/26/14
Description: AMAT 0010-03488 MAGNET, IMP 300 MM PVD; 0010-03488-006
bobsgoodies NEW - $115.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
bobsgoodies Used - $135.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
visionsemi NEW - $200.00 1 Feb/16/11 Jan/13/13
Description: USF FILTERITE EBC100-10M3T 300 (T93011278016) NEW
convertechs3 NEW - $299.99 0 Jan/21/13 Jan/28/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
siliconvalleytechparts408 NEW - $225.00 1 Jan/28/13 Feb/15/13
Description: Kurt Lesker 300 Series Vacuum Gauge w/ Controller & Display - Part# KJL300863
convertechs3 NEW - $274.99 0 Jan/28/13 Feb/04/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
buckideal NEW - $10.00 1 Jan/02/13 Feb/01/13
Description: ASHCROFT 0-300 20W1005PH 300 GAUGES AB1
esolutions1 NEW - $89.10 0 Feb/02/13 Dec/21/14
Description: APPLIED MATERIALS AMAT 0242-34634 KIT, MOUNTING BRACKET GAS LINE TO FI LEFT,300
esolutions1 NEW - $99.00 0 Feb/02/13 Dec/21/14
Description: APPLIED MATERIALS AMAT 0242-31877 MOUNTING BRACKET- MID MF-CH A/ FI LEFT,300
esolutions1 Refurbished - $75.00 0 Feb/03/13 Dec/21/14
Description: Applied Materials AMAT 0190-24803 SMC 11GPM RAD 300 Flow Switch PF2W511-N10-2
convertechs3 NEW - $259.99 0 Feb/04/13 Feb/11/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
bobsgoodies NEW - $115.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
bobsgoodies Used - $135.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
convertechs3 NEW - $239.99 0 Feb/11/13 Feb/18/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
convertechs3 NEW - $224.99 0 Feb/18/13 Feb/25/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
systasemi Used - $150,000.00 0 Feb/22/13 Mar/24/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
convertechs3 NEW - $199.99 0 Feb/25/13 Mar/04/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
convertechs3 NEW - $174.99 0 Mar/04/13 Mar/11/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
convertechs3 NEW - $159.99 0 Mar/11/13 Mar/18/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
bobsgoodies NEW - $115.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
convertechs3 NEW - $149.99 0 Mar/21/13 Mar/28/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
systasemi Used - $150,000.00 0 Mar/25/13 Apr/24/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
convertechs3 NEW - $139.99 0 Mar/28/13 Apr/04/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
convertechs3 NEW - $129.99 0 Apr/04/13 Apr/11/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
convertechs3 NEW - $119.99 0 Apr/11/13 Apr/18/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
convertechs3 NEW - $109.99 0 Apr/18/13 Apr/25/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
athomemarket NEW - $92.99 0 Apr/22/13 May/22/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
systasemi Used - $150,000.00 0 Apr/24/13 May/24/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
convertechs3 NEW - $99.99 0 Apr/25/13 May/02/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
convertechs3 NEW - $74.99 0 May/02/13 Jun/01/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
athomemarket NEW - $92.99 0 May/22/13 Jun/21/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
systasemi Used - $150,000.00 0 May/26/13 Jun/25/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
convertechs3 NEW - $59.99 0 Jun/03/13 Jul/03/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
bobsgoodies NEW - $775.00 0 Jun/05/13 Jul/09/13
Description: AMAT 1200-01067 RELAY CNTOR ABB 300 Amp Contactor EH160-C-NL 24VAC Coil NIB
bobsgoodies NEW - $115.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
bobsgoodies Used - $135.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
bobsgoodies Used - $135.00 0 Jun/10/13 Jul/09/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
athomemarket NEW - $92.99 0 Jun/22/13 Jul/22/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
systasemi Used - $150,000.00 0 Jun/26/13 Jul/26/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
getsurplusherenow NEW - $495.00 1 Jun/29/13 Jul/23/13
Description: Applied Materials; Fan Pack 300 CFM 19"x3.5"x8" 115VAC, P/N 0600-01076
convertechs3 NEW - $54.99 1 Jul/03/13 Jul/31/13
Description: AERA / AE PI 980 MGMR 300 SCCM/N2 DNet Mass Flow Controller 0190-27877 MFC AMAT
athomemarket NEW - $83.69 0 Jul/22/13 Aug/21/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
systasemi Used - $150,000.00 0 Jul/29/13 Aug/28/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
athomemarket NEW - $83.69 0 Aug/21/13 Sep/20/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
bobsgoodies Used - $135.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
capitolareatech NEW - $168.75 0 Sep/12/13 Feb/24/14
Description: AMAT 0190-15548 Hose Assy, extended Gen Supp/Ret eMAx 300
capitolareatech NEW - $28.13 0 Sep/17/13 Sep/12/14
Description: AMAT 0190-02641 HOSE ASSY, 3FT SUP/RET PIGTAIL, EMAX 300
athomemarket NEW - $92.99 0 Sep/20/13 Oct/20/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
yayais2012 NEW - $38.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0242-46009 CD CENTURA ACP 300 MANUALS
yayais2012 NEW - $38.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0242-46012 CD Epi 300 ACP Blk 2 (2CH) MANUALS
alvin1462 NEW - $555.00 10 Sep/23/13 Apr/28/22
Description: AMAT Applied Materials 0190-14178 Controller Cable , MAG LEV , 300 new
ultra_clean_warehouse NEW - $764.94 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-77064 FEEDTHRU SOLID 300 MM GEMINI II - REV 03
systasemi Used - $150,000.00 0 Sep/30/13 Oct/30/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
athomemarket NEW - $92.99 0 Oct/20/13 Nov/19/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
yayais2012 NEW - $38.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0242-46009 CD CENTURA ACP 300 MANUALS
yayais2012 NEW - $38.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0242-46012 CD Epi 300 ACP Blk 2 (2CH) MANUALS
ultra_clean_warehouse NEW - $38.30 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-14877 - POINTER ADJ SPRAY BAR SCRUB HVM 300 REV 002
systasemi Used - $150,000.00 0 Nov/03/13 Dec/03/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
usedeqsales Scrap, for parts - $511.13 1 Nov/14/13 Nov/15/13
Description: Horiba STEC SEC-Z512MGX Digital Mass Flow Device AMAT 3030-17165 300 SCCM N2
usedeqsales Scrap, for parts - $511.13 0 Nov/15/13 Jan/16/14
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-28961 AMAT 300 SCCM SiH4 As-Is
usedeqsales Scrap, for parts - $261.13 0 Nov/15/13 May/04/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-28961 300 SCCM NH3 As-Is
yayais2012 NEW - $38.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0242-46009 CD CENTURA ACP 300 MANUALS
yayais2012 NEW - $38.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0242-46012 CD Epi 300 ACP Blk 2 (2CH) MANUALS
usedeqsales Used - $261.13 0 Nov/25/13 May/04/15
Description: Mykrolis FC-2902MEP5-T Mass Flow Controller AMAT 3030-04246 300 SCCM SiH4 Used
keykorea Used - $3,800.00 0 Dec/03/13 Jan/14/16
Description: AMAT 0010-03151 ASSY, ELECTRONIC BOX, BRUSH, 300 MM HVM, WORKING
farmoninc NEW - $60.00 1 Dec/03/13 Nov/25/16
Description: NEW AMAT 0021-08157 PAD, GAS PANEL DOOR LATCH, 300 MM TPG, 300MM
systasemi Used - $150,000.00 0 Dec/04/13 Jan/03/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
usedeqsales Used - $262.13 0 Dec/05/13 May/04/15
Description: Millipore 3030-12900 Mass Flow Controller AMAT 300 SCCM He Used
capitolareatech NEW - $487.50 0 Dec/06/13 Oct/02/14
Description: LAM Research (LAM) 716-800951-300 Base, FCS, Ring, Shld, 200MM & 300 M
capitolareatech NEW - $70.29 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-39199 Outer, Nozzle, Gas Feed, 300 MM DPS
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-52540 Pin anti rot 0.625 dia 300
capitolareatech NEW - $39.85 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-09210 Plunger, Soft Tip Hinge Head Lock, 300 M
capitolareatech NEW - $21.51 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0021-07715 Plug, Chamber Insert, 300 TXZ
capitolareatech NEW - $24.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0150-02392 CABLE ASSY, FORELINE TC, TST K TYPE, 300
usedeqsales NEW - $1,058.49 2 Dec/20/13 Apr/12/15
Description: Brooks 6256SCZVCLDC Mass Flow Controller AMAT 3030-13311 300 SCCM N2 New
yayais2012 NEW - $38.00 1 Dec/21/13 Dec/24/13
Description: APPLIED MATERIALS 0242-46012 CD Epi 300 ACP Blk 2 (2CH) MANUALS
yayais2012 NEW - $38.00 1 Dec/21/13 Dec/24/13
Description: APPLIED MATERIALS 0242-46009 CD CENTURA ACP 300 MANUALS
systasemi Used - $150,000.00 0 Jan/05/14 Feb/04/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
athomemarket NEW - $94.99 0 Jan/18/14 Feb/17/14
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
systasemi Used - $150,000.00 0 Feb/05/14 Mar/07/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
farmoninc NEW - $450.00 0 Mar/12/14 Dec/02/15
Description: AMAT 0040-76504 Cooler Weldment, 300 MM DEGAS
dr.fantom Used - $1,200.00 1 Mar/24/14 Dec/08/14
Description: MKS/AMAT/AirTronics 0195-01644 DIGITAL LCWE / PNEUMATIC BOX ASSEMBLY 300
systasemi NEW - $350.00 1 Apr/07/14 May/22/14
Description: Wafer, Carbon, 300, Calibration, 1/2", Hole, N SENSOR-PHOTO, 71-254069-00
systasemi Used - $150,000.00 0 Apr/08/14 May/08/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
athomemarket NEW - $94.99 0 Apr/19/14 May/19/14
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
keykorea Used - $4,500.00 0 Apr/30/14 May/03/15
Description: AMAT 0010-04423 LIQUID DELIVERY, SRD, DIW PRESS REG, 300 , USED
keykorea Used - $28,000.00 0 May/01/14 Nov/22/15
Description: AMAT 0010-08942 UPPER ELECTRONIC , CLEANER 300 , USED
wenfeng2010 NEW - $300.00 0 May/18/14 Jun/17/14
Description: LOT OF 300 APPLIED MATERIAL 8330 PARTS, ACP 0030-00243
athomemarket NEW - $94.99 0 May/19/14 Jun/18/14
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
wenfeng2010 NEW - $300.00 0 Aug/16/14 Sep/15/14
Description: LOT OF 300 APPLIED MATERIAL 8330 PARTS, ACP 0030-00243
athomemarket NEW - $94.99 0 Aug/18/14 Sep/17/14
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
systasemi Used - $150,000.00 0 Aug/20/14 Sep/19/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
farmoninc NEW - $120.00 0 Sep/04/14 Jun/01/23
Description: AMAT 0021-11138 Exhaust Pipe Output Module Cleaner 300 M
grandbirdnet NEW - $800.00 0 Dec/03/14 Mar/07/22
Description: AMAT 0150-12960 CABLE, LID CVR SW CVD 300, NEW
jhkkim7636 Used - $66.00 0 Dec/05/14 Dec/10/14
Description: 0140-03951 HRNS INTRLK CABLE ATM PRES SW 300 MM PRO
grandbirdnet NEW - $100.00 0 Dec/05/14 Jun/01/23
Description: AMAT 0140-21260 HARN, PVD CHAMBER LID 300 , NEW
grandbirdnet NEW - $850.00 1 Dec/10/14 Jul/05/22
Description: AMAT 0190-14995 SPECIFICATION GRIPPER. CRYO PUMP 300 MM, NEW
jhkkim7636 Used - $66.00 0 Dec/10/14 Jan/04/16
Description: AMAT 0140-03951 HRNS INTRLK CABLE ATM PRES SW 300 MM PRODUCER
jhopkinssnnf NEW - $1,070.00 0 Dec/16/14 Feb/17/15
Description: AMAT 0020-63185 BLOCKER PLATE, UNIFORM FLOW-0.2" BP STANDOFFS, 300, 500090982
athomemarket NEW - $97.99 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
semiexpert NEW - $150.00 0 Dec/18/14 Jan/17/15
Description: AMAT 0150-02798 CABLE ASSY, WAFER LOSS SENSOR, MIRRA 300
capitolareatech NEW - $125.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-09210 Plunger, Soft Tip Hinge Head Lock, 300 M
capitolareatech NEW - $209.47 0 Dec/26/14 Jan/20/16
Description: NOVELLUS 03-257667-02 CBL ASSY,HF,SB TO PED,167,HDP 300
capitolareatech NEW - $117.71 0 Dec/26/14 Jan/20/16
Description: NOVELLUS 04-168717-00 KIT,UPGR,CHMBR HDWR,HDP 300
capitolareatech NEW - $28.65 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-01303 Guide Pin, Quartz, COOLDOWN Station, 300
systasemi Used - $150,000.00 0 Dec/29/14 Jan/28/15
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
farmoninc NEW - $150.00 0 Dec/30/14 Mar/02/23
Description: AMAT 0150-76409 CABLE ASSY 300 MM WAFER ON BLADE,CHB
farmoninc NEW - $295.00 0 Jan/02/15 Mar/02/23
Description: AMAT 0190-21640 Radiation Shield/Stand - OFFS 300 MM PVD C
esolutions1 NEW - $99.00 0 Jan/06/15 Feb/03/15
Description: APPLIED MATERIALS AMAT 0242-31877 MOUNTING BRACKET- MID MF-CH A/ FI LEFT,300
esolutions1 NEW - $99.00 0 Jan/06/15 Feb/03/15
Description: APPLIED MATERIALS AMAT 0242-34634 KIT, MOUNTING BRACKET GAS LINE TO FI LEFT,300
esolutions1 Refurbished - $75.00 0 Jan/06/15 Feb/03/15
Description: Applied Materials AMAT 0190-24803 SMC 11GPM RAD 300 Flow Switch PF2W511-N10-2
bobsgoodies2 Used - $429.00 1 Jan/07/15 Mar/31/22
Description: AMAT 1200-01067 RELAY CNTACTOR ABB 300 Amp 24VAC Coil EH160 NEW EH-160
wenfeng2010 NEW - $300.00 0 Jan/14/15 Feb/13/15
Description: LOT OF 300 APPLIED MATERIAL 8330 PARTS, ACP 0030-00243
farmoninc NEW - $450.00 0 Jan/15/15 Mar/02/23
Description: AMAT 0150-02835 CABLE ASSY, TRANSFER CH WAFER SENSOR, 300, 400442
athomemarket NEW - $82.99 0 Jan/16/15 Feb/15/15
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
systasemi Used - $150,000.00 0 Jan/28/15 Feb/27/15
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
dr.fantom NEW - $300.00 0 Feb/04/15 Feb/12/15
Description: Applied Materials AMAT 3030-13895 MFC INTELLIFLOW II 300 SCCM ARG 1/4” VCR N/C
dr.fantom NEW - $120.00 0 Feb/12/15 Dec/18/19
Description: Applied Materials / AMAT 0010-17338 HOSE ASSY ESC SLT 300 MM PIB
dr.fantom NEW - $285.00 0 Feb/12/15 Feb/12/20
Description: Applied Materials AMAT 3030-13895 MFC INTELLIFLOW II 300 SCCM ARG 1/4” VCR N/C
wenfeng2010 NEW - $300.00 0 Feb/13/15 Mar/15/15
Description: LOT OF 300 APPLIED MATERIAL 8330 PARTS, ACP 0030-00243
athomemarket NEW - $82.99 0 Feb/15/15 Mar/17/15
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
systasemi Used - $150,000.00 0 Mar/02/15 Apr/01/15
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
sparesllc09 NEW - $1,000.00 1 Nov/21/14 Feb/26/15
Description: 0020-84596 / 300 MM FACEPLATE SILANE TESTED / APPLIED MATERIALS
wenfeng2010 NEW - $300.00 0 Mar/15/15 Apr/14/15
Description: LOT OF 300 APPLIED MATERIAL 8330 PARTS, ACP 0030-00243
athomemarket NEW - $82.99 0 Mar/17/15 Apr/16/15
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket NEW - $82.99 0 Apr/16/15 May/16/15
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
farmoninc NEW - $75.00 0 Apr/24/15 Mar/02/23
Description: AMAT 0150-76412 Cable 300 MM Motion Control Interconnect 401431
farmoninc NEW - $75.00 0 Apr/24/15 Apr/25/15
Description: AMAT 0150-76412 Cable 300 MM Motion Control Interconnect
athomemarket NEW - $82.99 0 May/17/15 Jun/16/15
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
gigabitpartsolutions NEW - $137.50 0 Jun/05/15 Jun/29/16
Description: OEM Part Applied Materails (AMAT) 0200-01942 LIFT PIN TIP, MAG LIFT, GROUND, 300
systasemi Used - $150,000.00 0 Jun/07/15 Jun/26/15
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
equipplus NEW - $56.90 4 Jun/09/15 Oct/11/17
Description: Applied Materials 0021-81369 Membrance 300 Titan Profiler 5-Zone,unuse,AMAT(3751
athomemarket NEW - $74.69 0 Jun/16/15 Jul/16/15
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket Used - $82.99 0 Jul/16/15 Jul/05/17
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
gigabitpartsolutions NEW - $132.00 0 Jul/28/15 Mar/25/16
Description: OEM Part Applied Materails (AMAT) 0242-26516 KIT, PURGE, CTI CRYO, RPG CHBR, 300
used1eqsales Used - $754.07 2 Aug/19/15 Dec/02/15
Description: AMAT 9090-01408 Arc Control DSP PCB Revision A Quantum X 300 mm used working
gigabitpartsolutions NEW - $27.50 0 Oct/02/15 Jun/28/16
Description: Hardware Applied Materails (AMAT) 0242-43085 KIT DC AND RF POWER FASTENERS, 300
esl-elektronik NEW - $12,999.00 0 Nov/30/15 Jun/27/16
Description: Lam Research ESC 300 mm Cer, Mono, 839-800327-312 New / Original Sealed
esl-elektronik NEW - $1,499.00 0 Dec/03/15 Jun/30/16
Description: Lam Research Assy TCP Coil 300 mm 853-037606-300 New / Originally Packed
russellyd Used - $60.00 0 Jan/04/16 Jan/31/16
Description: 0140-03951 HRNS INTRLK CABLE ATM PRES SW 300 MM PRODUCER
discount_computer_parts Used - $299.95 6 Jan/15/16 Feb/17/19
Description: Novellus Digital Dynamics HDP 300 SIOC Interlock Cont Power Supply 02-122156-00
hakl1103 Used - $300.00 1 Jan/17/16 Jun/05/18
Description: NOVELLUS 02-168107-00 Sioc WAFER HANDLING 300
capitolareatech NEW - $227.81 0 Jan/23/16 Feb/24/16
Description: NOVELLUS 15-176142-00 SHOWERHEAD, CHMBR VER 3.1 GAMMA 300
capitolareatech NEW - $117.71 0 Jan/24/16 Feb/24/16
Description: NOVELLUS 04-168717-00 KIT,UPGR,CHMBR HDWR,HDP 300
capitolareatech NEW - $209.47 0 Jan/24/16 Feb/24/16
Description: NOVELLUS 03-257667-02 CBL ASSY,HF,SB TO PED,167,HDP 300
capitolareatech NEW - $460.65 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-54322 TUBE, FEEDTHRU, PROCESS GAS, ALD TAN 300
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09210 Plunger, Soft Tip Hinge Head Lock, 300 M
capitolareatech NEW - $67.49 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-07715 Plug, Chamber Insert, 300 TXZ
asset_asset Used - $4,835.66 0 Feb/16/16 Oct/24/18
Description: NOVELLUS 02-256332-00 ASSY,300,MECH ALIGNER,SABRE
capitolareatech NEW - $590.44 0 Feb/24/16 Jun/23/16
Description: AMAT 0010-70018 Filter Assembly Heat Exchanger, 300 PSIG, 44153-0, BROOKS: 1358E
capitolareatech NEW - $88.29 0 Feb/24/16 Jun/23/16
Description: AMAT 0010-35537 Regulator, N2 SHO 2 Chamber System, Inlet 300 PSIG, 21 BAR MAX,
capitolareatech NEW - $67.49 0 Feb/24/16 Jun/23/16
Description: Applied Materials 0021-07715 Plug, Chamber Insert, 300 TXZ
capitolareatech NEW - $130.00 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-80784 Baking Plate, Chill Plate Feed Thru, 300
capitolareatech NEW - $460.65 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-54322 TUBE, FEEDTHRU, PROCESS GAS, ALD TAN 300
capitolareatech NEW - $117.71 0 Feb/25/16 Jun/24/16
Description: NOVELLUS 04-168717-00 KIT,UPGR,CHMBR HDWR,HDP 300
capitolareatech NEW - $209.47 0 Feb/25/16 Jun/24/16
Description: NOVELLUS 03-257667-02 CBL ASSY,HF,SB TO PED,167,HDP 300
capitolareatech NEW - $227.81 0 Feb/25/16 Jun/24/16
Description: NOVELLUS 15-176142-00 SHOWERHEAD, CHMBR VER 3.1 GAMMA 300
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-82408 Hose, Lower LAMPHEAD to Flow Switch, 300
capitolareatech NEW - $650.00 0 Feb/29/16 Jun/28/16
Description: LAM 716-800951-300 Base, FCS, Ring, Shld, 200MM & 300 M
usedeqsales Used - $803.16 0 Mar/07/16 Nov/07/19
Description: AMAT Applied Materials 0020-84586 300 Txz Slit Valve Lid Used Working
visionsemi NEW - $229.00 1 Mar/11/16 Mar/11/16
Description: AMAT STEC MASS FLOW CONTROLLER SEC-4400MC-SUC HORIBA O2 300 SCCM 3030-04513
capitolareatech NEW - $250.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0140-37802 Harness Assembly Controller TEB Producer 300
capitolareatech NEW - $250.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0140-01327 HARN, DC POWER/UPS CHA TRAY CCM 300
capitolareatech NEW - $50.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0020-44036 Mount Plate, 300 MMEMAX CH Lift
capitolareatech NEW - $250.00 0 Mar/16/16 Mar/16/16
Description: AMAT 0020-15540 PRE-ALIGNER MOUNTING PLATE 300
capitolareatech NEW - $250.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0020-15540 PRE-ALIGNER MOUNTING PLATE 300
capitolareatech NEW - $1,470.00 0 Apr/12/16 Sep/15/16
Description: AMAT 0010-03488 MAGNET, IMP 300 MM PVD; 0010-03488-006
gti-semi Used - $1,392.00 0 Apr/15/16 Nov/26/19
Description: LAM, LINER, UPPER 300 MM - Z AXIS, p/n 715-801021-838
usedeqsales Scrap, for parts - $6,004.16 0 Apr/27/16 Jun/02/16
Description: AMAT Applied Materials 0040-99580 300 TxZ Slit Valve Heater Out-of-Spec As-Is
gigabitpartsolutions NEW - $108.90 0 Jun/08/16 Jul/03/17
Description: OEM Part Applied Materails (AMAT) 0242-26516 KIT, PURGE, CTI CRYO, RPG CHBR, 300
bobsgoodies Used - $135.00 1 Jun/21/16 Jan/20/17
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
esl-elektronik NEW - $12,999.00 1 Jun/28/16 Feb/02/18
Description: Lam Research ESC 300 mm Cer, Mono, 839-800327-312 New / Original Sealed
gigabitpartsolutions NEW - $137.50 2 Jun/30/16 Sep/04/17
Description: OEM Part Applied Materails (AMAT) 0200-01942 LIFT PIN TIP, MAG LIFT, GROUND, 300
esl-elektronik NEW - $1,499.00 0 Jun/30/16 Jul/03/19
Description: Lam Research Assy TCP Coil 300 mm 853-037606-300 New / Originally Packed
sparesllc09 NEW - $1,893.50 0 Jul/07/16 Jul/23/19
Description: 716-800951-300 / BASE,FCS RNG,SHLD,200MM& 300 MM/ LAM
capitolareatech NEW - $590.44 0 Jul/08/16 Jul/14/16
Description: AMAT 0010-70018 Filter Assembly Heat Exchanger, 300 PSIG, 44153-0, BROOKS: 1358E
capitolareatech NEW - $88.29 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-35537 Regulator, N2 SHO 2 Chamber System, Inlet 300 PSIG, 21 BAR MAX,
capitolareatech NEW - $67.49 0 Jul/08/16 Sep/15/16
Description: Applied Materials 0021-07715 Plug, Chamber Insert, 300 TXZ
capitolareatech NEW - $130.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-80784 Baking Plate, Chill Plate Feed Thru, 300
capitolareatech NEW - $460.65 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-54322 TUBE, FEEDTHRU, PROCESS GAS, ALD TAN 300
capitolareatech NEW - $76.95 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-02392 CABLE ASSY, FORELINE TC, TST K TYPE, 300
capitolareatech NEW - $117.71 0 Jul/08/16 Sep/15/16
Description: NOVELLUS 04-168717-00 KIT,UPGR,CHMBR HDWR,HDP 300
capitolareatech NEW - $209.47 0 Jul/08/16 Sep/15/16
Description: NOVELLUS 03-257667-02 CBL ASSY,HF,SB TO PED,167,HDP 300
capitolareatech NEW - $227.81 0 Jul/08/16 Sep/15/16
Description: NOVELLUS 15-176142-00 SHOWERHEAD, CHMBR VER 3.1 GAMMA 300
capitolareatech NEW - $650.00 0 Jul/09/16 Sep/15/16
Description: LAM 716-800951-300 Base, FCS, Ring, Shld, 200MM & 300 M
randmgoods NEW - $49.99 1 Jul/15/16 Nov/23/20
Description: Applied Materials AMAT 0200-05829 Reflector, Primary Center, Blasted 300 mm
svcstore Used - $99.99 0 Jul/20/16 Aug/19/16
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
testeqe NEW - $199.99 0 Jul/29/16 Jul/24/17
Description: NEW Applied Materials AMAT PN: 0040-41325 Retainer Spring Side RF Source 300
sammy_etek NEW - $10,800.00 0 Jul/30/16 Jun/05/19
Description: 0010-05846, AMAT, ASSY, HIGH EFFICIENCY RF MATCH-BIAS, 300
vipermn NEW - $225.00 0 Aug/10/16 Sep/06/16
Description: HITACHI METALS 2480G1 MCAGTOBL1 MFC - O2, 300 SCCM, LAM 788-630015-300
vipermn NEW - $275.00 0 Aug/10/16 Sep/06/16
Description: UNIT UFC-1660 MASS FLOW CONTROLLER N2 300 SCCM AMAT 3030-01877
svcstore Used - $99.99 0 Aug/25/16 Sep/24/16
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
bornalliancecom Used - $895.00 2 Aug/26/16 Sep/26/24
Description: Applied Materials 1270-01403 SW FLOAT MAX PSIG 100MAX DEGF 300 SST
xl-t_com Used - $85.00 0 Sep/01/16 Jan/17/19
Description: UNIT UFC-1100A - MFC - AMAT 3030-01059 - Range: 300 SCCM / Gas: N2
kakkisung-6 NEW - $4,999.00 0 Sep/07/16 Feb/09/22
Description: AMAT 0200-03837 INSULATOR INTERNAL 300 MM
capitolareatech NEW - $750.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-12087 On-Board, 8F CRYO Control Module FOR 300, Power In: 220 VAC6.3A
svcstore Used - $499.99 0 Sep/27/16 Oct/27/16
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
svcstore Used - $99.99 0 Sep/30/16 Oct/30/16
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
soldtou1 NEW - $999.99 0 Oct/12/16 Feb/23/18
Description: Novellus Systems Gas Box Distribution Speed 300 PCB 27-111301-00 02-111301-00
farmoninc NEW - $700.00 0 Oct/22/16 Mar/16/23
Description: AMAT 0140-03302 Harness Assy, NSK TO Controller Power, Centura 300, 415302
farmoninc NEW - $700.00 0 Oct/22/16 Dec/22/22
Description: AMAT 0140-03302 Harness Assy, NSK to Controller Power, Centura 300, 417489
farmoninc NEW - $650.00 0 Oct/31/16 May/27/21
Description: AMAT 0040-03590 Pan, Spill, 1.2L TDMAT, TXZ Gas Box 300, 417820
svcstore Used - $88.99 0 Oct/31/16 Nov/30/16
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $499.99 0 Nov/01/16 Nov/30/16
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
be4049 NEW - $1,900.00 0 Nov/15/16 Sep/15/20
Description: 0100-76113 AMAT PCB ASSY, INTERLOCK SELECT 300 GEN RACK
asset_asset NEW - $2,833.38 0 Nov/17/16 Jan/10/17
Description: Novellus 16-144558-00 SHIELD,BARREL,ARCS,PVD 300
asset_asset NEW - $5,943.56 0 Nov/17/16 Jan/10/17
Description: Novellus 04-311910-00 PM KIT, CUP REBUILD, APC 1MM, 300, SBR,-XT
svcstore Used - $79.99 0 Dec/01/16 Dec/31/16
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $499.99 0 Dec/02/16 Jan/01/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
capitolareatech NEW - $35.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-52540 Pin anti rot 0.625 dia 300
capitolareatech NEW - $60.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-77357 FLAG, STOPPER ASSY, SCRUBBER 300
capitolareatech NEW - $50.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-82408 Hose, Lower LAMPHEAD to Flow Switch, 300
capitolareatech NEW - $165.38 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-39199 Outer, Nozzle, Gas Feed, 300 MM DPS
capitolareatech NEW - $330.20 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-39197 WINDOW, MANOMETER, 300 MM DPS
capitolareatech NEW - $116.49 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-36615 Nozzle, Blank Off, Gas Feed, 300 MM DPS
capitolareatech NEW - $117.71 0 Dec/19/16 Jun/19/20
Description: NOVELLUS 04-168717-00 KIT,UPGR,CHMBR HDWR,HDP 300
capitolareatech NEW - $209.47 0 Dec/19/16 Jun/19/20
Description: NOVELLUS 03-257667-02 CBL ASSY,HF,SB TO PED,167,HDP 300
capitolareatech NEW - $195.00 0 Dec/19/16 Jun/19/20
Description: NOVELLUS 15-176142-00 SHOWERHEAD, CHMBR VER 3.1 GAMMA 300
svcstore Used - $70.99 0 Jan/03/17 Feb/02/17
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $499.99 0 Jan/03/17 Feb/02/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
svcstore Used - $62.99 0 Feb/03/17 Mar/05/17
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $499.99 0 Feb/04/17 Mar/06/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
bornalliancecom NEW - $750.00 0 Feb/04/17 Jun/15/23
Description: Applied Materials 0020-06998 Button, Wafer (LOT OF 300)
lagerwerk_gmbh NEW - $201.93 0 Feb/07/17 Feb/08/17
Description: LAM RESEARCH 715-057852-002, Liner, LWR, 300 mm, BEVEL - NEW
spsglobal Used - $60.00 0 Feb/12/17 Jun/15/23
Description: 143-0703// AMAT APPLIED 0140-00877 HARNESS ASSY, 300 TORR ATM/BARA WXZ USED
spsglobal Used - $80.00 0 Feb/12/17 Jun/15/23
Description: 143-0703// AMAT APPLIED 0140-00877 HARNESS ASSY, 300 TORR ATM/BARA WXZ NEW
yericomfg NEW - $1,100.00 0 Feb/15/17 Aug/08/18
Description: Applied Materials, 0041-36122, Outer Ring, PPS, 20.5 mm Width, 300 mm Titan Edge
farmoninc Used - $350.00 1 Mar/01/17 Jun/18/18
Description: Cuno CT101 Filter Assembly Heat Exchanger, 300 PSIG, AMAT 0010-09479, 422334
kakkisung-6 NEW - $8,999.00 1 Mar/02/17 Apr/20/17
Description: AMAT 0041-27029 Reflector Plate RTP 300 mm #
svcstore Used - $55.99 0 Mar/06/17 Apr/06/17
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $499.99 0 Mar/06/17 Apr/06/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
j316gallery Used - $1,710.94 0 Mar/22/17 Nov/13/20
Description: 8282 APPLIED MATERIAL AMAT SLIT VALVE LID 300 TXZ 0020-84586 REV 001
svcstore Used - $49.99 0 Apr/06/17 May/06/17
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $499.99 0 Apr/06/17 May/06/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
johbedo_0 NEW - $88.00 1 Apr/11/17 May/03/17
Description: AMAT 0090-04764 Rev 001 Assy Leak Sensor Mirra 300 Gems ELS-1100 Nib New
johbedo_0 NEW - $80.00 0 Apr/11/17 Aug/09/17
Description: AMAT 0090-04765 Rev 001 Assy Leak Sensor Drip Pan Mirra 300 Gems ELS-1100 New
svcstore Used - $43.99 0 May/08/17 Jun/07/17
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $499.99 0 May/08/17 Jun/07/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
tmh_inc NEW - $1,200.00 0 May/12/17 Oct/01/21
Description: Lam Research 716-014843-360, RING,WAP,QTZ,GENERIC,17.07ID,300
bornalliancecom NEW - $420.00 0 Jun/07/17 Apr/08/21
Description: Applied Materials Unit, UFC-1100N 300 SCCM H2 AMAT # 3030-01858
svcstore Used - $43.99 0 Jun/09/17 Jul/09/17
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $399.99 0 Jun/09/17 Jul/09/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
testeqe NEW - $199.99 0 Jun/28/17 Aug/27/17
Description: NEW Applied Materials AMAT PN: 0040-41325 Retainer Spring Side RF Source 300
athomemarket NEW - $82.99 0 Jul/04/17 Oct/26/18
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
svcstore Used - $43.99 0 Jul/10/17 Aug/09/17
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $399.99 0 Jul/10/17 Aug/09/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
svcstore Used - $43.99 0 Aug/11/17 Sep/10/17
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $399.99 0 Aug/11/17 Sep/10/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
tgs816 Used - $35.00 0 Aug/17/17 Aug/19/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
tgs816 Used - $35.00 0 Aug/19/17 Aug/19/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
tgs816 Used - $25.00 0 Aug/19/17 Aug/26/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
tgs816 Used - $25.00 0 Aug/27/17 Sep/03/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
tgs816 Used - $30.00 1 Sep/04/17 Dec/12/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
svcstore Used - $43.99 0 Sep/11/17 Oct/11/17
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $399.99 0 Sep/12/17 Oct/12/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
svcstore Used - $43.99 0 Oct/13/17 Nov/12/17
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $399.99 0 Oct/13/17 Nov/12/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
svcstore Used - $38.99 0 Nov/15/17 Dec/15/17
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $358.99 0 Nov/15/17 Dec/15/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
lagerwerk_gmbh NEW - $219.59 0 Dec/05/17 Dec/22/17
Description: LAM RESEARCH 715-057852-002, Liner, LWR, 300 mm, BEVEL - NEW
farmoninc Used - $950.00 0 Dec/08/17 Mar/03/22
Description: STEC SEC-4400M Mass Flow Controller, MFC, He, 300 SCCM, AMAT 0226-44706, 324232
lagerwerk_gmbh Used - $210.90 0 Dec/27/17 Mar/03/22
Description: LAM RESEARCH 715-057852-002, Liner, LWR, 300 mm, BEVEL - NEW
svcstore Used - $38.99 0 Dec/30/17 Jan/29/18
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $358.99 0 Dec/30/17 Jan/29/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
allforsale555 Used - $299.00 1 Jan/06/18 Apr/05/18
Description: NOVELLUS 02-168107-00 Sioc WAFER HANDLING 300
vizvik16 Used - $250.00 5 Jan/15/18 Mar/23/18
Description: Horiba STEC SEC-4400M Mass Flow Controlle, 300 SCCM, He, AMAT 3030-12509
vizvik16 Used - $300.00 2 Jan/16/18 Jul/17/18
Description: HORIBA STEC Z500 SEC-Z512MG MASS FLOW CONTROLLER AMAT 3030-14640, N2, 300 SCCM
capitolareatech Used - $52.95 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-21771 SHIM, .50MM(.019")SST 300 MM PVD
capitolareatech Used - $89.50 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-21769 SHIM, 1MM(.039")SST 300 MM PVD
svcstore Used - $38.99 0 Jan/31/18 Mar/02/18
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $358.99 0 Jan/31/18 Mar/02/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
esl-elektronik NEW - $12,999.00 0 Feb/13/18 Jul/03/19
Description: Lam Research ESC 300 mm Cer, Mono, 839-800327-312 New / Original Sealed
soldtou1 NEW - $1,899.99 0 Feb/23/18 Mar/03/22
Description: Novellus Systems 76-111301-00 02-111301 Gas Box Distribution Speed 300 PCB LAM
svcstore Used - $38.99 0 Mar/04/18 Apr/03/18
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $358.99 0 Mar/04/18 Apr/03/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
spsglobal Used - $8,000.00 0 Mar/12/18 Oct/20/19
Description: 335-0301// AMAT APPLIED 0010-05846 ASSY, HIGH EFFICIENCY RF MATCH-BIAS, 300 USED
dr.dantom Used - $650.00 1 Mar/27/18 Sep/18/18
Description: HoribaStec SEC-4400M AMAT 3030-06264 300 SCCM GAS AR Mass Flow Controller
intek22 Used - $150.00 1 Mar/27/18 Dec/18/19
Description: LAM Novellus CalWeld 02-100021-00 Gas Manifold w Brooks 5866RT MFC He 300 SCCM
svcstore Used - $38.99 0 Apr/04/18 May/04/18
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $358.99 0 Apr/04/18 May/04/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
sparesllc09 Used - $15,000.00 0 Apr/20/18 Oct/09/19
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
svcstore Used - $38.99 0 May/06/18 Jun/05/18
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $358.99 0 May/06/18 Jun/05/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
capitolareatech NEW - $395.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0021-21770 SHIM, .75MM(.029")SST 300 MM PVD
capitolareatech NEW - $72.95 0 May/22/18 Sep/19/19
Description: Applied Materials (AMAT) 0021-37408 BRACKET, SPRING, HEATER LIFT, CVD 300
j316gallery Used - $376.72 0 Jun/08/18 Jun/27/24
Description: 4379 NOVELLUS SHIELD, CHAMBER, BOTTOM LEFT, PC 300 17-158007-00
j316gallery Used - $1,132.28 0 Jun/08/18 Jun/27/24
Description: 4376 NOVELLUS SHIELD, CHAMBER, TOP HAT, PC 300 17-157996-00
svcstore Used - $358.99 0 Jun/06/18 Jul/06/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
farmoninc Used - $895.00 0 Jun/26/18 Jun/30/22
Description: Aera FC-D980C MFC, SF6, 300 SCCM, w/ CA-98D9-Z Adapter, AMAT 3030-07478, 424638
product54_corp NEW - $245.00 0 Jun/29/18 Nov/23/18
Description: Applied Materials Cable 0150-07862 Rev 001 Cable Assy Water Box Cover Intlk 300
2016rocky Used - $3,800.00 0 Jul/04/18 Dec/01/18
Description: AMAT ATOC-3262WG/SC/R TE 0195-11147 APPLIED MATERIAL COMPASS 300 LASER INSPEC
svcstore Used - $38.99 0 Jul/07/18 Aug/06/18
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $358.99 0 Jul/07/18 Aug/06/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
svcstore Used - $38.99 0 Aug/07/18 Sep/06/18
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $358.99 0 Aug/07/18 Sep/06/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
farmoninc Used - $695.00 0 Aug/13/18 Jun/30/22
Description: STEC SEC-4400M MFC, NH3, 300 SCCM, SEC-4400, AMAT 3030-04780, 424840
tchaban88 NEW - $25.00 3 Aug/27/18 Jul/23/19
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
intek22 NEW - $650.00 0 Aug/31/18 May/12/20
Description: New Applied Materials AMAT 0021-08845 Blocker Plate Unif Dist POLYGEN TUG 300
prism_electronics7 Used - $8,074.99 0 Sep/07/18 Aug/10/20
Description: AMAT APPLIED MATERIALS 0010-04941 MAGNET ASSEMBLY SIP-CU 300
svcstore Used - $38.99 0 Sep/07/18 Oct/04/18
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $358.99 0 Sep/07/18 Oct/04/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
capitolareatech NEW - $1,470.00 0 Sep/10/18 Nov/01/18
Description: AMAT 0010-03488 MAGNET, IMP 300 MM PVD; 0010-03488-006
capitolareatech NEW - $49.95 0 Sep/11/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-64694 RF STANDOFF, BRASS, HEATED GAS BOX, 300
prism_electronics7 Used - $646.00 0 Sep/26/18 Aug/12/20
Description: APPLIED MATERIALS 0021-76237 AMAT LIFTER ORIENTER 300 MM ENDUR
capitolareatech NEW - $72.95 0 Sep/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-37408 BRACKET, SPRING, HEATER LIFT, CVD 300
svcstore Used - $31.99 0 Oct/04/18 Nov/03/18
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $251.99 0 Oct/04/18 Nov/03/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
athomemarket NEW - $199.99 0 Oct/10/18 Oct/17/18
Description: NEW Applied Materials 0200-06099 Ceramic Deposition Ring 995 w/ Cleancoat 300 mm
athomemarket NEW - $177.04 1 Oct/18/18 May/24/19
Description: NEW Applied Materials 0200-06099 Ceramic Deposition Ring 995 w/ Cleancoat 300 mm
sparesllc09 Used - $1,063.00 0 Oct/24/18 Aug/06/20
Description: 0190-15029 / HARNESS, MOTOR KAWASAKI A3 ROBOT 300 / APPLIED MATERIALS AMAT
csi.usa Used - $749.99 0 Nov/02/18 Jan/31/19
Description: AMAT APPLIED MATERIALS 0020-84586 SLIT VALVE LID 300 TxZ
svcstore Used - $29.99 0 Nov/04/18 Dec/04/18
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $214.99 0 Nov/04/18 Dec/04/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
pic_insit Used - $5,000.00 0 Nov/21/18 Dec/21/18
Description: AMAT P/N 0010-12223 Magnet Assyrian, 300 MM PVD Niv, Stock #601
product54_corp NEW - $100.00 0 Nov/29/18 Dec/29/18
Description: Applied Materials Cable 0150-07862 Rev 001 Cable Assy Water Box Cover Intlk 300
getspares.com_sparesllc09 NEW - $6,700.88 0 Nov/30/18 May/24/22
Description: 71-252989-00 / WAFER CARBON 300 CALIBRATION NO NOTCH / NOVELLUS
svcstore Used - $24.99 0 Dec/05/18 Jan/04/19
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $192.99 0 Dec/05/18 Jan/04/19
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
pic_insit Used - $4,900.00 0 Dec/22/18 Jan/21/19
Description: AMAT P/N 0010-12223 Magnet Assy, 300 MM PVD Niv, Stock #601
svcstore Used - $19.99 0 Jan/08/19 Feb/07/19
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $164.99 0 Jan/08/19 Feb/07/19
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
amte648608 NEW - $60.00 20 Jan/09/19 Jul/21/19
Description: Applied Materials 0200-03314, Lift Pin 170 Fixed Floating 300 mm Producer
vizvik16 Used - $80.00 1 Jan/15/19 May/08/22
Description: APPLIED MATERIALS 0100-01025 REV 004, FEED THROUGH BOARD BIASABLE ELECTRODES 300
pic_insit Used - $4,800.00 0 Jan/25/19 Feb/24/19
Description: AMAT P/N 0010-12223 Magnet Assy, 300 MM PVD Niv, Stock #601
storemanager-2009 Scrap, for parts - $1,000.00 1 Feb/07/19 Mar/21/19
Description: NOVELLUS 02-250390-00 ASSY, CLAMSHELL DRIVE 300. PPS REV B (in crate)
svcstore Used - $14.99 0 Feb/08/19 Mar/10/19
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $140.99 0 Feb/08/19 Mar/10/19
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
csi.usa Used - $749.99 1 Feb/11/19 Apr/10/24
Description: AMAT APPLIED MATERIALS 0020-84586 SLIT VALVE LID 300 TxZ
getspares.com_sparesllc09 Used - $15,985.80 0 Feb/11/19 Aug/19/22
Description: 0040-78138 / PLATEN LOWER LK 300 MM / APPLIED MATERIALS AMAT
farmoninc Used - $1,100.00 0 Feb/13/19 Aug/22/22
Description: Brooks 5866RB1A1G4M2NA MFC, HE, 300 SCCM, Novellus 22-045874-00, 5866 RT, 450949
farmoninc Used - $1,100.00 1 Feb/13/19 Sep/02/21
Description: Brooks 5866RB1A1G4M2NA MFC, HE, 300 SCCM, Novellus 22-045874-00, 5866 RT, 450948
svcstore Used - $119.99 0 Mar/11/19 Apr/10/19
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
pic_insit Used - $4,300.00 0 Mar/27/19 Jun/27/21
Description: AMAT P/N 0010-12223 Magnet Assy, 300 MM PVD Niv, Stock #601
svcstore Used - $101.99 0 Apr/11/19 Sep/16/19
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
svcstore Used - $14.99 0 Apr/11/19 Sep/16/19
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
getspares.com_sparesllc09 NEW - $3,943.99 0 Apr/22/19 Jan/30/23
Description: 27-160698-00 / ROBOT,ARM,ATR7,300,RABBIT MAP(SABRE NON 3D) 002-6640-39/ NOVELLUS
prism_electronics12 Used - $4,999.99 0 May/22/19 Aug/22/19
Description: LAM RESEARCH 853-040482-301 MATCH ENCLOSURE , LWR, 2-27-60MHz, 300
asmtk NEW - $900.00 0 May/23/19 Oct/01/21
Description: Applied Materials 0040-81949 COVER SIDE DUAL GAS 300 EMAX AMAT
getspares.com_sparesllc09 NEW - $600.98 0 Jul/23/19 Oct/18/23
Description: 716-800951-300 / BASE,FCS RNG,SHLD,200MM& 300 MM/ LAM
getspares.com_sparesllc09 Used - $7,500.00 0 Aug/20/19 Dec/12/23
Description: 0041-00817 / LOWER CHAMBER ADAPTER RADIANCE 300 MM / APPLIED MATERIALS AMAT
tchaban88 NEW - $25.00 0 Aug/21/19 Oct/21/19
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
getspares.com_sparesllc09 Used - $15,550.00 0 Aug/29/19 Apr/06/23
Description: 0020-01995 / HY-11 MAGNET 300 MM ASSEMBLED WITH 0020-28491 / AMAT
capitolareatech Used - $2,195.95 0 Sep/05/19 Sep/26/19
Description: NOVELLUS 17-260362-00 SHIELD, BARREL, GRIT BLAST, PVD 300       
svcstore Used - $9.99 1 Sep/16/19 Jun/17/22
Description: Applied Materials 0270-02510 Right Rear Outrigger for Endura 300 0060-00965
svcstore Used - $73.99 1 Sep/16/19 Feb/18/21
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
auctionrus Used - $495.00 0 Sep/30/19 Sep/08/22
Description: Unit Instruments UFC-8561C, MFC, H2, 300 SCCM, 8560, AMAT 3030-12306, 452025
auctionrus Used - $495.00 0 Sep/30/19 Sep/08/22
Description: Unit Instruments UFC-8561C, MFC, H2, 300 SCCM, 8560, AMAT 3030-12306, 452026
roundtable1 NEW - $19.99 1 Oct/09/19 Sep/09/21
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
getspares.com_sparesllc09 Used - $10,500.00 0 Oct/09/19 Sep/08/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
kc.dak NEW - $59.99 0 Oct/22/19 Apr/01/24
Description: APPLIED MATERIALS 10-130242-01 7 POWER SUPPLY 115-230VAC 47-500 HZ 300 VDC NEW
tchaban88 NEW - $10.00 0 Oct/25/19 Oct/30/19
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
tchaban88 NEW - $10.00 0 Nov/03/19 Nov/08/19
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
cosplity Used - $3,900.00 0 Nov/19/19 Jun/16/20
Description: AMAT 0010-03151 ASSY, ELECTRONIC BOX, BRUSH, 300 MM HVM
lagerwerk_gmbh Used - $199.28 0 Dec/07/19 Mar/13/23
Description: LAM Research 715-057852-002, Liner, Lwr , 300 MM, Biseau - Neuf
dr.dantom Used - $120.00 1 Dec/18/19 Apr/25/24
Description: Applied Materials / AMAT 0010-17338 HOSE ASSY ESC SLT 300 MM PIB
capitolareatech NEW - $44.95 0 Jan/04/20 Jan/10/20
Description: Applied Materials (AMAT) 0150-36819 Cable, Assy. Overtemp 300 F
tchaban88 NEW - $10.00 0 Jan/06/20 Jan/11/20
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
athomemarket NEW - $49.79 0 Jan/24/20 Mar/22/21
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
capitolareatech NEW - $2,795.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0200-36092 Window, 300 MM
dom0808 Used - $2,310.00 0 Jan/30/20 Feb/11/22
Description: Lam Research ASSY,PEM CHUCK,300,REMOVABLE FLAT BUTTON P/N 853-206554-002
capitolareatech NEW - $9.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 0140-05947 HARNESS ASSY 300 MM PRODUCER E PNEUMAT
spsglobal Used - $20.00 0 Mar/05/20 Aug/25/22
Description: 324-0201// AMAT APPLIED 0040-07630 BLANK PLATE, BACKSIDE GAS, 300 MM WATER NEW
manufacturingequipment NEW - $4,556.25 0 Mar/13/20 Sep/21/21
Description: Novellus 04-311910-00 PM KIT, CUP REBUILD, APC 1MM, 300, SBR,-XT
getspares.com_sparesllc09 Used - $610.82 1 Mar/17/20 Jun/01/20
Description: 15-151335-00 / LVR SCRN ROUND HDP 300 LOUVERED / NOVELLUS
spsglobal Used - $300.00 0 Mar/23/20 Aug/25/22
Description: 324-0203// AMAT APPLIED 0200-39199 NOZZLE, OUTER, GAS FEED, 300 MM DPS [NEW]
manufacturingequipment Used - $2,278.13 0 Mar/31/20 Sep/21/21
Description: Novellus 16-144558-00 SHIELD, BARREL, ARCS, PVD 300
tchaban88 NEW - $7.50 1 Apr/09/20 Apr/14/20
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
usedeqsales Used - $1,005.20 1 May/01/20 Oct/11/22
Description: AMAT Applied Materials 0200-03403 Pumping Ring Ceramic Enlarged Hole Low K 300
spsglobal Used - $300.00 0 May/20/20 Aug/30/23
Description: 325-0203// AMAT APPLIED 3030-01662 MFC UNIT 300 SCCM NF3 15 PIN D-CON [ASIS]
novusferro Used - $1,499.00 0 Jun/22/20 Jul/19/23
Description: Applied Materials 0010-14748 300 MM Temp Control Unit
j316gallery NEW - $278.43 3 Jun/22/20 Nov/14/23
Description: 19372 APPLIED MATERIALS LINER, EQUALIZATION, 300 SE (NEW) 0020-60680
asmtk Used - $25,000.00 0 Jul/30/20 Oct/01/21
Description: Applied Materials 0010-14300 P4 MAGNET ASSEMBLY, SIP ENCORE CU II 300 AMAT
prism_electronics12 Used - $5,913.75 0 Aug/10/20 Mar/15/22
Description: AMAT APPLIED MATERIALS 0010-04941 MAGNET ASSEMBLY SIP-CU 300
prism_electronics12 Used - $237.49 0 Aug/12/20 Jul/25/22
Description: APPLIED MATERIALS 0021-76237 AMAT LIFTER ORIENTER 300 MM ENDUR
capitolareatech NEW - $1,104.95 0 Aug/17/20 Jul/03/24
Description: LAM 716-800951-300 Base, FCS, Ring, Shld, 200MM & 300 M
capitolareatech Used - $389.95 0 Aug/26/20 Sep/30/24
Description: Applied Materials (AMAT) 0050-63150 FORELINE REDUCER, 300 EMAX AP
techequipsales Used - $850.00 0 Sep/10/20 Mar/07/24
Description: LAM 810-800031-400 A System Interlock 300 mm LAM 2300 KIYO3X Process Chamber
autoquip7 NEW - $505.00 0 Nov/03/20 Jul/25/22
Description: 0050-03739 Gas Blk, Left Side, 300 D P S 5"APPLIED MATERIALS (AMAT)
j316gallery Used - $800.00 0 Nov/13/20 Oct/27/21
Description: 8282 APPLIED MATERIALS AMAT SLIT VALVE LID 300 TXZ 0020-84586
powersell007 Used - $199.00 0 Dec/14/20 Dec/14/23
Description: HORIBA Z700 SEC-Z714AGX MASS FLOW CONTROLLER Si2H6 300 SCCM AMAT 0190-41105 MFC
xl-t_com Used - $433.00 0 Dec/31/20 Oct/19/21
Description: APPLIED MATERIALS - 0021-21770 - SHIM, .75MM(.029")SST 300 MM PVD
grandbirdnet Used - $1,000.00 0 Jan/14/21 Oct/19/23
Description: NOVELLUS 15-176142-00 SHOWERHEAD, CHMBR VER 3.1 GAMMA 300, REFURBISHED
grandbirdnet NEW - $1,200.00 0 Jan/28/21 Oct/19/23
Description: NOVELLUS 02-111217-00 GAS BOS DISTRIBUTION WCVD 300, NEW
grandbirdnet NEW - $3,500.00 0 Jan/31/21 Mar/14/22
Description: AMAT 0240-02816 KIT B101/A101 REMOTE AC BOX REV1.2 300, NEW
fel7255 Used - $59.00 0 Mar/09/21 Aug/14/21
Description: Applied Materials 0021-13265 racket, Gauge Controller 300 mm
athomemarket Used - $49.79 0 Mar/22/21 May/20/22
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
dnd_surplus Used - $300.00 1 Jun/02/21 Sep/22/22
Description: Applied Materials P/N 3030-07425 Aera FC-D980C MFC 300 SCCM AR
capitolareatech NEW - $3,295.95 0 Jul/03/21 Mar/16/23
Description: Applied Materials (AMAT) 0090-00398 ELECTRICAL HDPVD 300
capitolareatech Used - $2,995.95 0 Jul/03/21 Mar/16/23
Description: Applied Materials (AMAT) 0090-00398 ELECTRICAL HDPVD 300
capitolareatech Used - $399.95 1 Aug/15/21 Sep/15/22
Description: Applied Materials (AMAT) 0600-01076 FAN PACK 300 CFM 19" X 3.5" X 8" 115VA
j316gallery Used - $1,500.00 0 Sep/30/21 Feb/11/22
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
j316gallery Used - $4,468.00 1 Mar/07/22 Mar/07/23
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
prism_electronics12 Used - $4,499.99 0 Mar/15/22 Mar/22/22
Description: AMAT APPLIED MATERIALS 0010-04941 MAGNET ASSEMBLY SIP-CU 300
farmoninc Used - $4,500.00 0 Apr/21/22 Aug/25/22
Description: AMAT 0100-76113 PCB ASSY, INTERLOCK SELECT 300 GEN RACK, 105151
j316gallery Used - $4,500.00 0 Apr/29/22 Dec/19/22
Description: 27878 NOVELLUS CASCADE CONTROLS CU, ASSY, BOX, CCHK, 50A, 300 MM 02-288245-00
j316gallery Used - $4,800.00 0 Apr/29/22 Dec/19/22
Description: 27877 NOVELLUS CASCADE CONTROLS CU, ASSY, BOX, CCHK, 50A, 300 MM 02-288245-00
j316gallery Used - $450.00 0 May/04/22 Jan/04/23
Description: 27828 NOVELLUS SHIELD, BTM FRONT, ARCS, PVD 300 17-140885-00
j316gallery Used - $450.00 0 May/04/22 Jan/04/23
Description: 27827 NOVELLUS SHIELD, BOTTOM FRONT, SST, 300 AS 17-291689-01
athomemarket Used - $49.79 0 May/20/22 Jul/18/23
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
powersell007 Used - $599.00 0 Jul/12/22 May/18/23
Description: APPLIED MATERIALS 0022-12481 BLOCKER PLATE, SILANE, 300 TWIN AMAT
visionsemi Used - $850.00 0 Aug/02/22 Feb/02/23
Description: AMAT 0190-10208 APPLIED MATERIALS 300 mm PCB BOARD ASSY REV 002
getspares.com_sparesllc09 Used - $10,500.00 0 Sep/27/22 May/06/24
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
j316gallery Used - $250.00 0 Oct/05/22 Jan/04/23
Description: 29939 APPLIED MATERIALS PCB ASSY, HEAD PNEU CTLR BD, UPA PCB, 300 MM 0100-00946
mmabr1999 Used - $2,695.00 0 Oct/09/22 May/03/23
Description: 0190-24854 APPLIED MATERIALS/MKS AMAT REV1.8 G4 PLUS 4 -PORT UPA 300 MM REFL
pyreneescollections Used - $21.65 0 Oct/11/22 Oct/11/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
filateliadesimoni Used - $21.65 0 Oct/22/22 Oct/22/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
recortitos Used - $21.65 0 Oct/24/22 Oct/24/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
napoleon155 Used - $21.65 0 Oct/29/22 Oct/29/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
europlantsvivai Used - $2.99 0 Nov/19/22 Nov/19/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
j316gallery Used - $550.00 1 Nov/21/22 Nov/28/22
Description: 30253 NOVELLUS COVER PLATE CLAMPABLE HDP 300 15-127251-01
voltapaginanet Used - $3.99 0 Nov/29/22 Nov/30/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
079gabriel2005 Used - $21.65 0 Dec/05/22 Dec/05/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
fma16000 Used - $21.65 0 Dec/08/22 Dec/08/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
giftwearonline Used - $21.65 0 Dec/18/22 Dec/18/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
giftwearonline Used - $23.50 0 Dec/18/22 Dec/18/22
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
napo12 Used - $21.65 0 Dec/19/22 Dec/19/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
onestopbuys Used - $23.50 0 Jan/12/23 Jan/12/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
mppatrick Used - $21.65 0 Jan/14/23 Jan/14/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
ramix-part NEW - $275.00 0 Jan/31/23 Feb/09/23
Description: Applied materials 0140-26895 HARNESS ASSY L-DOOR ADAPTOR ,300 CENTURA 4.0
zartons Used - $21.65 0 Feb/10/23 Feb/10/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
avoneverywhere Used - $23.50 0 Feb/26/23 Feb/27/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
eleganthairextensions Used - $21.65 0 Feb/26/23 Feb/26/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
ledamoiseau Used - $21.65 0 Mar/05/23 Mar/05/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
ledamoiseau Used - $23.50 0 Mar/05/23 Mar/05/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
papyrus10 Used - $21.65 0 Mar/12/23 Mar/13/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
papyrus10 Used - $23.50 0 Mar/12/23 Mar/13/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
punki64 Used - $21.65 0 Mar/20/23 Mar/20/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
avro66 Used - $599.00 0 Mar/21/23 Apr/21/23
Description: APW McLean UES17H115S29 Fantray, AMAT 0190-70066, 300 CFM FREE SHIPPING!!
en.avant.les.histoires Used - $6,300.00 0 Mar/25/23 Mar/25/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
getspares.com_sparesllc09 Used - $1,880.25 0 Mar/27/23 May/18/23
Description: 0050-27876 / WELDMENT,BYPASS,CELL SUPPLY - ECP 300 (BR-3-42716-2-01) / AMAT
astrade2012 Used - $399.00 1 Mar/31/23 Sep/21/23
Description: AMAT APPLIED MATERIALS 0040-50657 BASE WRIST 300 MM ROBOT LCF+NON-PLT
rodagon88 Used - $21.65 0 Apr/01/23 Apr/01/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
bo19531 Used - $3.74 0 Apr/02/23 Apr/03/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
bo19531 Used - $2.99 0 Apr/02/23 Apr/03/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
semitec-69 Used - $2,700.00 0 Apr/06/23 Apr/07/23
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
semitec-69 Used - $2,430.00 0 Apr/08/23 May/04/23
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
jtechsemi Used - $18,000.00 0 Apr/14/23 Feb/28/24
Description: Applied Materials 0010-14300 P4 MAGNET ASSEMBLY, SIP ENCORE CU II 300 AMAT
avro66 Used - $599.00 0 Apr/25/23 Jul/24/23
Description: APW McLean UES17H115S29 Fantray, AMAT 0190-70066, 300 CFM FREE SHIPPING!!
katiil3 Used - $449.00 1 Apr/26/23 Nov/26/23
Description: APPLIED MATERIALS CBL ASSY,PWR HEAT EXCH XFMR,ENDURA 300 0150-06693
mmabr1999 NEW - $2,500.00 1 May/25/23 Jul/23/24
Description: APPLIED MATERIALS/MKS , 0190- 24854 Plus 4-PORT UPA 300 MM REFL
ssarah712 Used - $23.50 0 May/28/23 May/29/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
aincoln0 Used - $21.65 0 May/28/23 May/28/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
generalbonaparte Used - $14.28 0 Jun/04/23 Jun/04/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
generalbonaparte Used - $13.71 0 Jun/04/23 Jun/04/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
hhulo Used - $21.65 0 Jun/11/23 Jun/11/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
outletmp3 Used - $21.65 0 Jun/24/23 Jun/24/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
outletmp3 Used - $23.50 0 Jun/24/23 Jun/24/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT