[click to login]
WSEMI


TAGS > 2

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
usedeqsales
[view on eBay]
Used 3
in stock
$212.11
Description: Lam Research 740-0035-01 G8 Graphite Gasket Lot of 2 New Surplus
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: 2 Novellus 63-266700-00 Brooks Mapper assy, ATR7, brooks rear.
farmoninc
[view on eBay]
NEW 5
in stock
$950.00
Description: NEW Brooks 002-8904-02 End effector assy, 2 piece, 13.08, Novellus 20-155073-00
farmoninc
[view on eBay]
Used 1
in stock
$425.00
Description: 2 NEW VAT 78175 -R1 KIT, Gate, with seal, Novellus 63-254381-00
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: AMAT 0140-02558 Harness Assy, Mainframe 2 SRD, Pneumatic, IECP
farmoninc
[view on eBay]
NEW 3
in stock
$500.00
Description: 2 new AMAT 0090-03292 Sensor Assy, Megasonic, Hi Level Sensor
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 2 NEW AMAT 0020-33052 Bearing retainer
farmoninc
[view on eBay]
Used 1
in stock
$20.00
Description: 2 AMAT 1140-01318 PWRDP DC/DC conv, +24vin, +/- 15Vout 1W SIP
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: 2 AMAT 0150-90354 Fibre optic ground PDU
farmoninc
[view on eBay]
NEW 1
in stock
$190.00
Description: 2 NEW AMAT 0040-95129 Extraction pipe assy, Cryo exhaust
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 NEW AMAT 0020-28225 shuttle shaft rotation assemblies
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: 2 New AMAT 0140-78192 Cable HD SWP 1,2,3,4 encoder MTR pane
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 New, AMAT 0150-01125 cable assy. cell cont./plating PS INTC
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 New, AMAT 0150-03730 cable assy. RF rack intergrated system, RTP
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 2 new AMAT 0040-20285 Adapter, press gauges, wide body chamber
farmoninc
[view on eBay]
Used 1
in stock
$125.00
Description: 2 AMAT 0150-04117 ribbon cable
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 2 AMAT 0140-05866 Producer E left chamber cable harness assy, new
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 New AMAT 0150-20778 harness cable KTEC electronics
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 AMAT 0150-01125 cable assy cell cont./Plating PS INTC.
farmoninc
[view on eBay]
NEW 1
in stock
$600.00
Description: 2 New AMAT 0150-35641 DPS centura cable Assy, 24VAC rear lamp
farmoninc
[view on eBay]
Used 1
in stock
$170.00
Description: 2 New AMAT 0020-28341 Clamp plate, 300MM PC XT hinge assembly
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 New AMAT 0150-00595 Cable Assy. Cell B INTLKS
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 New AMAT 0150-02415 cable assy. pump AC power, anneal control, Farmon 323210
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 NEW AMAT 0150-40170 cable assy. mainframe pump
usedeqsales
[view on eBay]
Used 1
in stock
$153.57
Description: AMAT Applied Materials 9240-04102 Cryo Interface Unit PX27A Lot of 2 Used
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 new AMAT 0140-77480 cable, robot, DC, K17 TO
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 new AMAT 0021-12533 REAR CORNER GUARD
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: 2 new AMAT 1400-01325 pressure sensor 0-1MPA 1/8PT 3wire 3m LG, SMC PSE520-T01
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 new AMAT 0010-00687 assy. tube clamp
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: 2 new AMAT 0150-01968 cable assy. AC fail 50 FT umbilical, 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 new AMAT 3700-01019 ORING ID 2.600 CSD .210 SILICNS595
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: 2 new AMAT 0090-36216 DPS CENTURA, ELECT ASSY, RF CALIB INTL ,
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 new AMAT 3700-01029 ORING, O-RING
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 new AMAT 3700-90156 O-RING ID 368 CSD 6.99
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 2 AMAT 0021-04475 Bracket Sensor Mounting, TICL4 TI/TIN 323516
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0020-38318 floor cover, pos c, asp #2
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 AMAT 3310-01040 Pressure Gauge 0-30 PSI 2"0 Weld Male 1/4 VCR, 323472
farmoninc
[view on eBay]
NEW 1
in stock
$30.00
Description: 2 AMAT 1270-00607 SW PB Pilot Light Operation Guard Red 22, 323539
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 0021-77478 Bracket Flow Switch, 323581
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 new AMAT 1400-01402 sensor hall effect PNP-sourcing 3/4" BORE
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 new AMAT 0020-96150 block drive
farmoninc
[view on eBay]
NEW 1
in stock
$25.00
Description: 10 AMAT 0720-02394 Conn HSG 2 POS 22AWG .1 CTR 94V-2 323622
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 AMAT 0020-35084 Screen Roughing Line Transfer Chamber, 323610
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 1270-01054 SW PB MOM SPST NO RED BTN SW 323607
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 2 AMAT 1270-01625 SW Press 75-100PSIG 0.1-1A@VDC 9/16 323608
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: 2 AMAT 0200-02746 Isolator Top TC-AC Conn HT Cathode DP, 323494
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 new AMAT 0190-77356 cable CCD INTERFACE, 18FT NANO9000I
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 new AMAT 0150-00181 cable MFC ch.1, chamber anneal
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 new AMAT 0140-01923 H/A, AC PWR, CV OUTLETS, 2W 300MM FI
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 new AMAT 0020-06098 water shield 125mm grip
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 1200-01512 Relay CVTOR AC CONT 2 NO/2-NC 24 VAC Coil, 323624
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0140-20580 harness assy. signal lamp disable 2
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 new AMAT 0021-07266 power upper reflector 200mm pre- clean
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 New AMAT 0150-00180 cable extension control anneal
farmoninc
[view on eBay]
NEW 1
in stock
$90.00
Description: 2 new AMAT 3700-01851 ORING ID 4.234 CSD .139 75 DURO BLK
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0090-36188 switch RF interlock source 2
farmoninc
[view on eBay]
NEW 1
in stock
$60.00
Description: 2 new AMAT 3220-00025 CPLG JOINT FLOATING 25MM BORE M10 THD 49
farmoninc
[view on eBay]
Used 1
in stock
$5,000.00
Description: 2 Novellus 02-130754-00 CU, 196MM, Ceramic Ring/Bush
grandbirdnet
[view on eBay]
NEW 1
in stock
$176.00
Description: AMAT 0090-77180 GRIPPER SENSOR HARNESS, ARM 2, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$197.00
Description: AMAT 0020-77398 ARM 2, LOADCUP, NEW
grandbirdnet
[view on eBay]
NEW 25
in stock
$300.00
Description: AMAT 0190-16688 ETHERNET SWITCH 5-PORT, 10/100MBPS, NEW, LOT 2
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0150-04642 Cable assymbely, 2 phase driver signal I/F 75
grandbirdnet
[view on eBay]
Used 2
in stock
$180.00
Description: AMAT 0140-77050 Pad conditioner 2,MP5-DVRS 12 & 13, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$430.00
Description: AMAT 0140-78294 CABLE, PWR, PLATEN 2, LOTO BOX-MIRRA BLK, WORKING
grandbirdnet
[view on eBay]
Used 2
in stock
$75.00
Description: AMAT 0140-78297 CABLE, PWR, PAD COND 2, LOT BOX MIIRA-BL, WORKING
farmoninc
[view on eBay]
Used 1
in stock
$2,400.00
Description: 2 AMAT 0020-42290 Centura, Endura ROBOT Blade 8 inch Tight Tol
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: SMC Cold fluid outlet and return BES-7192-10 AMAT 0190-18329 #2
farmoninc
[view on eBay]
Used 1
in stock
$790.00
Description: 2 AMAT 0200-09629 cover Quartz ring, 150mm, Poly, 162mm ID, Etch chamber
farmoninc
[view on eBay]
NEW 1
in stock
$4.00
Description: 2 AMAT 3810-01067 STUDSWVL SLOT 10-32 X 1.0"TL X 5/8" THD-L
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 AMAT 1200-00954 Schneider Telemecanique Off Delay Timer Relay
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: 2 AMAT 3870-05744 Ham-Let HM20-4VKLO-GF4 Diaphragm Valve
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 AMAT 3870-06258 Ham-Let Valve, 3-Port, Pneu/Man, 1-1/8 SM, Loto,
farmoninc
[view on eBay]
NEW 1
in stock
$560.00
Description: 2 AMAT 3870-05744 Ham-Let HM20-4VKLO-GF4 Diaphragm Valve
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 NEW AMAT 1350-00492 Setra 2231025PABW2CD1M Pressure Transducer
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: 2 AMAT 3870-06063 Valve Assy, Pneumatic Diaphragm N/O 3Port 1-1/8" Surface Mount
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: 2 AMAT 3870-03334 Pneumatic NC Valve Pneumatic Diaphragm Nickel Co burn Alloy
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: 2 AMAT 0150-36727 Cable Assembeley
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 AMAT 3870-00685 Veriflo SM955LPNCS11 Surface Mount Diaphragm Valve
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: 5 Georg Fischer 198-150-778 AMAT 3870-02501 Valve Ball 2 Way Pneumatic
farmoninc
[view on eBay]
Used 1
in stock
$790.00
Description: 2 AMAT 0190-38053 SMC SS5V1-DUQ02376 12 pos. manfold SV1A-5FZ-X15 SV1300-5FZ-X15
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0040-46549 Disk Mount, Lift Fixture, DPN Chamber, 2, 326146
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 2 AMAT 1120-01043 Pen Light Top - Assy S - Bus Ipx
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 0242-76912 KIT, CB, PVD CH. SYSTEM AC 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$190.00
Description: 2 NEW AMAT 0140-09337 Harness overfill inter locks
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 AMAT 0020-05781 Lock Rod, Lower, Pump Door
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 2 AMAT 0010-10013 Assembly 18" Counterbalance Arm System
farmoninc
[view on eBay]
NEW 1
in stock
$2,200.00
Description: 2 NEW AMAT 0020-97974 Blade 125mm Saw-Tooth, 125 mm
farmoninc
[view on eBay]
Used 1
in stock
$900.00
Description: 2 AMAT 0020-21311 Blocker Plate, Center, Retrofit, 4.0 FI
bntyhunter07
[view on eBay]
Used 2
in stock
$343.72
Description: SMC LAM 772-480347-201 8 valve 2 bank Pneumatic Manifold SYJ314M-5L0Z
farmoninc
[view on eBay]
NEW 4
in stock
$550.00
Description: 2 AMAT 3300-11493 Fitting, Bulkhead 1-1/2 Tube
farmoninc
[view on eBay]
NEW 1
in stock
$5,000.00
Description: 2 NEW AMAT 3890-00020 Mobilizer Wheel Jack Left for Reflexion
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0680-90159 Circuit Breaker 2 Pole 3.DA
farmoninc
[view on eBay]
NEW 1
in stock
$900.00
Description: 2 NEW AMAT 0190-01593 TOP BASE, TL WATER SYSTEM
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0200-09273 RING INNER 100MM 94MM, 2 FLT 90 SHADOW, S105000-000049
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 AMAT 0040-01098 Plate, Lit, Sensor INTCNT Center Strip, T
farmoninc
[view on eBay]
NEW 1
in stock
$1,700.00
Description: 2 AMAT 0020-21945 Pocket Plate, 8" PRECLEAN
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 AMAT 0240-00781 PlK, FLATFINDER ROLLERS, EASE INDEXE
farmoninc
[view on eBay]
NEW 1
in stock
$1,900.00
Description: 2 AMAT 0020-30496 FLAT PEDESTAL 150MM
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 2 AMAT 0021-20140 Clamp Ring, 6" JMF, SST, WITH CAPPED
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 AMAT 0020-94587 Capping, Concertina Door
grandbirdnet
[view on eBay]
Used 1
in stock
$140.00
Description: AMAT 0090-77180 GRIPPER SENSOR HARNESS, ARM 2, USED
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 0190-29429 Adapter Plate Assy SPL
farmoninc
[view on eBay]
NEW 1
in stock
$600.00
Description: 2 AMAT 3140-00056 Cover, 1" Shaft
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 AMAT 1270-01417 SW Press SPDT 5 PSI 1/4 VCO MALE 9/16-18 WASCO
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 AMAT 0200-00746 Plate Orifice Sel Ox Inject (28 Holes)
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: 2 AMAT 0100-00720 PCB Assembly, SIP Magnet Rotation Dir. S
farmoninc
[view on eBay]
NEW 3
in stock
$390.00
Description: AMAT 0010-76109 PCB ASSY, Status Display, MF Control #2
farmoninc
[view on eBay]
NEW 1
in stock
$1,950.00
Description: 2 New AMAT 0190-01959 Motor, Servo 300W W/Brake Sanyo Denko P50B07030DCS00M
farmoninc
[view on eBay]
NEW 1
in stock
$220.00
Description: 2 AMAT 0020-33056 Bearing Retainer O 2-10968000-391
farmoninc
[view on eBay]
NEW 1
in stock
$220.00
Description: 2 AMAT 0040-32065 Conical Reducer, Threaded Fitting, RPS
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 0020-82831 ARC CHMBR, REMOTE INSULATED PFS
farmoninc
[view on eBay]
NEW 1
in stock
$220.00
Description: 2 AMAT 3860-01669 TBG Coiled 3/8OD x 8' EL PFA
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 5 AMAT 0660-01816 PCB Desk Controller Series 2
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 2 AMAT 0021-06578 Clamp, Spindle, Lift, Rot Head - ECP
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: 2 AMAT 4060-00414 MANF STA PNEU ACTUATORS, INLETS:1/4 & 3/
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 AMAT 0021-79130 Finger, SRT, 300MM W.B.
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 NEW AMAT 0020-04878 MRS FIXED SLIT
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: 2 AMAT 0040-32263 ESC Assembly, Chuck 200MM, Notch 327101
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 1270-00380 SW Assembly ST1,2 Mapping Interlock 200MM FA
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 AMAT 0050-27568 Hose Assembly, Facility Water Supply RMTCLN
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: 2 AMAT 0040-20342 Rough Line, Centura Etch Chamber, Foreline KF25 Vacuum Line
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: 2 AMAT 1270-01250 SW Press SPDT 1A RES 28V DC 75 TORR A
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: 2 AMAT 0020-99048 Electrode E6
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,700.00
Description: AMAT 0270-00742 PUSHROD, 300MM HDP-CVD, ULTIMA, LOT 2, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0270-18001 PRESS, LOCATING PIN, LOT 2, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 2 AMAT 1200-50053 (AMJ) Relay Cntor Mag 3P, 220VAC
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 AMAT 0020-36732 Arm, Right Hinge, Lamp Housing
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0190-11964 Gasline, Heated Delivery Line #2, 200MM T
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 2 AMAT 0021-07147 Flag, Unclamp Detect, 300MM Swll Door
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 NEW AMAT 1200-00101 RC COIL SURGE SUPRESSER 24/50VAC/DC
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 NEW AMAT 0140-00974 Harness Driver Enclousure 300MM centura
farmoninc
[view on eBay]
Used 1
in stock
$1,950.00
Description: 2 AMAT 3870-01549 Valve Injection Control Retrofit With heat
grandbirdnet
[view on eBay]
Used 1
in stock
$180.00
Description: AMAT 0140-77133 HD ROT 2 PWR, DVR-CNTRL, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$117.00
Description: AMAT 0140-77106 PLATEN 2,PWR DVR-CNTRL BKHD, USED
farmoninc
[view on eBay]
NEW 1
in stock
$1,000.00
Description: 2 NEW AMAT 0500-01129 MAIN CENTER ASSY
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: 2 AMAT 0020-75788 Washer V-Groove Slit Valve
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 NEW AMAT 1400-01286 Sensor raw TFE RTD spare for 0190-77171, 327505
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 NEW AMAT 1400-01286 Sensor raw TFE RTD spare for 0190-77171, 327530
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0040-40111 Assy, 2 Slot Tray, 200mm, Non-Enp
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: 2 NEW AMAT 1350-01193 XDCR PRESS 0-1MPA 1-5VOUT 6MM RDCR PORT, PSE510-R06, SMC
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 NEW AMAT 0200-00472 PAD, REAR RIGHT, BLADE, UNIVERSAL, 200mm
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 2 AMAT 0021-13654 INSULATOR BUS
farmoninc
[view on eBay]
NEW 1
in stock
$195.00
Description: 2 AMAT 0040-42646 POCKET, MONITOR
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 AMAT 0021-35712 BOX SELECTOR SWITCH, DOME LIFT, DPS
farmoninc
[view on eBay]
NEW 1
in stock
$30.00
Description: 2 NEW AMAT 0021-06584 Flag, sensor, home, lift, ROT Head-ECP
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: 2 AMAT 0240-09009 C.H. Top 100m
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT 0020-78389 Clamp Outer, 6" Titan 2 Head
farmoninc
[view on eBay]
NEW 3
in stock
$50.00
Description: 2 AMAT 0021-02176 Bracket, Interlock Cable Extension MTG, Mounting
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 AMAT 3060-90081 Bush Bearing Self Lube, PCI
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 1270-02908 Float Switch, Megasonics
farmoninc
[view on eBay]
NEW 1
in stock
$900.00
Description: 2 AMAT 0040-08726 Connector Assembly ESC 300MM E-Max
usedeqsales
[view on eBay]
Used 34
in stock
$204.07
Description: Lam Research 810-802902-006 Motherboard Node 2 PM PCB 710-802902-006 New
peggiegrady
[view on eBay]
Used 1
in stock
$39.99
Description: APPLIED MATERIAL 0050-50030 FLANGE REV #1 LOT OF 2
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: Qty of 16 AMAT 3090-01116 Bolt, skt ltd, 1/2-20 x 1 1/2 L, Hex STL, 2
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 3160-00079 Cover, Drain, S2
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 AMAT 0150-90551 CA 117.P8/14G.P3
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 AMAT 0020-79899 DDF3 Hub Frame
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 AMAT 0020-75907 Hub, Lamp, Feedthrough
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 AMAT 0020-42223 Shoe, Front, 8" Notch
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 AMAT 0020-41318 COVER,GAS FEED W/PORT LINER,CGF
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 1210-90400 Resistor, 25W 5%
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 0021-24583 Spacer, endpoint top electrode, source, cleaned
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 AMAT 0020-38725 Slide, Female, RF Match, Fac Box, DPS
farmoninc
[view on eBay]
NEW 1
in stock
$220.00
Description: 2 Sensorik SK1-4-M12, AMAT 1400-00149 SNSR Capacitive Proximity 1-4MM DIST PNP
farmoninc
[view on eBay]
NEW 1
in stock
$220.00
Description: 2 MRC 1910SFFP H501, AMAT 3060-01181 BRG Ball 50MM-ID X 72MM - OD X 12MM
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 AMAT 0090-01496 Sensor Assy Inline Sensor Producer SE
farmoninc
[view on eBay]
NEW 1
in stock
$25.00
Description: 2 AMAT 3060-90325 Needle Roller Bearing BSL RNA4900
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 AMAT 0150-90348 Fiber Optic Wafer Loader CTRL
farmoninc
[view on eBay]
Used 5
in stock
$150.00
Description: AMAT 0150-10078 Pressure Switch Cable 2 WXZ, 397921
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 AMAT 0020-04894 Case G2 Series Protection Res.
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: 2 AMAT 0020-25365 LIFT STOP, UPPER WAFER LIFT, XP DEGAS
grandbirdnet
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0140-11549 HARNESS ASSY MFC PANEL 2 , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 AMAT 3200-01183 Cover, Map Dev. Back
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-22385 Cable AC Box To Wafer Detect 2 PCB
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 AMAT 0020-17792 MOUTING PLATE, DC CONVERTER, PRODUCER F
farmoninc
[view on eBay]
Used 1
in stock
$290.00
Description: 2 AMAT 0150-00834 CABLE ASSY, 24VDC SERVICE LIGHT WAFER, 399433
farmoninc
[view on eBay]
Used 1
in stock
$225.00
Description: 2 AMAT 0040-45623 Mounting Plate
farmoninc
[view on eBay]
NEW 1
in stock
$25.00
Description: 2 AMAT 1200-00146 Cover Snap-On For Solid State Relay Cutler-Hammer E45RSSRC SSR
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: 2 AMAT 0020-06059 GRIP, COLLET
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 AMAT 0150-00842 CABLE ASSY, HLIFT MOTOR POWER 399617
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0021-08852 Gas Spring Long Mounting Stud, EBR LID 2
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 AMAT 0150-00842 Cable Assy., HLIFT Motor Power, Assembly 399710
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 AMAT 0010-04047 Hose Cooling MOD Return 200MM Preclean Parker Parflex
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: 2 AMAT 0021-10908 WEIGHT CLAMPING CASSETTE CORROSIC
farmoninc
[view on eBay]
NEW 1
in stock
$3,000.00
Description: 2 AMAT 0190-10603 SPEC, LINEAR GUIDE, KAWASAKI CMP FI RO
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 AMAT 0020-07976 BRACKET, PHOTO HELIX GAUGE, 300MM HDPCVD, 399763
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: 2 AMAT 0040-96196 MANIFOLD 160 PIPE SHORT
farmoninc
[view on eBay]
NEW 1
in stock
$695.00
Description: 2 AMAT 0040-32252 LUGGER, LID, RPS
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 0040-78051 CONDUIT UTILITIES 300MM WAFER EXCHANGER
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 AMAT 0190-15148 Flow Controller
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: 2 AMAT 0021-15799 Filler Plate
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 AMAT 0020-83592 INSULATOR
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 NEW AMAT 0021-18782 PLATE ADAPTER LL TO XFER PRODUCER SE
farmoninc
[view on eBay]
NEW 1
in stock
$10.00
Description: 2 AMAT 3700-01174 ORING ID 1.174 CSD
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 NEW AMAT 0021-02488 CLAMP, WINDOW, DXZ VIEW LID
farmoninc
[view on eBay]
Used 1
in stock
$100.00
Description: 2 AMAT 0190-15035 Kit, Fuse, Kawasaki A3 Robot 300MM FI, 49094-1365
grandbirdnet
[view on eBay]
NEW 7
in stock
$1,500.00
Description: AMAT 0200-00404 ISOLATOR PUMPING RING, BOTTOM PRODUCER 2, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 AMAT 1230-01212 Mount Kit Mini-Cap 16X7MM 058-070 401083
grandbirdnet
[view on eBay]
Used 85
in stock
$550.00
Description: AMAT 0021-78095 MANIFOLD 2 SERIAS VALVE, UPA ASSY , USED
farmoninc
[view on eBay]
NEW 1
in stock
$2,250.00
Description: AMAT 0010-20418 Assy, Lift Pedestal Preclean II, Assembly 2, 402105
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: 2 AMAT 0021-10061 PANEL, OZONATOR ENCLOSURE 402319
auctionrus
[view on eBay]
NEW 6
in stock
$95.00
Description: AMAT 0021-23123 Pin Shield #2, PPR, IECP 402532
auctionrus
[view on eBay]
NEW 2
in stock
$75.00
Description: 2 AMAT 1270-01681 SQ NON-ILLUM SELECT 2POS NC W/KNOB IDEC ASW201-116 402661
auctionrus
[view on eBay]
Used 1
in stock
$250.00
Description: 2 AMAT 3300-06617 FTG TBG ADPTR 3/4T X 1/2MNPT FLARE PFA ASSY 0240-80793 402786
auctionrus
[view on eBay]
Used 1
in stock
$395.00
Description: AMAT 3800-01102 Regulator, Press Air -0- 100PSI, 2 Port, 1/4" FCE, 402759
auctionrus
[view on eBay]
Used 1
in stock
$395.00
Description: AMAT 3800-01102 Regulator, Press Air -0- 100PSI, 2 Port, 1/4" FCE, 402758
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: 2 AMAT 3700-01683 CO-Seal, NW32/NW40, 57mmX3.9mmX7mm, Flange Seal, 402751
auctionrus
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 AMAT 0020-06315 QUARTZ 3.6" 8330 VIEW PORT WINDOW 402803
equipplus
[view on eBay]
Used 5
in stock
$69.00
Description: Applied Materials 0051-05897 Rev 2 Fitting,301420,4713 01198,New,AMAT&3748
auctionrus
[view on eBay]
Used 1
in stock
$250.00
Description: 2 AMAT 0020-22378 FINGER GUARD 13 EXT PRECLEAN 6" JMF Farmon ID 405890
auctionrus
[view on eBay]
NEW 1
in stock
$40.00
Description: 2 AMAT 3700-01624 Seal CTR, Ring Assembly, NW40, Oring SST 405895
auctionrus
[view on eBay]
NEW 1
in stock
$375.00
Description: AMAT 0190-15830 505628A1-CEL SUBASSY COMPONENT ADAPTER, 2 PORT 1/4 405936
auctionrus
[view on eBay]
NEW 1
in stock
$275.00
Description: AMAT 3870-01288 Valve Sol, 2 Way, N024, VDC, 1/4 NPT, 406154
auctionrus
[view on eBay]
NEW 1
in stock
$70.00
Description: 2 AMAT 3300-07712 FTG Elbow, Male/Female Reducer 1/2 To 1/4T, PFA, 406309
auctionrus
[view on eBay]
NEW 1
in stock
$160.00
Description: 4 AMAT 0020-04435 Bracket, Lower, 2 in. Tube, 406441
auctionrus
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 AMAT 0021-06443 Trap, Inlet - Dual Cell, 406498
auctionrus
[view on eBay]
Used 1
in stock
$90.00
Description: 2 LAM 796-008976-003 Centering, NW100, Seal Assembly, SS/V, MKS, 406542
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 0040-98691 Earthing Blade Pin, 406548
auctionrus
[view on eBay]
NEW 1
in stock
$30.00
Description: 2 AMAT 0020-04523 Bushing-Flanged, Geneva Drive, 406551
auctionrus
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 AMAT 0190-70044 Valve, Solenoid, 1/16 Orifice 24 VDC Predyne EH3112-S29 406569
auctionrus
[view on eBay]
NEW 1
in stock
$75.00
Description: 2 AMAT 0020-19383 Finger, Flat 5", 406591
auctionrus
[view on eBay]
Used 1
in stock
$375.00
Description: 2 NOVELLUS 39-033375-00 RF CONNECTOR 406740
auctionrus
[view on eBay]
NEW 1
in stock
$375.00
Description: 2 AMAT 0020-01560 GUIDE DRAWER 407116
auctionrus
[view on eBay]
NEW 1
in stock
$562.50
Description: AMAT 0140-77109 PAD COND 2 POWER CONTROL-MNF 407138
auctionrus
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0190-05888 HTR GL CHB 0050-51971 PART 2 TICL4 PRODU (HEATER JACKET). 407298
auctionrus
[view on eBay]
NEW 1
in stock
$412.50
Description: 2 AMAT 0150-01996 CABLE ASSY SMIF ASYST Crossing Automation WB LLB 407312
auctionrus
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 0020-81892 BRACKET CONNECTOR 407311
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$33.00
Description: O-Ring MCD 2-384-V75 Novellus 27-402722-00 Viton PKG 2
auctionrus
[view on eBay]
Used 1
in stock
$150.00
Description: 2 AMAT 0190-04827 CDO, UNION, 1-1/2 SOC , 214-24-026, 409092
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0021-06069 Gasket, C - ESC, 2 HE Zone, SNNF, 200mm, M, 409225
auctionrus
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0021-76547 Bar, Bus, Load Phase 2, Main CB, Gen Rac, 409639
usedeqsales
[view on eBay]
Used 6
in stock
$159.15
Description: Novellus Systems AMV-GPT3-SNSR RF Module 34-170141-00 14427 Lot of 2 Working
auctionrus
[view on eBay]
NEW 1
in stock
$10.00
Description: 2 AMAT 3690-01047 Screw, Cap FLT, HD 6-32 X 3/8L, Slot SST, 410082
auctionrus
[view on eBay]
NEW 1
in stock
$120.00
Description: 2 AMAT 3870-00342 Mechanical Valve, Multicolor Switch, SMC NVM130-N01-33, 410126
auctionrus
[view on eBay]
NEW 6
in stock
$325.00
Description: AMAT 0240-03510 KIT, 2 LEDs FOR SMIF FABS, 410890
auctionrus
[view on eBay]
NEW 6
in stock
$325.00
Description: AMAT 0240-03510 KIT, 2 LEDS FOR SMIF FABS, 411033
auctionrus
[view on eBay]
NEW 1
in stock
$487.50
Description: AMAT 0190-15890 Assembly, Heater, SLD, SEG 2, Gas 2, Etch, 411119
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 0020-10241 Rod Locking Mech Remote AC, 411118
auctionrus
[view on eBay]
NEW 1
in stock
$412.50
Description: 2 AMAT 0140-36434 H/A, SMIF-Assyst Cassette Load/Unload
auctionrus
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0150-95208 CFA X13A.P4/SMIF ARM 2. 411157
auctionrus
[view on eBay]
NEW 2
in stock
$130.00
Description: AMAT 0040-54907 Cover Front right 2 chem Direct mix
auctionrus
[view on eBay]
NEW 1
in stock
$25.00
Description: 2 AMAT 0680-00614 CB THERMAL 1 - POLE OVER - CURRENT 5A 250Vac
auctionrus
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0100-76109 PCB ASSY, STATUS DISPLAY, MF CNTRL #2. 411449
auctionrus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0100-00133 PCB Assembly, Door Interconnect 2 Ease In, 411572
usedeqsales
[view on eBay]
Used 2
in stock
$162.15
Description: Novellus Systems 03-164888-00 DC/DC Converter Board PCB Rev. B Lot of 2 Working
usedeqsales
[view on eBay]
Used 2
in stock
$162.15
Description: Novellus Systems 03-164888-00 DC/DC Converter Board PCB Rev. A Lot of 2 Working
auctionrus
[view on eBay]
NEW 1
in stock
$60.00
Description: 12 AMAT 3920-00691 XAMPER-RESISTANT HEX L-KEY, 1/8" HEX, 2
auctionrus
[view on eBay]
NEW 2
in stock
$150.00
Description: AMAT 0150-22385 Rev.P1, Cable, AC Box to Wafer Detect 2 PCB, Assembly. 413472
f2d-express
[view on eBay]
Used 1
in stock
$50.00
Description: Applied Materials Braided Flex Hose 3/4", 2' Length AMAT -- 3300-01089 -- New
ecomicron
[view on eBay]
Used 1
in stock
$1,000.00
Description: 0020-21480, Applied Materials, CLAMPING RING 5" TIW SEMI MAJOR X 2 MINO
auctionrus
[view on eBay]
Used 1
in stock
$25.00
Description: AMAT 1390-01156 Cable Harness Assy SHLD 22AWG 2 COND 300V PIC/CHR VIN, 413715
auctionrus
[view on eBay]
NEW 1
in stock
$10.00
Description: 2 AMAT 0150-05137, Cable, Ethernet 12" Hub, 2 Port 6 to J4 C. 413628
auctionrus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0140-77652, Harness, Tubing Bundle 2. 413623
auctionrus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0150-01497, Cable Assembly, SMIF AR Port 2 Wafer LDR DC. 413807
auctionrus
[view on eBay]
NEW 1
in stock
$375.00
Description: AMAT 0140-01775 Harness Assy, Mainframe Sensors 2, Cable, 413868
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-02577 Cable Assembly, Laser Cover 2 Magnetic S, Harness, 413945
auctionrus
[view on eBay]
NEW 4
in stock
$325.00
Description: AMAT 0240-03510, Kit, 2 Leds for SMIF fabs, 413958
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-02577, Harness, Cable Assembly, Laser Cover 2, Interlock. 413979
auctionrus
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0140-77734 Cable, Cleaner EMO 2 414006
auctionrus
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0140-01404 Harness Etch - 300MM Gen 1, 2 DPS 410730
auctionrus
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0140-01404 Harness Etch - 300MM Gen 1, 2 DPS, 414111
auctionrus
[view on eBay]
Used 2
in stock
$300.00
Description: AMAT 0140-01404 Harness Etch - 300MM Gen 1, 2 DPS, 414109
auctionrus
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 1270-00380 SW Assy, ST1, 2, Mapping INterlock, 200MM FA, Cable, 414127
auctionrus
[view on eBay]
Used 2
in stock
$125.00
Description: AMAT 0150-12019 Cable Assy, RS232 ECMP Power Supply 2, CMP L, Harness, 414212
auctionrus
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 1270-00380, 400CR, H1417-0, SW Assembly, ST 1, 2 Mapping Interlock. 414419
auctionrus
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 1270-00380, 400CR, H1417-0, SW Assembly, ST 1, 2 Mapping Interlock. 414418
auctionrus
[view on eBay]
Used 7
in stock
$125.00
Description: AMAT 0140-10187, Cable, Harness Assembly, 2 Contactor, ADA. 414440
auctionrus
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 1270-00380, 400CR, H1417-0, SW Assembly, ST 1, 2 Mapping Interlock. 414420
auctionrus
[view on eBay]
Used 1
in stock
$95.00
Description: AMAT 0140-00651 Cable, Harness Assembly, 2 Lift Home/Brake 414502
auctionrus
[view on eBay]
Used 1
in stock
$25.00
Description: 2 AMAT 0020-15934, SCR FLAT 10-32 X .448L Slotted NI. 414533
ecomicron
[view on eBay]
NEW 1
in stock
$900.00
Description: 0200-36635, AMAT, SHADOW RING QUARTZ, 200MM NOTCH (2), LC
auctionrus
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 LAM Research (LAM) 853-017480-002 Assembly Vacuum Switch Torr 100 414745
auctionrus
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0140-77010 Rev.A, Cable, Harness, PAD 2, MAINFBLKHD. 329163
ecomicron
[view on eBay]
NEW 2
in stock
$60.00
Description: 0021-19079, AMAT, COVER, PIN LIFT #2, PRODUCER SE
nissiglobal
[view on eBay]
Used 2
in stock
$30.79
Description: APPLIED MATERIALS 0620-01459 REV 000 CABLE ASSY DNET DROP (LOT OF 2)
f2d-express
[view on eBay]
Used 1
in stock
$25.00
Description: Applied Materials AMAT -- 0050-66191 -- (Lot of 2) New
ecomicron
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 3030-08683, AMAT, AERA, MFC D980C 1SLM NH3 1/4VCR MTL N/C 2
usedeqsales
[view on eBay]
Used 1
in stock
$155.16
Description: AMAT Applied Materials 0224-43876 Vacuum Tube 2 Inch NW40 Lot of 3 Used Working
usedeqsales
[view on eBay]
Used 7
in stock
$155.16
Description: AMAT Applied Materials 0040-36024 Vacuum Tube 5 Inch NW40 Lot of 2 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$205.16
Description: CTI-Cryogenics 8041617G007 Cryopump Helium CryoLine 2' AMAT 3400-01076 Working
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$2,800.00
Description: Applied Materials (AMAT) 0010-24584 Viewport Lid Endura 2 PreClean Chamber
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$49.50
Description: O-Ring (AMAT) 3700-01877 PKG 2 ID 9.225 CSD .210 VITON 75 DURO BRN
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$220.00
Description: OEM Part Applied Materails (AMAT) 3010-01021 ABRSV PAD WND PKG 2
gigabitpartsolutions
[view on eBay]
NEW 6
in stock
$60.50
Description: Hardware APPLIED MATERIAL (AMAT) 0021-18829 PLUG, NF3 CHANNEL, 300SE (2 per pkg)
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$27.50
Description: Gasket Novellus 19-149275-00 Gasket PKG 2
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$27.50
Description: LAM RESEARCH (LAM) 734-092561-283O-Ring 16.95 ID X .139 C/S, FSI PKG 2
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$66.00
Description: O-Ring Novellus 27-402813-00 O-RING PKG 2
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$53.75
Description: Applied Materails (AMAT) 0200-39287 RF ISOLATOR, MIC CLEAN 200MMST (LOT OF 2)
ecomicron
[view on eBay]
NEW 1
in stock
$250.00
Description: 0140-76625, AMAT, HARNESS ASSY CENTURA MSM PWR #2
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0100-76109 PCB Assy, Status Display MF Controller #2, 0130-76109, 329906
farmoninc
[view on eBay]
Used 1
in stock
$12,500.00
Description: AMAT 0010-37163 Throttle Valve Assy, 2 Phase, DPS Chamber, 329914
farmoninc
[view on eBay]
Used 1
in stock
$12,500.00
Description: AMAT 0010-37163 Throttle Valve Assy, 2 Phase, DPS Chamber, 329915
farmoninc
[view on eBay]
Used 1
in stock
$12,500.00
Description: AMAT 0010-37163 Throttle Valve Assy, 2 Phase, DPS Chamber, 405637
ecomicron
[view on eBay]
NEW 1
in stock
$3,000.00
Description: 0150-01412, AMAT, CABLE ASSY, 2 MHZ COAXIAL, 75 FT, REACTI
ecomicron
[view on eBay]
Used 1
in stock
$3,200.00
Description: 0150-35568, AMAT, C/A MAIN FRAME UMBILICAL #2, 55 FT
ecomicron
[view on eBay]
Used 1
in stock
$1,400.00
Description: 0150-76513, AMAT, CABLE ASSY, MAIN FRAME UMBILICAL #2,25.5
ecomicron
[view on eBay]
Used 1
in stock
$800.00
Description: 0140-76820, AMAT, H/A CD ADAPTOR, PHASE 1 TO PHASE 2, WATER
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, 0010-30723, 415620
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, 0010-30723, 415619
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, 0010-30723, 415618
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, 0010-30723, 415617
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, 0010-30723, 415616
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: 2 AMAT 0020-24746 Shield Lower High Cond 101 AL 8", 415659
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0050-71124 Assy, 2 APTech AP3550SM 2 PWB FV4 TW6 KIT Valve, 415686
ecomicron
[view on eBay]
Used 1
in stock
$1,700.00
Description: 0050-06249, AMAT, WELDMENT MNF 2 FINAL VALVES OFFSET FUJIKIN ULTIMA
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0150-75135, Cable Assembly, AMAT/1 Heat Exchanger 2. 415761
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-77007, Harness Assembly Pad 2, DVRS - Cont BLKHD COND & SWP, E. 415441
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0190-09096 Rev. A, VLV SOL 3 Way N/C EXT to ATM 1/8NPT GRM 2. 415839
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0140-12033 Rev. 001, Cable Platen 2, P2 Gutter Flush Flow Sensor. 415851
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0140-12033 Rev. 001, Cable Platen 2, P2 Gutter Flush Flow Sensor. 415855
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0190-09096, VLV SOL 3WAY N/C EXT to ATM 1/8NPT GRM 2. 415954
artsemi
[view on eBay]
NEW 10
in stock
$1,450.00
Description: 15-110411-00 Window, Sapphire, for Novellus Concept 1 and Concept 2 Sequel Altus
artsemi
[view on eBay]
NEW 10
in stock
$995.00
Description: 15-032014-00 Window, Quartz, Etch Resistant, Novellus Concept 1 and Concept 2
farmoninc
[view on eBay]
NEW 1
in stock
$40.00
Description: 2 AMAT 0020-20113 HUB DC Bias Shield Assy 416633
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: 2 AMAT 0010-05284 Assembly Halogen Lamp, 300mm LT Esc. 416603
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: 2 AMAT 0190-13039, 25mm x 32mm x 4mm Bearing w/ Braycote 1613, 416732
farmoninc
[view on eBay]
Used 1
in stock
$40.00
Description: 2 AMAT 3300-02507, FTG Pipe 1/4-18 NPT, 9/16 HEX. 416801
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: 2 Novellus 17-283255-00, Plate, Weight, Mix Bowl, Top HTR, C25EQ-X. 417036
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: 6 AMAT 0020-02036 PIN 2 417056
farmoninc
[view on eBay]
Used 1
in stock
$35.00
Description: 2 AMAT 3300-05443 FTG, Elbow Male 1/8" Poly 417021
farmoninc
[view on eBay]
Used 4
in stock
$75.00
Description: AMAT 0150-05139 Rev.001, Cable, Ethernet 12" Ub 2 Port 8 to J8 S. 417103
farmoninc
[view on eBay]
Used 1
in stock
$75.00
Description: 2 AMAT 3300-07145, Elbow Adapter Reducer 1/2Flare to 3/8T PFA. 417100
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: 2 AMAT 0020-04336, NDM-A-261, Disk, Metal Square. 417114
farmoninc
[view on eBay]
Used 1
in stock
$45.00
Description: 2 AMAT 3700-01375, O-Ring ID 3.239 CSD .070 Silicone. 417196
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: 2 AMAT 0050-03937 Rev.P, Water Supply Weldment, Piping Cham A. 417180
farmoninc
[view on eBay]
NEW 4
in stock
$14,000.00
Description: AMAT 0190-16013 Exhaust Switching Unit TEC-05 Kit 1 & 2, ESC-C2-5-X7, 415168
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0150-22632 Cable Assy, Robot Control Interconnect, 2 WL ECP, 417754
farmoninc
[view on eBay]
Used 2
in stock
$300.00
Description: AMAT 0150-22632 Cable Assy, Robot Controller Intcon 2 WL ECP, 417797
farmoninc
[view on eBay]
NEW 2
in stock
$85.00
Description: AMAT 0150-05138 Rev.001, Cable, Thernet 12", Hub 2 Port 7 to J6 P. 417851
farmoninc
[view on eBay]
Used 2
in stock
$300.00
Description: AMAT 0150-22632, Cable Assembly, Robot CONT INTCON 2 WL ECP. 417862
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0020-21480 Rev.C, TI5-B, Clamping Ring 5" TIW Semi Major x 2 MINO. 417969
farmoninc
[view on eBay]
NEW 1
in stock
$425.00
Description: AMAT 0020-26357 Rev.B, Thomas Hatchard & Sons, Guide, LM - Quantum 2. 418078
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: AMAT 0140-07292 Rev.P2, Harness Chem 2 Spray Bar Flow Sensor BB2. 418081
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0140-04924 Rev.001, Harness Assembly, Carrier ID 2, PDO Tray, DSMFI. 418088
farmoninc
[view on eBay]
NEW 1
in stock
$585.00
Description: AMAT 0020-18452 Rev.A, Strip 2, Side Coil, Match Box, HDPCVD, Rev3. 418085
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: AMAT 0140-07292 Rev.001, Harness Chem 2 Spray Bar Flow Sensor BB2. 418089
farmoninc
[view on eBay]
NEW 3
in stock
$1,450.00
Description: AMAT 0140-07291 Harness Chem 2 Spray Bar Flow Sensor BB1, 418289
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: 2 AMAT 3020-01137, SMC CQ2A32-ULA960054, Cylinder Air 10mm Stroke 32mm. 419120
farmoninc
[view on eBay]
Used 3
in stock
$250.00
Description: 2 AMAT 3020-01137, SMC CQ2A32-ULA960054, Cylinder Air 10mm Stroke 32mm. 419118
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 1270-91739, Reed Switch for Stopper Cylinder. 419088
farmoninc
[view on eBay]
Used 1
in stock
$20.00
Description: 2 AMAT 0690-01560, 100312905, Use 0690-01036 Clamp Hinged NW40 WING-NU. 419085
farmoninc
[view on eBay]
Used 1
in stock
$80.00
Description: 2 AMAT 0020-20502 Rev.D, 11213600, Pad Temp Switch. 419051
j316gallery
[view on eBay]
NEW 1
in stock
$414.79
Description: 5683 NOVELLUS FORK, CERAMIC, C2SEQ-X (LOT OF 2) (NEW) 15-277460-00
farmoninc
[view on eBay]
Used 1
in stock
$110.00
Description: 2 AMAT 0020-99239, Insulator, EF, Stepped. 419358
farmoninc
[view on eBay]
Used 1
in stock
$100.00
Description: 2 AMAT 1270-01588 SW Thermo SPST Open @120F Diff@30F 120v@, 419378
farmoninc
[view on eBay]
Used 1
in stock
$5.00
Description: 2 AMAT 0720-06835, Conn Weather tight, Mini, 3 Pin, Male, So. 419370
farmoninc
[view on eBay]
Used 1
in stock
$5.00
Description: 2 AMAT 3300-01231, FTG Hose TEE 10-32M 10-32F BRS UN ADI. 419365
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: 2 AMAT 1120-01092, Banner D12SN6FP, Calce Assy FBR Optics CE96 Spare 39. 419373
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: 2 AMAT 0020-06890 Rev.C, 100001752, Spring, 100mm Gripper. 419388
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: 2 LAM 715-221532-140 Rev.E2, Clamp, BLKHD, NW40. 419543
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: 2 AMAT 1270-90093, Switch Push Button, Yellow Mushroom Head. 419681
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0190-15892 Rev.P1, Assembly, Heater, SLD Seg 2, Gas 4, Etc. 420024
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 AMAT 1010-01468, Lamp Teardrop 3' Fixture 120VAC 24VDC B. 420044
farmoninc
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0021-21131 Bracket Source Guide Gamma 2, 420345
farmoninc
[view on eBay]
Used 1
in stock
$95.00
Description: 2 AMAT 0030-00219 Pedestal Ring, textured Top and Mid, 150mm, 420553
farmoninc
[view on eBay]
Used 1
in stock
$70.00
Description: 2 AMAT 0041-02664 Bracket 420591
farmoninc
[view on eBay]
Used 1
in stock
$100.00
Description: 2 AMAT 0200-00005 Insulator, TBG WXZ, 300mm, 420647
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: 5 AMAT 0020-22201 Clamp Gas Line 2 Line, 420671
austieiscute
[view on eBay]
Used 1
in stock
$399.99
Description: AMAT 2 Mhz Generator RF Match Cable Assembly 834-201187-003 Rev. C TRU-12853-03
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 AMAT 0190-40092 MSE Seal Assembly, Peek, MSE30-501846-1, 420684
farmoninc
[view on eBay]
Used 1
in stock
$20.00
Description: 2 AMAT 3690-02384 Scrcap SKT HD 5/8-18 x 2.0L HEX SKT SST, 420742
farmoninc
[view on eBay]
Used 1
in stock
$45.00
Description: AMAT 0150-04395 Cable, Shelf #2, 420789
farmoninc
[view on eBay]
Used 7
in stock
$80.00
Description: 2 LAM 716-021894-001 Gasket, Bell Jar Clamp, Lower, RGS LAR01-1251-0005, 420816
farmoninc
[view on eBay]
Used 1
in stock
$30.00
Description: 2 AMAT 3540-01039 Package Sheet Bottom 66L x 42W Anti-Static Class, 420930
farmoninc
[view on eBay]
Used 1
in stock
$65.00
Description: 2 AMAT 3700-02079 O-Ring ID 2.050 CSD .103 75DURO BRN, 421049
farmoninc
[view on eBay]
Used 1
in stock
$20.00
Description: 2 AMAT 0150-09517 Cable Assembly, Cap Mono/Proc Gas Isolation Valve, 422245
usedeqsales
[view on eBay]
Used 8
in stock
$151.08
Description: Lam Research 12-8000-012 Roller Leak Kit Set of 2 OnTrak New Surplus
farmoninc
[view on eBay]
Used 1
in stock
$240.00
Description: 2 AMAT 0020-35272 Protective Bracket, CB 208V, 422408
surplusssam
[view on eBay]
Used 1
in stock
$19.99
Description: 2 NEW AMAT APPLIED MATERIALS 3300-04767 BULKHEAD UNION 1/8T 3/8-24THD
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: 2 AMAT 0020-76429 Side Plate Upper Frame Rear Centura, 422598
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0150-02494, Cable Assembly, Heater Extension, Anneal CH 2, 422681
j316gallery
[view on eBay]
Used 21
in stock
$244.32
Description: 8064 LAM RESEARCH MOTHERBOARD, NODE 2, PM (710-802902-006) 810-802902-006
farmoninc
[view on eBay]
Used 1
in stock
$15.00
Description: 2 AMAT 0021-22274 Stopper, Ampoule Clamp, Cuxz IMS Gas Box, 422800
austieiscute
[view on eBay]
Used 3
in stock
$61.99
Description: Lam Research LED Gasbox Display Board PCB 310-803260-001 Rev. A Phase 2
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 0680-02151 CB SPCL 2P, 0680-02150, IDEC NRAS2100, TK2179. 423071
farmoninc
[view on eBay]
Used 1
in stock
$25.00
Description: AMAT 0226-41817 SW HDB15 Female 2 Pos Data Transfer Switch, 423259
farmoninc
[view on eBay]
NEW 1
in stock
$4.00
Description: 2 AMAT 3480-00007, Clamp Screw Swivel Pad 1/4-20, 1.0L Steel. 422962
farmoninc
[view on eBay]
NEW 1
in stock
$6,500.00
Description: AMAT 0240-26390 Kit, Gamma 2 W/B Chamber Extender, 194mm, 0040-23486, 423350
spsglobal
[view on eBay]
Used 1
in stock
$3,500.00
Description: 136-0501// AMAT APPLIED 0010-10420 (#2) 5000 PLATFORM VERSION IV UFM-9150 USED
spsglobal
[view on eBay]
Used 1
in stock
$10,000.00
Description: 106-0101// AMAT APPLIED 0010-25154 (#2) (WITHOUT COVER) HEATER ASIS
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$38.50
Description: AMAT 3700-01156 O-Ring ID 15.955 CSD .139 VITON 75 DURO BLK PKG 2
spsglobal
[view on eBay]
Used 2
in stock
$1,500.00
Description: 131-0501// AMAT APPLIED 0240-33168 (#2) 0021-09003 MXP CHAMBER, VC USED
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 129-0601// AMAT APPLIED 0010-09103 (#2) (WITH BUTTON) STAND ALONE MONITOR USED
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 136-0601// AMAT APPLIED 0010-00744 (#2) 20SCCM 1159B-00020RV-SPCAL USED
j316gallery
[view on eBay]
Used 1
in stock
$598.21
Description: 9112 LAM RESEARCH KLA-TENCOR VIDEO FRONT END 2 ES20XP E-BEAM 740-613212-000
randmgoods
[view on eBay]
Used 2
in stock
$59.99
Description: Lam Research Cables 834-078824-920 REV.A 6870-1020 4B11P6 2 MHZ
testeqe
[view on eBay]
NEW 2
in stock
$299.99
Description: NEW AMAT PN: 0195-00041 110C Zone 2 Heated Gas Line Top Level Assembly
comwaysind
[view on eBay]
Used 1
in stock
$48.30
Description: SMC TBG polyurethane 4mmod x 2.5mmid 2 TFU0425B-2 Black AMAT 3860-01376 33 feet
midwestsemi
[view on eBay]
Used 1
in stock
$46.00
Description: LOT OF 2 NEW NW25 AMAT 0690-01038 HINGED CLAMP NW-25 ALUMINIUM SHIPSAMEDAY
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: Aera FC-PA7800C-BA MFC Mass Flow Controller, CH4, 2 SLM, AMAT 3030-16234, 423734
svsurplus
[view on eBay]
Used 1
in stock
$144.62
Description: SMC SS5YJ3-DUL01756 Lam Research 772-800722-010 Manifold with 2 SMC SY114-5MOU
midwestsemi
[view on eBay]
Used 5
in stock
$216.20
Description: NEW LOT 2 AMAT 3700-07877 ORING ID .801 X .070 9500 KALREZ FREESHIPSAMEDAY
midwestsemi
[view on eBay]
Used 1
in stock
$412.85
Description: LOT OF 2 NIB AMAT 3700-04710 ORING ID 1.359 X .139 2-220 8085 KALREZ SHIPSAMEDAY
midwestsemi
[view on eBay]
Used 5
in stock
$216.20
Description: LOT 2 NIB AMAT 3700-07853 ORING ID 1.549 X 0.103 9500 KALREZ ULTRAPURE FREESHIPS
j316gallery
[view on eBay]
NEW 2
in stock
$292.41
Description: 10011 APPLIED MATERIALS HEATER JKT, ZONE 3, ITEM 2, 200MM PROD (NEW) 1410-00298
j316gallery
[view on eBay]
NEW 2
in stock
$334.87
Description: 10089 APPLIED MATERIALS VALVE PNEU BLWS 2 WAY MINI N/O 1/4 VCRF (NEW) 3870-01439
j316gallery
[view on eBay]
NEW 1
in stock
$1,610.42
Description: 10360 APPLIED MATERIALS ROBOT CALIBRATION PLATE 2 (NEW) 0270-02936
spsglobal
[view on eBay]
Used 1
in stock
$4,000.00
Description: 003-0102// AMAT APPLIED 3620-01146 (#2) wPUMP CRYO COMPRESSOR 8510 FOR [ASIS]
bornalliancecom
[view on eBay]
Used 1
in stock
$2,395.00
Description: Applied Materials 0040-20319 Front Door Cover AMAT PVD (1 lot of 2)
farmoninc
[view on eBay]
Used 1
in stock
$125.00
Description: 2 Furon 1/2" Union Elbow, AMAT 3300-05283, 424380
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 136-0601// AMAT APPLIED 0010-76952 (#2) ASSY, IHC CHAMBER A, B, AND C, NEW
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 147-0501// AMAT APPLIED 0150-35566 C/A GAS PANEL #2 UMBILICAL, 55 FT NEW
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 347-0402// AMAT APPLIED 0021-09267 (#2) BRACKET, INTERLOCK SWITCH, RF USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 321-0501// AMAT APPLIED 0020-24228 RF CONNECTOR INSULATOR PRECLEAN 2 NEW
j316gallery
[view on eBay]
NEW 1
in stock
$4,246.05
Description: 10267 APPLIED MATERIALS VIEW LID ENDURA 2 PRECLEAN CHAMBER (NEW) 0010-24584
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-78540 CABLE MOTORS ENCODER SCRUB 2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-78539 CABLE BRUSH & ROLLER MOTORS PWR SCRUB 2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0140-01947 CABLE BRUSH POS MOTORS POWER SCRUBBER 2, USED
pneumatplus10
[view on eBay]
Used 1
in stock
$229.00
Description: LAM Research 810-802902-006 Rev C Mother board,Node 2,PM,Used,USA$94137
spsglobal
[view on eBay]
Used 1
in stock
$10,000.00
Description: 349-0101// AMAT APPLIED 0010-22156 (#2) APPLIED MATRIALS USED
midwestsemi
[view on eBay]
Used 1
in stock
$103.50
Description: LOT 2 NEW AMAT 3300-02248 KF-25 VACUUM ELBOW SST 90° NW25 2E-NW-25B SHIPSAMEDAY
usedeqsales
[view on eBay]
Used 8
in stock
$355.18
Description: AMAT Applied Materials 0021-78095 Manifold 2 Serias Valve UPA Assembly Cu Used
catalystparts
[view on eBay]
NEW 1
in stock
$250.00
Description: LAM Research 715-030174-001 Left #2 Gap Barrel Ring Clip / Clamp Ring
catalystparts
[view on eBay]
NEW 1
in stock
$250.00
Description: LAM Research 715-030174-001 Right #2 Gap Barrel Ring Clip / Clamp Ring
spsglobal
[view on eBay]
Used 5
in stock
$30.00
Description: 143-0603// AMAT APPLIED 0150-35320 C/A AC POWER 2 PHASE DRIVER USED
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 3700-01318 ORING ID 10.975 CSD .210 75 DURO B, 323434
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$27.50
Description: Hardware LAM 713-007133-001 CLAMP CARD CAGE (PKG 2)
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 AMAT 0150-18072 cable assy, side, ETO RF GEN CONTROL, CHAM, 323493
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 AMAT 0021-79130 FINGER, SHORT, WALKING BEAM, 300MM, 327428
catalystparts
[view on eBay]
Used 2
in stock
$20.00
Description: AMAT Applied Materials 0150-76647 Wire Jumper 2 Pin Connector EMO
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0140-77292 MULT HD ROTATION 2 POWER CONTROLLER CABLE ASSY, 328058
surpluskorea
[view on eBay]
Used 3
in stock
$350.00
Description: LAM 810-802902-207 MOTHERBOARD NODE 2
surpluskorea
[view on eBay]
Used 1
in stock
$499.00
Description: LAM 810-802902-033 MOTHERBOARD NODE 2
ace_stellar_seller
[view on eBay]
Used 3
in stock
$799.00
Description: LAM 715-012233-003 ASSY GATE INNER QTY 2, LSA1551-17ANODIZED TYPE II ALUM BIO
dgold32
[view on eBay]
Used 1
in stock
$199.99
Description: LAM RESEARCH MOTHERBOARD NODE 2 RF FILTER 810-802902-105
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 342-0402// AMAT APPLIED 0020-26851 (#2) BLOCK,WATER INPUT/OUTPUT USED
vizvik16
[view on eBay]
Used 1
in stock
$80.00
Description: APPLIED MATERIALS 0040-54797 TURCK U0876-81 P/N VB2/CS10394 LOT OF 2
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: Aera FC-D980C Mass Flow Controller MFC NH3, 2 SLM, Novellus 22-185670-00, 421390
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: Aera FC-D980C Mass Flow Controller MFC NH3, 2 SLM, Novellus 22-185670-00, 421391
powersell007
[view on eBay]
Used 1
in stock
$2,499.00
Description: APPLIED MATERIALS 0010-24543 VIEWPORT LID, ENDURA 2 SIP ENCORE CHAMBER AMAT NEW
spsglobal
[view on eBay]
Used 1
in stock
$160.00
Description: 145-0301// AMAT APPLIED 0150-76172 EMC COMP., CABLE, ASSY, HEAT EXCHNGER 2 USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 145-0101// AMAT APPLIED 0150-16078 (BROKEN) CA GAS PANEL #2 UNBILICAL,50 FT ASIS
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: 2 AMAT 0020-29348 Cup, Outer Feed Thru Support, 500-0398-74, 450105
j316gallery
[view on eBay]
Used 2
in stock
$707.67
Description: 11931 NOVELLUS PCB, ALTUS SIOC 2 INTERLOCK 02-109141-00
maxisemi1349
[view on eBay]
Used 1
in stock
$195.00
Description: 0020-06815 INSULATOR, GROUND PLATE, lot of 2
farmoninc
[view on eBay]
Used 1
in stock
$30.00
Description: AMAT 3090-01092 Bolt Hex, 2 AMAT 3690-02800 Mach Pan, 450391
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: 2 AMAT 0020-21853 DC Actuator Source, 450392
auctionrus
[view on eBay]
Used 1
in stock
$75.00
Description: 2 AMAT 0021-10516 Mixer, 450251
spsglobal
[view on eBay]
Used 1
in stock
$720.00
Description: 147-0201// AMAT APPLIED 0150-76513 CABLE ASSY, MAIN FRAME UMBILICAL #2,25.5 USED
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 148-0401// AMAT APPLIED 0150-35204 C/A GAS PANEL UMBILICAL #2 USED
spsglobal
[view on eBay]
Used 2
in stock
$270.00
Description: 147-0701// AMAT APPLIED 0150-20015 CABLE ASSY,CHAMBER 2 INTERCONNECT, 25' USED
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 147-0701// AMAT APPLIED 0150-09588 CABLE ASSY,REMOTE ANALOG #2 USED
spsglobal
[view on eBay]
Used 3
in stock
$1,300.00
Description: 149-0201// AMAT APPLIED 0150-00947 EMC COMP. C/A MF UMBILICAL #2 USED
spsglobal
[view on eBay]
Used 1
in stock
$360.00
Description: 150-0501// AMAT APPLIED 0150-09589 CABLE ASSY,REMOTE DIGITA L #2 USED
dieseltdiguy
[view on eBay]
NEW 1
in stock
$49.99
Description: Applied Materials 0050-88734 Stainless Steel Transition Bellow 2 Inch
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,095.00
Description: MKS 127AA-00002B Baraton, MKS Model # 127AA-00002B 2 Torr VCR AMAT # 1350-01079
vizko2017
[view on eBay]
Used 1
in stock
$110.00
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-520-002
spsglobal
[view on eBay]
Used 4
in stock
$200.00
Description: 124-0204// AMAT APPLIED 0020-10187 (#2) CLAMP RING Q WINDOW 200M USED
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: 2 AMAT 0021-36900, Cover, Probes, 300mm RTP 417222
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,795.00
Description: Applied Materials 0150-20481 Cable, Assy. 15 FT Convectron 2 AMAT PVD
sacramento_liquidators
[view on eBay]
Used 1
in stock
$144.33
Description: Nor Cal Products 3870-01331 2 Inch In Line Pneumatic Valve
spsglobal
[view on eBay]
Used 4
in stock
$1,300.00
Description: 156-0202// AMAT APPLIED 0195-00215 GAS LINE, STL HEATER, FORELN DIVERT 2, P NEW
vizvik16
[view on eBay]
NEW 2
in stock
$70.00
Description: Applied Materials 3700-01399 ORING ID 7.984 CSD .139 VITON 75 DURO LOT OF 2
vizvik16
[view on eBay]
NEW 1
in stock
$70.00
Description: Applied Materials 0021-80540 Z BRACKET ETHERNET SWITCH D-LINK 5.3 FFU LOT OF 2
nissiglobal
[view on eBay]
Used 1
in stock
$192.49
Description: APPLIED MATERIALS 0090-05447 ASSY 2 POS CKT BRKR PANEL
vizvik16
[view on eBay]
Used 2
in stock
$370.00
Description: APPLIED MATERIALS ASSY 0010-26655 Swagelok SS-45S12-K 2 Ball Valve
csi.usa
[view on eBay]
NEW 1
in stock
$119.99
Description: AMAT APPLIED MATERIALS 0021-08144 HEATER PLUG 408399-PJ CHAMBER 2
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: 2 AMAT 0020-20511 HVB HTR Insulator, 450963
vizvik16
[view on eBay]
Used 1
in stock
$90.00
Description: APPLIED MATERIALS RIM PRESSURE VALVE 0090-02622 LOT OF 2
maxisemi1349
[view on eBay]
Used 1
in stock
$495.00
Description: 0020-23811 8" COHERENT W/1.25:1 0.5" HEX, lot of 2
maxisemi1349
[view on eBay]
Used 3
in stock
$5,000.00
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
austintxresale
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT Applied Materials 0090-77180 2 Arm Gripper Sensor Harness
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 346-0303// AMAT APPLIED 0020-24235 2400 4100 RF TUBE PRECLEAN 2 USED
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0200-03397 PUMPING RING SIDE 2, NEW
usedeqsales
[view on eBay]
Used 2
in stock
$1,605.19
Description: Lam Research 810-707061-003 PM Node 2 PCB Panel 810-707150-001 Continuum Spare
happy_1
[view on eBay]
Used 2
in stock
$3,500.00
Description: AMAT 0200-01119 SHADOW RING, QUARTZ, 200MM NOTCH (2) TG, (NEW)
adelrick123
[view on eBay]
NEW 1
in stock
$240.00
Description: AMAT 0022-77582 I Block 300MM Titan 2
roundtable1
[view on eBay]
NEW 1
in stock
$99.00
Description: Lot of 2 AMAT 0020-40006 Mount, Double Sensor, Indexter
surplusssam
[view on eBay]
NEW 1
in stock
$89.99
Description: AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT NEW
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: 2 AMAT 3700-04476 ORing ID .406 CSD .094 Kalrez 8085 82 Duro Beige, 451404
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 AMAT 3700-04476 O-Ring Kalrez 8085 82 DURO Beige, ID .406 CSD .094, 451441
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 344-0502// AMAT APPLIED 0090-00075 ASSY, 2 POS DOUBLE SOLENOID VA NEW
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 Kalrez AS568 O-Ring K#117 Compound 4079 AMAT 3700-01440 ID.799 CSD.103, 451473
vizko2017
[view on eBay]
Used 1
in stock
$80.00
Description: APPLIED MATERIALS 0090-03665, SUNX NX5-M30AD LOT OF 2
j316gallery
[view on eBay]
NEW 1
in stock
$510.42
Description: 13652 APPLIED MATERIALS EMC COMP, CABLE, DIGITAL #2 GAS PANEL (NEW) 0150-76223
equipplus
[view on eBay]
Used 1
in stock
$439.00
Description: Applied Materials 0021-60947 2 Zone Upper Plate 0Z2Z,422128R-XA,AMAT,unused^6278
j316gallery
[view on eBay]
NEW 1
in stock
$313.87
Description: 13749 APPLIED MATERIALS CABLE ASSY HTR AC POS 1 2 4 WIDE BODY NEW 0150-70132
spsglobal
[view on eBay]
Used 1
in stock
$160.00
Description: 318-0303 AMAT APPLIED 0240-03931 (#1) KIT, WATER RESISTIVITY METER 2 USED
j316gallery
[view on eBay]
Used 3
in stock
$213.38
Description: 14434 APPLIED MATERIALS CATHODE SWITCH COVER PRECLEAN 2 0020-24412
j316gallery
[view on eBay]
Used 3
in stock
$213.38
Description: 14435 APPLIED MATERIALS CATHODE INTLK COVER PRECLEAN 2 0020-24411
roundtable1
[view on eBay]
Used 1
in stock
$49.99
Description: Applied Materials 3870-00134 ALVE 3 Port Lock Out Lot Of 2
j316gallery
[view on eBay]
NEW 2
in stock
$260.93
Description: 14876 APPLIED MATERIALS BRG(1 SET OF 2 BEARINGS) DUPLX 3060-01500
powersell007
[view on eBay]
Used 1
in stock
$1,299.00
Description: APPLIED MATERIALS 0022-17329 PLATE, SUPPT, HTR, SIDE 2, SST, PCLL-BE AMAT
roundtable1
[view on eBay]
Used 1
in stock
$859.00
Description: Applied Materials 1410-01394 HEAT JACKET 30MIL S LAYER UPPER ZONE 2 & CHAM
novusferro
[view on eBay]
NEW 10
in stock
$99.00
Description: Applied Materials AMAT 0022-17329 Plate, Suppt, Heater, Side 2, SST, PCLL-BE
auctionrus
[view on eBay]
Used 1
in stock
$712.50
Description: AMAT 0050-06249 Weldment MNF 2 Final Valves Offset, Fujikin Ultima, 451694
auctionrus
[view on eBay]
Used 1
in stock
$487.50
Description: Aera FC-PA7800C-BA MFC, 0.5% PH3/H2, 2 SLM, AMAT 3030-16221, 451778
auctionrus
[view on eBay]
Used 1
in stock
$5.00
Description: AMAT 0720-01240 Conn HSG Shunt 2 PG 2 POS For .025 Post, 451823
midwestsemi
[view on eBay]
Used 1
in stock
$862.50
Description: AMAT 0190-14397 DC TRAY 2 | ACOPIAN S12276-1 POWER SUPPLY SHIPSAMEDAY – TESTED
roundtable1
[view on eBay]
Used 1
in stock
$159.00
Description: AMAT 0100-02311 REV 001 ( LOT OF 2 )
ntsurplus302
[view on eBay]
Used 1
in stock
$280.00
Description: 2825 2 Applied Materials 0020-62770 & 0020-62771 Plasma Screens 1 Shadow Lift
ntsurplus302
[view on eBay]
Used 1
in stock
$50.00
Description: 2937 Lot of 2 Applied Materials P/N: 0040-86019 Rev. 001
usedeqsales
[view on eBay]
Used 1
in stock
$609.19
Description: Lam Research 810-707061-001 PM Node 2 Board PCB FPD Continuum Working Spare
expertsurplus
[view on eBay]
NEW 1
in stock
$10.00
Description: AMAT 3300-02493 Fitting Tube CAP OD 1/4" NPTF 1/8 BORE DIA 11/64 BRS, Lot of 2
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$128.50
Description: 3300-04678 / PARKER 2 INCH CAM AND GROOVE FITTING 20DL / AMAT
grandbirdnet
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0190-34283 REV 2 MKS AS01496-0-3, USED
catalystparts
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT Applied Materials 0090-03469 2 Position Circuit Breaker Panel Assy.
powersell007
[view on eBay]
Used 1
in stock
$799.00
Description: APPLIED MATERIALS 0041-48903 SPIDER, AXISWLL 2 AMAT *UNUSED, SEALED*
farmoninc
[view on eBay]
NEW 1
in stock
$10.00
Description: AMAT 0090-01567 Assy Shorting Plug 2 POS, 323714
farmoninc
[view on eBay]
NEW 1
in stock
$10.00
Description: AMAT 0090-01567 Assy Shorting Plug 2 POS, 323714
spsglobal
[view on eBay]
Used 1
in stock
$450.00
Description: 115-0401// AMAT APPLIED 0050-13029 (#2) COMPONENTS USED
getspares.com_sparesllc09
[view on eBay]
Used 2
in stock
$1,395.00
Description: 0090-00139 / ELEC ASSY WB ENDURA 1,2,4 & D LTESC / AMAT
grandbirdnet
[view on eBay]
Used 2
in stock
$6,800.00
Description: AMAT 0190-10734 ASSY,LOWER ELECTRONIC BOX,BRUSH 1,2 CHEM, USED
spsglobal
[view on eBay]
Used 1
in stock
$700.00
Description: 116-0501// AMAT APPLIED 0200-10415 FOCUS RING 2 PIECE, STRAIGHT W USED
spsglobal
[view on eBay]
Used 2
in stock
$1,100.00
Description: 116-0501// AMAT APPLIED 0200-10415 FOCUS RING 2 PIECE, STRAIGHT W NEW
dr.dantom
[view on eBay]
Used 1
in stock
$200.00
Description: LOT OF 2 Applied Materials BRG PILLOW BLOCK 1" 205SST 3060-00047
dr.dantom
[view on eBay]
Used 1
in stock
$35.00
Description: LOT OF 2 Applied Materials 0020-28756 REV 002 STUD CRYO/GATE VALVE
dr.dantom
[view on eBay]
Used 3
in stock
$45.00
Description: LOT OF 2 Applied Materials 3300-01347 FTG FLANGE BLANK-OFF VACUUM PUMP
dr.dantom
[view on eBay]
Used 1
in stock
$80.00
Description: Applied Materials 0240-20300 KIT PNEU PER MFC OR FINAL 2 GAS
spsglobal
[view on eBay]
Used 2
in stock
$230.00
Description: 125-0404// AMAT APPLIED 0020-31305 INSERT,ALUM,OUTER,200MM POLY,2 NEW
dr.dantom
[view on eBay]
Used 1
in stock
$30.00
Description: 2 X Applied Materials 0150-20578 CBL EBARA EMO JMPR PLUG A SERIES
dr.dantom
[view on eBay]
Used 1
in stock
$950.00
Description: Novellus 79-10098-00 02-267699-00 Interlock/Controller/Psu SIOC 2
techse11
[view on eBay]
NEW 1
in stock
$40.00
Description: 2 ea LAM 754-091624-001 Spring. Compression
roundtable1
[view on eBay]
NEW 1
in stock
$199.00
Description: applied materials 0190-17687 SW PRESS SPDT 1A RES 28VDC FIXED 600TORR DECR 2 1/
j316gallery
[view on eBay]
Used 1
in stock
$291.17
Description: 16763 APPLIED MATERIALS CABLE,ANALOG #2 GAS PANEL INTERCONNECT, 10FT 0150-09603
j316gallery
[view on eBay]
Used 12
in stock
$530.54
Description: 15730 LAM RESEARCH PCB ASSY NODE 2 RF FILTER 810-802902-106
j316gallery
[view on eBay]
Used 2
in stock
$530.54
Description: 15728 LAM RESEARCH PCB ASSY NODE 2 RF FILTER 810-802902-126
bestoneshop-korea
[view on eBay]
Used 1
in stock
$649.99
Description: LAM 766-088415-004 REV:B VALVE (Lot of 2 TALON 03-33-4008)
dr.dantom
[view on eBay]
Used 1
in stock
$200.00
Description: Applied Materials AMAT 0040-24277 5500 ENDURA 2 BAR KeyBoard & Mouse
spsglobal
[view on eBay]
Used 1
in stock
$6,000.00
Description: 353-0401// AMAT APPLIED 3620-01124 (#2) TURBOVAC 361C PUMP TURBO W/CERAMIC USED
dr.dantom
[view on eBay]
Used 3
in stock
$285.00
Description: Applied Materials AMAT 3030-13935 DSPAE100 MFC INTELLIFLOW 2 20SCCM SIH4 1/4 VCR
dr.dantom
[view on eBay]
Used 2
in stock
$285.00
Description: Applied Materials AMAT 3030-13835 Mykrolis DSPAE100 MFC INTELLIFLOW 2 300SCCM AR
dr.dantom
[view on eBay]
Used 1
in stock
$3,500.00
Description: LAM Research 713-002617-003 Quartz Plate WDO Polycarb CHMBR DUAL SEAL 2
dr.dantom
[view on eBay]
Used 1
in stock
$25,000.00
Description: AMAT Applied Materials LTE-1593 0010-16986-005 0020-23741 300mm MCA E-Chuck Assy
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 335-0301// AMAT APPLIED 0190-27579 3155132-004 (#2) [ASIS]
spsglobal
[view on eBay]
Used 1
in stock
$10,000.00
Description: 010-0101// AMAT APPLIED 0010-37255 (#2) APPLIED MATRIALS COMPONENTS [ASIS]
j316gallery
[view on eBay]
Used 1
in stock
$2,410.17
Description: 17978 APPLIED MATERIALS CABLE ASSY, GAS PANEL UMBILICAL #2, 40FT 0150-76718
j316gallery
[view on eBay]
NEW 1
in stock
$5,062.32
Description: 17808 APPLIED MATERIALS ASSY, 2 PHASE STEPPER MOTOR (NEW) 0010-75183
j316gallery
[view on eBay]
Used 1
in stock
$2,404.60
Description: 18396 APPLIED MATERIALS SHADOW RING, QUARTZ, 200MM NOTCH (2) TIG 0200-01119
maxisemi1349
[view on eBay]
Used 1
in stock
$205.00
Description: 27-229907-00 TC,VACUUM lot of 2
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0090-09139 CABLE ASSY, PHOTO HELIX 2, UHP, USED
ym3116-fmz6ox
[view on eBay]
Used 1
in stock
$20.00
Description: 2 ea LAM 746-000220-001 Bearing
ym3116-fmz6ox
[view on eBay]
Used 1
in stock
$20.00
Description: 2 ea LAM 746-000221-001 Bearing, 3/16x3/8x1/8
j316gallery
[view on eBay]
Used 2
in stock
$1,075.74
Description: 18624 APPLIED MATERIALS CABLE ASSY MAIN FRAME UMBILICAL #2 25.5 7.8M 0150-76513
grandbirdnet
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0140-02244 HARNESS BRUSH #2 LDM DIRECT FEED CLEANER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0090-03220 SENSOR ASSY PLATEN 2 PCW TEMPERATURE CMP, USED
chriswebber16
[view on eBay]
Used 1
in stock
$399.99
Description: QTY of (2) Applied Materials AMAT 0020-10823 Shield 100MM EB - NEW!
j316gallery
[view on eBay]
Used 1
in stock
$1,300.00
Description: 18891 APPLIED MATERIALS EMC COMP. C/A MF UMBILICAL #2, 7.5M 0150-00947
j316gallery
[view on eBay]
Used 1
in stock
$4,418.65
Description: 18920 APPLIED MATERIALS CABLE ASSY,GAS PANEL UMBILICAL #2, 75 FT 0150-76668
gophersales
[view on eBay]
Used 1
in stock
$355.00
Description: AMAT Applied Materials 0020-13335 Lot of 2 Cover, GL. Rear. MCVD Common M/F
gophersales
[view on eBay]
Used 4
in stock
$610.00
Description: Lot of 2 Applied Materials 3920-01672 Tool Brush 2.75" OD x 1.25"ID x 12.5L PVA
j316gallery
[view on eBay]
Used 2
in stock
$803.39
Description: 19132 APPLIED MATERIALS CABLE ASSY REM 2 INTCON 75 FT -CEM 96 0150-21421
j316gallery
[view on eBay]
Used 1
in stock
$570.15
Description: 18640 APPLIED MATERIALS 2 VALVE MANIFOLD ASSY, TYPE N.C 0.39-0.99MPA 0041-34814
j316gallery
[view on eBay]
Used 18
in stock
$763.22
Description: 19377 LAM RESEARCH WELDMENT 2 VLV MANF 944AOPLPNCSH11 839-016784-005
j316gallery
[view on eBay]
Used 5
in stock
$847.30
Description: 19375 LAM RESEARCH WELDMENT 2 VLV MANF 42400679 839-017587-045 839-016784-005
expertsurplus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT, 0040-20112, Roghing Line Turbo and Cooldown #2, New
senior-inc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0090-00139 (0140-00476) ELEC ASSY WB ENDURA 1,2,4 & D LTESC
expertsurplus
[view on eBay]
NEW 2
in stock
$200.00
Description: AMAT, 0050-34024, Stainless Steel Elbow Vacuum Line with 2 Ports, New
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-39026, Exhaust Line with 2 Ports, New
sgcequipment
[view on eBay]
Used 1
in stock
$85.00
Description: Applied Materials (AMAT) 0191-00114 REV 2 CABLE ASSEMBLY
expertsurplus
[view on eBay]
Used 1
in stock
$315.00
Description: AMAT, 0050-01072, Weldment, Teos Line Spacer, DXZ Centura, New, Lot of 2
expertsurplus
[view on eBay]
NEW 12
in stock
$100.00
Description: AMAT, 0050-18557, Weldment Pump Purge #2 Low K HDPCVD, New
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-13142, Gas Line Top Vent 31L 5RA 3/8 Support, New, Lot of 2
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-43518, Line O2 Purge #2, 200MM Radiance, New, Lot of 2
expertsurplus
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT, 0050-27138, Weldment Dump External DZ IHC, New, Lot of 2
expertsurplus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT, 3700-01288, GSKT VCR 1/4 NI Contoured, New, Lot of 2
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0020-13151, Top Mount Upper Vent Support 1 of 2, New, Lot of 2
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-33055, BTM Feed ODD VLV Lower Connector, New, Lot of 2
expertsurplus
[view on eBay]
NEW 1
in stock
$275.00
Description: AMAT, 0050-38486, Line, Dual Seal Mag Rotation, New, Lot of 2
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 350-0302// AMAT APPLIED 0900-01015 (#2) FLTR RFI POWER LINE 6 AMP SERIES S [NEW]
spsglobal
[view on eBay]
Used 3
in stock
$280.00
Description: 340-0403// AMAT APPLIED 0140-04361 (#2) HARNESS ASSY, CHAMBER TOP COVER [NEW]
expertsurplus
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT, 0225-40380, Line No. 2, Chamber A Exhaust, New
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-39450, Tube Weldment Reverse Elbows 2 Leak Check Port NW 50, New
expertsurplus
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT, 0050-27139, Weldment M/F 90 Deg Elbow, New, Lot of 2
expertsurplus
[view on eBay]
NEW 2
in stock
$175.00
Description: AMAT, 0050-10047, WELDMENT, 2 MANOMETRS, 1/2VCR, New
gophersales
[view on eBay]
Used 1
in stock
$205.00
Description: AMAT Applied Materials 0270-20066 Lot of 2 Jig Robot Lower Drive Removal
j316gallery
[view on eBay]
NEW 1
in stock
$147.22
Description: 19682 APPLIED MATERIALS HARN INDC SWITCH LINE LED BD (LOT OF 2) (NEW) 0140-09739
noam-tech
[view on eBay]
Used 2
in stock
$250.00
Description: 0190-13295 Trans Former, 50~60Hz / 2kVA / 7410 / Rev 2 /
noam-tech
[view on eBay]
Used 5
in stock
$520.00
Description: 853-234939-005 Cable, with 2 plugs
maxisemi1349
[view on eBay]
Used 1
in stock
$300.00
Description: 0200-09217 RING, QTZ. 8" ,EXT CATH, METAL NOTCH, lot of 2
maxisemi1349
[view on eBay]
Used 1
in stock
$340.00
Description: 0020-03430 INSERT, PED, EXT, 6, lot of 2
j316gallery
[view on eBay]
NEW 19
in stock
$95.00
Description: 19872 APPLIED MATERIALS NUT HEX FULL 3/8-16 SST PSVT (LOT OF 2) (NEW) 3500-01011
j316gallery
[view on eBay]
NEW 7
in stock
$100.36
Description: 19858 APPLIED MATERIALS FITTING, TBG FER 3/8T (LOT OF 2) (NEW) 3300-01134
j316gallery
[view on eBay]
NEW 4
in stock
$99.99
Description: 19874 APPLIED MATERIALS FTG TBG NUT 3/8T SST HEX (LOT OF 2) (NEW) 3300-01129
j316gallery
[view on eBay]
NEW 5
in stock
$97.68
Description: 19871 APPLIED MATERIALS FTG TBG FER 3/8T (LOT OF 2) (NEW) 3300-01135
j316gallery
[view on eBay]
NEW 4
in stock
$98.73
Description: 19876 APPLIED MATERIALS FTGFERRULE SET 3/8T SST (LOT OF 2) (NEW) 3300-04185
j316gallery
[view on eBay]
NEW 1
in stock
$831.78
Description: 3278 APPLIED MATERIALS VLV PNEU BLWS 2 WAY MINI NC-11 SS-BNV51-C NEW 3870-01245
quick_ship_usa
[view on eBay]
NEW 2
in stock
$199.88
Description: Qty (2) New! LAM Research 25’ Cable 853-026078-025 Rev. A CA EMO RPDB TCU 25ft
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 346-0203// AMAT APPLIED 0010-76087 WAFER VALVE ASSY. CH 2,4,& D [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 112-0203// AMAT APPLIED 0010-09341 (#2) WAFER LIFT ASSY [ASIS]
powersell007
[view on eBay]
Used 1
in stock
$2,899.00
Description: APPLIED MATERIALS 0010-53054 ASSY, CLEAR LID SIDE 2, PRODUCER G-GT AMAT
spsglobal
[view on eBay]
Used 1
in stock
$680.00
Description: 176-0403// AMAT APPLIED 0050-20067 GAS LINE CHAMBER 2 (PROCESS)MFC 8&9 VCR [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$260.00
Description: 177-0401// AMAT APPLIED 0050-36678 LINE HE SUPPLY 2 OF 3 [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$220.00
Description: 177-0401// AMAT APPLIED 0050-33658 WLDMNT, PURGE LN #2, POS B [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$460.00
Description: 176-0404// AMAT APPLIED 0050-14573 WLDMNT, DUMP LN #2, POS D [NEW]
capitolareatech
[view on eBay]
NEW 1
in stock
$20.95
Description: AMAT 1370-90068 TRANSISTOR IRF820P ***2 PACK***
capitolareatech
[view on eBay]
NEW 1
in stock
$18.95
Description: Applied Materials (AMAT) 1370-90067 TRANSISTOR ZVN4206A ***2 PACK***
capitolareatech
[view on eBay]
NEW 1
in stock
$15.95
Description: Applied Materials (AMAT) 1270-99006 SWITCH 12MM ILLUM ROUND SPST ***2 PACK***
capitolareatech
[view on eBay]
NEW 1
in stock
$23.95
Description: AMAT 1270-90105 SWITCH SELECTOR 2 POSITION
capitolareatech
[view on eBay]
NEW 2
in stock
$87.95
Description: AMAT 1410-01459 Heater Jacket, 30 Mil B Layer Upper Zone 2 Chamber, 6V, 6W
capitolareatech
[view on eBay]
NEW 2
in stock
$104.95
Description: Applied Materials (AMAT) 3870-01011 VALVE Solenoid Brass, 1/4 IN 2 WAY NC, 120VA
capitolareatech
[view on eBay]
NEW 1
in stock
$65.95
Description: Applied Materials (AMAT) 3870-01285 Valve Directional Air 2 POS 24VDC 15-150 PSI
capitolareatech
[view on eBay]
NEW 1
in stock
$227.95
Description: AMAT 3870-03767 VALVE, MECH TWIST, 2 POSITION
capitolareatech
[view on eBay]
NEW 2
in stock
$155.95
Description: Applied Materials (AMAT) 0140-21031 HARNESS ASSY, HTESC, STD BODY, POS 2 & 3
capitolareatech
[view on eBay]
NEW 1
in stock
$29.95
Description: Applied Materials (AMAT) 0150-09590 EMO #2 REMOTE CABLE ASSY DELTA
capitolareatech
[view on eBay]
NEW 2
in stock
$699.95
Description: Applied Materials (AMAT) 0150-09589 CABLE ASSY,REMOTE DIGITA L #2
capitolareatech
[view on eBay]
NEW 1
in stock
$899.95
Description: Applied Materials (AMAT) 0150-09588 CABLE ASSY,REMOTE ANALOG #2
capitolareatech
[view on eBay]
NEW 32
in stock
$20.95
Description: Applied Materials (AMAT) 0020-37547 Block, Spacer, 2 Cond Fuse Holder
capitolareatech
[view on eBay]
NEW 1
in stock
$733.95
Description: AMAT 0020-35958 Bracket 2, Platter, 5200HT
capitolareatech
[view on eBay]
NEW 1
in stock
$52.95
Description: Applied Materials (AMAT) 0020-31976 BRACKET,RF MATCH MOUNTING PLATE #2
capitolareatech
[view on eBay]
NEW 1
in stock
$440.95
Description: Applied Materials (AMAT) 0040-22858 BRACKET, LEFT GAMMA 2 PROCESS GAS LINE
capitolareatech
[view on eBay]
NEW 46
in stock
$650.95
Description: AMAT 0040-09963 Pedestal, 150mm Flat, IS, NI Lift 2, HVCEN
storefarm
[view on eBay]
Used 2
in stock
$1,498.00
Description: [Used] NOVELLUS / 02-266872-00 / WTS-HV SIOC 2, Rev.A, 1pcs
storefarm
[view on eBay]
Used 14
in stock
$998.00
Description: [Used] NOVELLUS / 02-310728-00 / IXT PVD SIOC 2, HDSIOC EM COIL, Rev.A, 1pcs
capitolareatech
[view on eBay]
NEW 1
in stock
$116.95
Description: Applied Materials (AMAT) 0140-05963 HARN ASSY, SERVO DRIVER PWR, ICLEAN 2, I
capitolareatech
[view on eBay]
NEW 1
in stock
$156.95
Description: AMAT 0140-03770 HARNESS ASSY, AC PWR INPUT, DCPS UNIT #2
capitolareatech
[view on eBay]
NEW 1
in stock
$209.95
Description: AMAT 0140-12033 CABLE PLATEN 2, P2 GUTTER FLUSH FLOW SEN
capitolareatech
[view on eBay]
NEW 1
in stock
$69.95
Description: Applied Materials (AMAT) 0140-10198 Harness Assembly, INTCON, Turbo CNTRL/PCB, 2
capitolareatech
[view on eBay]
NEW 2
in stock
$37.95
Description: APPLIED MATERIALS (AMAT) 0020-76096 SPACER SET 2 ROBOT DRIVE
capitolareatech
[view on eBay]
NEW 2
in stock
$494.95
Description: Applied Materials (AMAT) 0150-09222 CABLE ASSY TEOS EXT 2
capitolareatech
[view on eBay]
NEW 1
in stock
$17.95
Description: Applied Materials AMAT 3370-01029 HDL RND 4 LOG 2 HIGH SST 12THK 516-18THD
capitolareatech
[view on eBay]
NEW 4
in stock
$15.95
Description: Applied Materials AMAT 3400-90046 HOSEPVC REINF 10ID 16OD 2 Feet 10 Inches Long
capitolareatech
[view on eBay]
NEW 1
in stock
$40.95
Description: Applied Materials (AMAT) 1270-01638 SWITCH CONTACTOR 280VAC 60Hz 0+ 2+ SGL-WIRE
capitolareatech
[view on eBay]
Used 2
in stock
$202.95
Description: Applied Materials (AMAT) 0090-00075 ASSY, 2 POS DOUBLE SOLENOID VALVE, 6"
capitolareatech
[view on eBay]
Used 1
in stock
$13.95
Description: Applied Materials (AMAT) 0060-76133 LAB.WARNING (PACK OF 2)
capitolareatech
[view on eBay]
Used 1
in stock
$13.95
Description: Applied Materials (AMAT) 0060-76051  (PACK OF 2)
capitolareatech
[view on eBay]
NEW 1
in stock
$26.95
Description: Applied Materials (AMAT) 1300-01005 TIE BLOCK (PACK OF 2)
capitolareatech
[view on eBay]
Used 1
in stock
$25.95
Description: Lam Research (LAM) 713-013486-001 Plate, 2 3/4" X 1 1/8"
capitolareatech
[view on eBay]
NEW 4
in stock
$13.95
Description: Lam Research LAM 739-060238-001 SEAL METAL VOSEAL 2 12
capitolareatech
[view on eBay]
NEW 2
in stock
$5,603.95
Description: LAM RESEARCH (LAM) 713-002617-003 WDO POLYCRAB CHAMBER DUAL SEAL 2
j316gallery
[view on eBay]
NEW 2
in stock
$502.06
Description: 20297 APPLIED MATERIALS TRAY HOOP SIDE 2 LOADLOCK PRODUCER S NEW 0021-24319
capitolareatech
[view on eBay]
Used 1
in stock
$188.95
Description: Applied Materials (AMAT) 0140-10187 HARNESS, ASSEMBLY 2 CONTACTOR ADAPTER
capitolareatech
[view on eBay]
Used 1
in stock
$149.95
Description: Applied Materials (AMAT) 0050-18612 WLDMT SIH4 PURGE FINAL #2 TOSHIBA ULTIMA
storefarm
[view on eBay]
Used 2
in stock
$2,998.00
Description: [Used] NOVELLUS / 02-281204-00 / INOVANXT PRECLN B, Rev.D or 2, 1pcs
j316gallery
[view on eBay]
NEW 19
in stock
$133.83
Description: 20892 APPLIED MATERIALS CABLE ASSY, ECAT IN-BULKEEAD XFR 2 ELMO (NEW) 0150-33714
capitolareatech
[view on eBay]
NEW 1
in stock
$9.95
Description: Applied Materials 3870-01338 Parker V500-P-4-04 VALVE BALL 2 WAY
capitolareatech
[view on eBay]
Used 3
in stock
$139.95
Description: Applied Materials 0150-21186 Cable, Assy. Water Flow Interlock Cryo 2 & 3
capitolareatech
[view on eBay]
NEW 3
in stock
$107.95
Description: Applied Materials 0140-16145 H/A, SMIF-ASYST LD/ULD EV INTRCNCT, PH 2
capitolareatech
[view on eBay]
Used 1
in stock
$35.95
Description: Applied Materials 0150-09576 CABLE, ASSY. DIGITAL #2 GAS I/F V4 ELECTRICAL
capitolareatech
[view on eBay]
Used 5
in stock
$67.95
Description: Applied Materials (AMAT) 0140-20723 Harness, Assy. 2 Pre-Clean/ CD/M-F
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 177-0303// AMAT APPLIED 3870-02497 VALVE, MANUAL BALL 2 WAY- 1/2" [NEW]
capitolareatech
[view on eBay]
Used 1
in stock
$189.95
Description: Applied Materials (AMAT) 0050-20951 GASLINE, MANIFOLD PRCS CH 3, MFC 2 & 3 V
j316gallery
[view on eBay]
NEW 3
in stock
$227.56
Description: 20908 APPLIED MATERIALS CBL ASSY J64-XFR 2 SV PNEU BHD XFR1 PROD(NEW) 0150-32967
j316gallery
[view on eBay]
NEW 1
in stock
$227.56
Description: 20926 APPLIED MATERIALS CABLE ASSY XFR 2 PR GAUGE PRODUC (NEW) 0150-42517
j316gallery
[view on eBay]
NEW 9
in stock
$120.44
Description: 20911 APPLIED MATERIALS CABLE ASSY, CH-E DAQ XFR 2, PRODUCER (NEW) 0150-33695
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0021-35946 Edge Ring Set w/ 2 Inserts, 324783
bestoneshop-korea
[view on eBay]
Used 1
in stock
$499.99
Description: AKT AMAT 0100-71055 REV.04 CVD COMMUNICATION INTERFACE BOARD #2
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: 2 Swagelok 6LVV-DPFR4-P-C Shutoff Isolation Valve Novellus 10-393848-00 453316
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: LAM 853-810342-001 Assy, 2 Parker Veriflo 944SSFSMMPM Diaphragm Valves, 45300507
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: LAM 853-810342-001 Assy, 2 Parker Veriflo 944SSFSMMPM Diaphragm Valves, 45300507
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: LAM 853-810342-001 Assy, 2 Parker Veriflo 944SSFSMMPM Diaphragm Valves, 45300507
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: LAM 853-810342-001 Assy, 2 Parker Veriflo 944SSFSMMPM Diaphragm Valves, 45300507
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: LAM 853-810342-001 Assy, 2 Parker Veriflo 944SSFSMMPM Diaphragm Valves, 45300507
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: LAM 853-810342-001 Assy, 2 Parker Veriflo 944SSFSMMPM Diaphragm Valves, 45300507
usedeqsales
[view on eBay]
Used 1
in stock
$1,210.20
Description: UNIT Instruments UFC-8560 Mass Flow Controller MFC 2 SLM O2 AMAT 3030-10037 New
senior-inc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0150-76513 CABLE ASSY, MAIN FRAME UMBILICAL #2,25.5 / 200MM UMBILICAL CABLE
grandbirdnet
[view on eBay]
NEW 2
in stock
$50.00
Description: AMAT 0015-76163 SPRING LINK 2 ROBOT 300MM, NEW
expertsurplus
[view on eBay]
Used 4
in stock
$250.00
Description: AMAT, Gas Line, 1/2" Line 2, Dual Vaporizer, 300MM Tanox, 0050-53948, Used
expertsurplus
[view on eBay]
Used 2
in stock
$150.00
Description: AMAT, Tubing Coolant Line, 0050-37815, Used, Lot of 2
expertsurplus
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT, Gasket VCR 1/4 SST Contoure, 3320-01045, Used, Lot of 2
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,900.00
Description: 0010-10327 /SHELL ASSY, ESC 200MM NOTCH 2 5MIC 0.8 THK 0020-32909 / AMAT
expertsurplus
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT, ADAPTER, SHUTTER CHAMBER @ 1,D MIXED, 0050-24750, New, Lot of 2
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 114-0301// NOVELLUS 02-387943-00 ASM, HDSIOC 2 HV INEXT PVD [USED]
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$5,000.82
Description: 0010-21788 / MAGNET ASSY GAMMA 2, 0020-28593, 0020-29696 /APPLIED MATERIALS AMAT
powersell007
[view on eBay]
Used 1
in stock
$2,999.00
Description: APPLIED MATERIALS 0042-18393 PLASMA CHOKE, 2 PIECE SHIELD (Cu) AMAT
capitolareatech
[view on eBay]
NEW 6
in stock
$245.95
Description: Applied Materials AMAT 0050-21097 VACUUM LINE 2 MANUAL VALVE LOADLOCK B
gophersales
[view on eBay]
Used 1
in stock
$260.00
Description: AMAT Applied Materials ISI 0190-22161 2 3/4" CF Feedthrough
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 0020-05739 Insulator, Bottom Vespel, 453483
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 0020-05740 Insulator, Top, Vespel, 453482
smartelektronikgmbh
[view on eBay]
Used 1
in stock
$200.00
Description: 0021-21002 MANIFOLD WATER NESLAB B101@CH1,2,3,4
banyanteam
[view on eBay]
Used 1
in stock
$60.00
Description: Novellus 27-402813-00 O-Ring (Pack Of 2)
auctionrus
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 AMAT 3080-01178 Belt 5MM Pitch 9MM Width 900GROOV, 453500
j316gallery
[view on eBay]
Used 8
in stock
$2,538.41
Description: 8266 APPLIED MATERIALS 6 NOTCH 8" HEATER ASSY W/ 2 TC, 0020-20125 0040-20505
bestoneshop-korea
[view on eBay]
Used 2
in stock
$149.00
Description: LAM 839-123141-030 REV:A SWAGELOK 6L-ELD8-WWX-P MANUAL VALVE #2
bestoneshop-korea
[view on eBay]
Used 2
in stock
$649.99
Description: 1PC LAM 853-801876-015 REV:B Controller #2
austintxresale
[view on eBay]
NEW 1
in stock
$8.00
Description: Lot of 2 AMAT Applied Materials 0060-02001 Warning Hazardous Power Labels
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,800.00
Description: AMAT 0190-63786 BALL SCREW AND NUT LOT OF 2, NEW
goldgreenmetal
[view on eBay]
Used 1
in stock
$300.00
Description: Applied Materials 0020-70203 Plate Chamber Blankof W/ 2 units ARCOL HS200 33R F
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$436.22
Description: 0150-20015 / CABLE ASSY,CHAMBER 2 INTERCONNECT, 25' / APPLIED MATERIALS AMAT
usedeqsales
[view on eBay]
Used 2
in stock
$362.12
Description: Lam Research 715-130092-008 200mm Robot End Effector Fork Rev. 2 Working Surplus
grandbirdnet
[view on eBay]
NEW 1
in stock
$8,500.00
Description: AMAT 0010-24584 VIEWPORT LID ENDURA 2 PRECLEAN CHAMBER , NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$268.14
Description: 0150-21340 / CHAMBER 2 INTERCONNECT EMC COMPLIANT (SEALED) / APPLIED MATERIALS
grandbirdnet
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0200-10285 GUIDE PIN LIFT DXZ LOT OF 2, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$350.68
Description: 0050-88510 / GAS LINE FLOW 2 INLET TO INNER VALVE / APPLIED MATERIALS AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$501.21
Description: AMAT Applied Materials 0010-08581 Generator Rack I/O Block 300mm Lot of 2 As-Is
grandbirdnet
[view on eBay]
NEW 1
in stock
$400.00
Description: LAM RESEARCH 853-810609-025 ASSY, CA, EMO, RPDB, PUMP, 2 , NEW
banyanteam
[view on eBay]
NEW 1
in stock
$75.00
Description: Applied Materials 3870-02963 SPR Leaf For Gate Valve (Pack Of 2)
grandbirdnet
[view on eBay]
NEW 1
in stock
$4,000.00
Description: LAM RESEARCH 713-002617-003 WDO, POLYCARB, CHMBR,DUAL SEAL,2, NEW
banyanteam
[view on eBay]
Used 1
in stock
$42.00
Description: Novellus 15-032263-00 Threaded Rod Pipe Support Hanger 1/4-20 Thread (Pack Of 2)
powersell007
[view on eBay]
Used 1
in stock
$6,999.00
Description: APPLIED MATERIALS 0041-02659 ADAPTER 300MM PC XT TURBO/XTE ENDURA 2 AMAT *UNUSED
auctionrus
[view on eBay]
NEW 1
in stock
$60.00
Description: 2 AMAT 3310-01261 Gauge Press1.5" DIA 0-15 PSI 1/8NPT CTR, 453688
usedeqsales
[view on eBay]
Used 1
in stock
$311.19
Description: AMAT Applied Materials 1310-01077 TC Washer Type K 70XKUGB114F Lot of 2 New
myriadindustrial
[view on eBay]
NEW 1
in stock
$47.45
Description: 2 ea Swagelok Brass Bulkhead Male 3/4 T x 3/4 NPT Applied Materials 3300-08153
grandbirdnet
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0270-00649 SHAFT PLUG SHIPPING SINERGY PART 2, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,729.83
Description: 0010-20218 / GAS BOX #2 ASSY / APPLIED MATERIALS AMAT
grandbirdnet
[view on eBay]
NEW 2
in stock
$750.00
Description: AMAT 0040-13871 ARM, LEFT, 300MM ROBOT LOT OF 2, NEW
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0051-07087 Gasline Assy w/ 2 Nupro 6LV-DLBW4 Valves, Isolation, 453785
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: 2 AMAT 0051-01713 Gas Manifold Assy w/ Fujikin and Tescom Valves, 453836
myriadindustrial
[view on eBay]
NEW 1
in stock
$45.99
Description: Lot of 2 AMAT Applied Materials Pneumatic Valve SMC 3870-01300
grandbirdnet
[view on eBay]
Used 2
in stock
$850.00
Description: AMAT 0040-13872 ARM, RIGHT, 300MM ROBOT LOT OF 2, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 3800-01159 Regulator Gas Press 0-30 PSI 2 Port 1/4 VCR M/M (RTP), 100143
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 AMAT 0020-21901 Bracket Shield, 100135
grandbirdnet
[view on eBay]
NEW 1
in stock
$13,000.00
Description: AMAT 409894R3-RV Item 144-Bag 1 of 2 0242-42922 (300mm RADIANCEPLUS RETROF, NEW
banyanteam
[view on eBay]
NEW 4
in stock
$30.00
Description: Novellus 04-710584-01 Rev B Spring Mount (Pack Of 2)
farmoninc
[view on eBay]
Used 1
in stock
$175.00
Description: 2 AMAT 0050-34811 VCR Gas Line Tee Connector, 100194
grandbirdnet
[view on eBay]
Used 4
in stock
$1,950.00
Description: AMAT 0010-77648 TITAN 2 UC MANIFOLD ASSEMBLY, USED
banyanteam
[view on eBay]
Used 1
in stock
$26.50
Description: Lam Research Type 746-000219-001 LAM Gate Linkage Bearing (Pack Of 2)
farmoninc
[view on eBay]
NEW 1
in stock
$10.00
Description: 2 AMAT 3700-01288 GSKT VCR 1/4 NI Contoured RTNR, 407513
banyanteam
[view on eBay]
NEW 6
in stock
$18.00
Description: Applied Materials 3060-01133 AMAT Ball Bearing (Pack Of 2)
visionsemi
[view on eBay]
NEW 6
in stock
$400.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 3 PRE ISO BEND 2 300MM 0190-46996 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$350.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 2 BALL & PCV SEC EPI 300MM 0190-47420 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$350.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 2 BALL & PCV SEC EPI 300MM 0190-47419 NEW
visionsemi
[view on eBay]
NEW 78
in stock
$65.00
Description: BRISK HEAT CENTIPEDE 2 CONTROL MODULE C2MOD-C REV 2 AMAT 1410-02487 NEW
grandbirdnet
[view on eBay]
Used 4
in stock
$1,950.00
Description: AMAT 0010-77647 TITAN 2 UC MANIFOLD ASSEMBLY, USED
visionsemi
[view on eBay]
NEW 3
in stock
$150.00
Description: APPLIED MATERIALS AMAT CABLE 2 FT CAT5 10BASE T 0150-13229 LOT OF 20 NEW
equipplus
[view on eBay]
Used 4
in stock
$149.00
Description: Lam Research 810-802902-006 Rev C Motherboard,Node 2,PM Pcb Board,Used,Mal*7100
svcheck
[view on eBay]
Used 1
in stock
$132.81
Description: AMAT APPLIED MATERIALS 0150-76193 Cable ASSY Heat Exchanger 2 Cable Assembly
j316gallery
[view on eBay]
Used 1
in stock
$212.75
Description: 24904 APPLIED MATERIALS PCB, EPI 300MM INTERLOCK MODULE 2, DIP499-5 0190-14286
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 2 SKF FYT 5/8 FM Ball Bearing Flange Unit, AMAT 3060-01671, 100589
j316gallery
[view on eBay]
NEW 2
in stock
$3,868.86
Description: 24023 LAM RESEARCH CLP, BOTTOM, MTG, TOP CHMBR, 2 (NEW) 713-026330-004
banyanteam
[view on eBay]
Used 1
in stock
$395.00
Description: Novellus Type 17-111972-02 Shield Adaptor HCM (2 Wire Arc Spray) Thermal Coating
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-10309, ADAPTER WITH KF25 FLANGE, New, Lot of 2
usedeqsales
[view on eBay]
Used 3
in stock
$354.21
Description: AMAT Applied Materials 0020-22839 Pin Preclean Lift Reseller Lot of 2 New Spare
pneumatplus10
[view on eBay]
Used 2
in stock
$199.00
Description: LAM Research 810-802902-036 Rev A Node 2 MB,PM,HE Board,Used,US^7157
partskorea1
[view on eBay]
Used 1
in stock
$999.00
Description: AMAT 0130-02363 001 Mainframe Interlock 2 Relays
farmoninc
[view on eBay]
NEW 1
in stock
$1,750.00
Description: Novellus 02-164573-00 Assy, Stepper Motor, 2 (NC), UDK5214NW, PK566BW-N10,408242
visionsemi
[view on eBay]
Used 1
in stock
$250.00
Description: APPLIED MATERIALS AMAT CLAMP COOLING VER 2 ROBOT PH IIA METCH 0020-31786
expertsurplus
[view on eBay]
Used 1
in stock
$425.00
Description: AMAT, 2 Stick Manifold Side PallotNuproDCVD, 0242-37879, New
usedeqsales
[view on eBay]
Used 1
in stock
$905.21
Description: Lam Research 716-011763-008 Wafer Clamp Edge 8" Edge Ring Rev. 2 Cleaned
expertsurplus
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT, FTG Flange Blank- OFF KF25 1.570DX.20T, 3300-03548, New, Lot of 2
usedeqsales
[view on eBay]
Used 2
in stock
$355.21
Description: AMAT Applied Materials 0020-76382 EMO Switch Bracket Reseller Lot of 2 New Spare
usedeqsales
[view on eBay]
Used 1
in stock
$305.21
Description: AMAT Applied Materials 0150-91746 4500MM/SMA-SMA 455475-07 Cable Lot of 2 New
banyanteam
[view on eBay]
Used 3
in stock
$15.75
Description: Applied Materials Type 0020-06048 Throttle Valve Bushing (Pack Of 2)
maxisemi1349
[view on eBay]
Used 1
in stock
$250.00
Description: 796-092033-004 VALVE, 2-WAY, lot of 2
usedeqsales
[view on eBay]
Used 1
in stock
$255.21
Description: AMAT Applied Materials 0020-30420 8" Pedestal Cover Reseller Lot of 2 Working
usedeqsales
[view on eBay]
Used 1
in stock
$605.21
Description: AMAT Applied Materials 0020-04306 200mm EXT Cathode Insert Lot of 2 New Surplus
elctroram
[view on eBay]
Used 2
in stock
$180.00
Description: Advanced Energy PCB MCF5272 Coldfire Module 2
farmoninc
[view on eBay]
NEW 1
in stock
$30.00
Description: 2 AMAT 3700-01709 Oring, ID .351 CSD .072, Chemraz, SC513, 80, Duro White,101307
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: 2 Novellus 17-283255-00 Plate, Weight, Mix Bowl, Top HTP, C2SEQ-X, 101392
farmoninc
[view on eBay]
NEW 1
in stock
$80.00
Description: 2 AMAT 3300-05419 FTG Union BLKHD 3/8", 101415
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 AMAT 3550-00086 Pin Ball Lock Ring - Grip QK - REL 3/8 DIA X, 101460
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 0040-32420 Strap, RF Output, 101554
capitolareatech
[view on eBay]
NEW 1
in stock
$1,645.95
Description: Applied Materials (AMAT) 0040-60952 PIPE #2, OPTICAL CABLE MOUNT, EYED IEP,
capitolareatech
[view on eBay]
Used 1
in stock
$399.95
Description: Applied Materials (AMAT) 0100-76109 PCB ASSY, STATUS DISPLAY, MF CNTRL #2
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 0021-35813 Cable Flange, 101609
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 2 AMAT 1210-90364 RES 5K .012, 101645
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 2 AMAT 0020-02604 Space Collar.Positionable Elbow Fitting, 101664
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 AMAT 3220-01036 Coupling Shaft 1/4 Bore, 101682
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 3300-05763 FTG TBG Male Conn 1/2T X 1/2NPT, 101708
farmoninc
[view on eBay]
NEW 1
in stock
$20.00
Description: 2 AMAT 3300-05418 FTG Barb Tee Kynar, 101723
farmoninc
[view on eBay]
NEW 1
in stock
$20.00
Description: 2 AMAT 0020-02037 Bracket Spring, 101744
comwaysind
[view on eBay]
Used 1
in stock
$2,185.70
Description: AMAT 0190-25378 Chamber top s position sensor one set of 2 Applied Materials etc
farmoninc
[view on eBay]
NEW 1
in stock
$5.00
Description: 2 AMAT 3500-01027 NUT Keps 6-32 9/64THK STL CAD-PLT, 101791
farmoninc
[view on eBay]
Used 1
in stock
$100.00
Description: 2 AMAT 0020-06465 Pad Springs, 101799
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 0020-76242 Rot. Stop LL Cover Lifter, 101824
roundtable1
[view on eBay]
NEW 1
in stock
$189.00
Description: APPLIED MATERIALS (AMAT) 0021-21002 MANIFOLD WATER NESLAB B101@CH1,2,3,4
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 0020-76242 Rot, Stop LL Cover Lifter, 101861
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0020-44261 Clamp 2, Nova Tubing Bottom, Reflexion, 101953
spsglobal
[view on eBay]
Used 5
in stock
$10.00
Description: 352-0103// AMAT APPLIED 0020-12283 DIN RAIL, PH 2 EMO SHUNT TRIP, CENTURA C NEW
farmoninc
[view on eBay]
Used 1
in stock
$550.00
Description: AMAT 0290-20007 Bracket, Pillow Block Source, Endura, CH 2, 0020-20156, 102113
usedeqsales
[view on eBay]
Used 1
in stock
$658.21
Description: Lam Research 715-007121-001 5 Inch ENT Wafer Holder Lot of 2 490 AutoEtch New
farmoninc
[view on eBay]
Used 1
in stock
$30.00
Description: 2 AMAT 3700-02441 Gas Mixer Oring, 102193
usedeqsales
[view on eBay]
Used 2
in stock
$658.21
Description: Lam Research 715-009777-002 4 Inch Electrode Cap Reseller Lot of 2 New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,208.21
Description: Novellus Systems 15-053394-03 200mm Wafer Fork Finger Lot of 12 Concept 2 New
usedeqsales
[view on eBay]
Used 1
in stock
$908.21
Description: Novellus Systems 15-141120-00 300mm PVD Dummy Pedestal Rev. 2 Working Surplus
capitolareatech
[view on eBay]
Used 1
in stock
$999.95
Description: Applied Materials (AMAT) 0200-09342 RING INNER 125 MM 115 MM 2 FLT 180 SHADO
maxisemi1349
[view on eBay]
Used 1
in stock
$80.00
Description: 18-356142-00 SEAL,QUAD RIING,VITON, lot of 2
myriadindustrial
[view on eBay]
NEW 1
in stock
$28.75
Description: Lot of 2 Applied Materials AMAT 3/8 x 3/8 NPTF Elbow Pipe Fittings 3300-01727 SS
myriadindustrial
[view on eBay]
NEW 1
in stock
$34.75
Description: Lot of 2 Applied Materials Tube Fitting Caps 1/4T SST 3300-01146 402377-XA
myriadindustrial
[view on eBay]
NEW 1
in stock
$34.75
Description: Lot of 2 Applied Materials AMAT Tube Fitting Connector 3/8T 3/8TS SST 3300-01752
myriadindustrial
[view on eBay]
NEW 1
in stock
$29.99
Description: Lot of 2 AMAT Tubing Fitting Adapter 3/8T 1/4MNPT x 9/16-18 3300-02367 SS
myriadindustrial
[view on eBay]
NEW 1
in stock
$39.99
Description: Lot of 2 Applied Materials Tubing Fitting Adaptor 1/2T x 3/4-1 AMAT 3300-03188
myriadindustrial
[view on eBay]
NEW 1
in stock
$19.99
Description: Lot of 2 11/16" Applied Materials ORFS Seal Fitting Tube End AMAT 3300-07212
myriadindustrial
[view on eBay]
NEW 1
in stock
$104.99
Description: Lot of 2 SMG 1/3VCR - M9/16 Tube Plug Fittings Applied Materials AMAT 3300-01513
myriadindustrial
[view on eBay]
Used 1
in stock
$15.99
Description: Lot of 2 each Applied Materials AMAT Tubing Connector 3/8T 1/4TS 3300-01087
roundtable1
[view on eBay]
NEW 1
in stock
$199.99
Description: applied materials 0050-33055 (Lot of 2) BTM FEED ODD VLV LOWER CONN
myriadindustrial
[view on eBay]
NEW 1
in stock
$69.75
Description: Lot of 2 Applied Materials Barb Push Pipe Fitting Connectors 3300-02747
myriadindustrial
[view on eBay]
NEW 2
in stock
$19.99
Description: Lot of 2 Applied Materials Barb 3/8H 3/8 MNPT Push-Lok Hose Fitting 3300-02888
myriadindustrial
[view on eBay]
NEW 10
in stock
$54.75
Description: 2 ea Applied Materials Barbed Hose Fitting 3/8H x 9/16 18SAE 37 Deg 3300-02614
visionsemi
[view on eBay]
Used 3
in stock
$3,000.00
Description: APPLIED MATERIALS AMAT VALVE PANEL 2 SIDE DPSII MODULAR ETCH 0041-11617
j316gallery
[view on eBay]
Used 1
in stock
$22,171.10
Description: 26165 APPLIED MATERIALS ASSY, MAGNET, SIP REV 2, 0020-02800 0010-04065
farmoninc
[view on eBay]
Used 1
in stock
$17,500.00
Description: AMAT 0040-20850 Heater Assy, 8" VCR w/ 2 TCs, Bellows, 0010-21356, 102293
usedeqsales
[view on eBay]
Used 1
in stock
$309.21
Description: Novellus Systems 27-459910-00 10.75" Conflat Vacuum Gasket Reseller Lot of 2 New
jens.pens
[view on eBay]
Used 1
in stock
$495.00
Description: Nikon KAB11000-3401 Rev 2 MIC-CNT 2S005-230 4S005-342 with 30 day warranty
capitolareatech
[view on eBay]
Used 2
in stock
$679.95
Description: Applied Materials (AMAT) 0150-09589 CABLE ASSY,REMOTE DIGITA L #2
capitolareatech
[view on eBay]
Used 1
in stock
$699.95
Description: Applied Materials (AMAT) 0150-20026 CABLE ASSY, REMOTE 2 INTERCONNECT 40'
capitolareatech
[view on eBay]
Used 3
in stock
$879.95
Description: Applied Materials (AMAT) 0150-09588 CABLE ASSY,REMOTE ANALOG #2
capitolareatech
[view on eBay]
Used 1
in stock
$1,499.95
Description: Applied Materials (AMAT) 0150-01411 CABLE ASSY, 2 MHZ COAXIAL, 50 FT, REACTI
capitolareatech
[view on eBay]
Used 3
in stock
$449.95
Description: Applied Materials (AMAT) 0520-01014 ACTUATOR RTRY 2 FLG MT SGL VN/DSFT
capitolareatech
[view on eBay]
Used 1
in stock
$239.95
Description: Applied Materials (AMAT) 0150-70132 CABLE ASSY HEATER AC POS 1 2 4 WIDE BODY
capitolareatech
[view on eBay]
NEW 1
in stock
$69.95
Description: APPLIED MATERIALS (AMAT) 0020-23138 Bracket Sensor, A.L.L. Cassette Sensor 2
capitolareatech
[view on eBay]
Used 2
in stock
$799.95
Description: Applied Materials (AMAT) 0050-09277 WELDMENT ASSY CLUSTER DOPANT 2, CHMBR A/
partskorea1
[view on eBay]
Used 2
in stock
$360.00
Description: LAM RESEARCH MOTHERBOARD NODE 2 PM ADP/DFC 810-802902-003
capitolareatech
[view on eBay]
Used 1
in stock
$699.95
Description: Applied Materials (AMAT) 0050-36678 LINE HE SUPPLY 2 OF 3,CENTURA METAL ETCH
usedeqsales
[view on eBay]
NEW 1
in stock
$610.21
Description: AMAT Applied Materials 0040-13407 Robot Anti Backlash Gear Reseller Lot of 2 New
j316gallery
[view on eBay]
Used 1
in stock
$438.36
Description: 26443 LAM RESEARCH PCB, MOTHERBOARD, NODE 2, PM, ADP/DFC (PARTS) 810-802902-005
capitolareatech
[view on eBay]
Used 4
in stock
$299.95
Description: 3870-01223 Applied Materials (AMAT) VALVE PNEU BLWS 2 WAY MINI NC SST 1/4 VC
capitolareatech
[view on eBay]
Used 1
in stock
$249.95
Description: Applied Materials (AMAT) 3870-01235 VALVE PNEU BLWS 2 WAY MINI NC SST 1/4 BW
j316gallery
[view on eBay]
Used 1
in stock
$524.76
Description: 26669 APPLIED MATERIALS INSULATOR, 2. LO (B) RF BIAS, HDPCVD TRIT OEM 0020-28741
katiil3
[view on eBay]
Used 1
in stock
$119.00
Description: Applied materials 3300-02650 FTG TBG PLUG 1/2T 37 DEG FLARED Qty 2
katiil3
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT Applied Materials, COVER, GAS TRANCH - CLEANED, p/n 0020-26967 ( LOT OF 2 )
katiil3
[view on eBay]
Used 1
in stock
$149.00
Description: Applied Materials AMAT 0040-01752 CLAMP, FORELINE, BOTTOM, NON-DPA,200MM Qty 2
katiil3
[view on eBay]
Used 1
in stock
$199.00
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 lot of 2
katiil3
[view on eBay]
Used 2
in stock
$199.00
Description: Applied Materials AMAT 0140-08688 CABLE SLURRY ARM INTERLOCK, PLATEN 2,3
katiil3
[view on eBay]
NEW 1
in stock
$199.00
Description: Applied Materials AMAT 3800-00828 RGLTR PRESS AIR 1.0MPA 1/4NPT 1/8BODY W/ Qty 2
katiil3
[view on eBay]
Used 1
in stock
$199.00
Description: Applied Materials AMAT 3480-00317 Mount LVL FOOT SWIVEL 1/2-13X5-1/8L NYL Qty 2
katiil3
[view on eBay]
NEW 0
in stock
$65.00
Description: Applied materials 0150-20639 Cable Assy 2 Phase driver Input
katiil3
[view on eBay]
Used 1
in stock
$99.00
Description: AMAT 0020-51641 BRACKET, MAG SWITCH, 200MM BAKEOUT LAMPS ( QTY 2 )
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$6,500.03
Description: 3152603-018 / E-WAVE 2 CHANNEL POWER SUPPLY 40A 48V / ADVANCED ENERGY
allforsale555
[view on eBay]
Used 1
in stock
$199.00
Description: Applied Materials AMAT 0150-03025 CABLE ASSY, HEAD 2 UPA (4P), ANALOG I/O,
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 344-0502// AMAT APPLIED 0090-00075 ASSY, 2 POS DOUBLE SOLENOID VA [USED]
j316gallery
[view on eBay]
Used 2
in stock
$862.21
Description: 27438 ADVANCED ENERGY PCB, PARAMOUNT VHF I/O EXPANSION 2 23020152-A
bestoneshop-korea
[view on eBay]
Used 1
in stock
$799.99
Description: NIKON APX-3504-NK 4S026-358 BOARD #2
bntyhunter07
[view on eBay]
Used 1
in stock
$468.21
Description: Veriflow diaphragm 2 valve manifold w/weldment AMAT 0050-32395 C
bntyhunter07
[view on eBay]
Used 1
in stock
$783.21
Description: Veriflow diaphragm 2 valve opposing manifold w/weldment AMAT 0050-35986 Rev 001
bntyhunter07
[view on eBay]
Used 1
in stock
$638.21
Description: Veriflow diaphragm 2 valve opposing manifold with weldment AMAT 0050-93001 Rev 1
bntyhunter07
[view on eBay]
Used 1
in stock
$734.21
Description: FUJIKIN 2 VALVE MANIFOLD WITH WELDMENT AMAT 0050-62049 Rev 002
bntyhunter07
[view on eBay]
Used 1
in stock
$530.21
Description: Veriflow diaphragm 2 valve manifold w/weldment AMAT 0050-63813 Rev 001
bntyhunter07
[view on eBay]
Used 1
in stock
$630.21
Description: Veriflow diaphragm 2 valve manifold w/weldment AMAT 0050-90703 Rev 002
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 178-0303// AMAT APPLIED 0680-01231 CB THERM SGL POLE 3A PB50VDC 2 [USED]
farmoninc
[view on eBay]
NEW 1
in stock
$850.00
Description: 2 AMAT 0021-15013 Plate, Blocker, HE Carrier, 0021-14670 Screw, Alum, DXZ 398016
j316gallery
[view on eBay]
NEW 1
in stock
$216.83
Description: 26869 APPLIED MATERIALS BOLT GAS SPRING INSTALL TOOL (LOT OF 2) (NEW) 0020-63338
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-05346 Used Base, RF Heater AC 2, MCA, 102945
katiil3
[view on eBay]
Used 2
in stock
$599.00
Description: Applied materials 1110-00169 Network IO Module Digital 4IN/4OUT DNET Lot of 2
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: Aera FC-7800CU Mass Flow Controller, MFC, O2, 2 SLM, Novellus 17-043458-00
liquiditech
[view on eBay]
Used 2
in stock
$44.10
Description: AMAT CABLE ASSEMBLY, ER INTLK PCB TO PSYNC CONTROLLER, ENDURA 2 0150-28294
bntyhunter07
[view on eBay]
Used 1
in stock
$2,771.22
Description: VERIFLO 7 + 2 VALVE MANIFOLD ASSY 0050-48934 AMAT
bntyhunter07
[view on eBay]
Used 1
in stock
$734.22
Description: FUJIKIN HAMLET MANIFOLD 2 VALVE MANIFOLD W WELDMENT 0050-91844 REV 001 AMAT
bntyhunter07
[view on eBay]
Used 1
in stock
$561.21
Description: VERIFLO 2 VALVE w/WELDMENT 0050-52172 Rev P1 AMAT
automotiveapple
[view on eBay]
Used 1
in stock
$952.38
Description: As-Is LAM Research NODE 2 MB PM HE 810-802902-038
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0150-77121 CBL ASSY PLATEN 2 MTR 125 FT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77265 CNTRL BKHD PAD COND 2, USED
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 NEW AMAT 0050-31493 VACUUM ELBOW, SYNCRO VAC, 103500
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$499.68
Description: 0200-39297 / 91-1980A COVER QTZ 200MM HIGH PROFILE 2 FLATS / APPLIED MATERIALS
automotiveapple
[view on eBay]
Used 2
in stock
$4,170.00
Description: As-Is NOVELLUS ASM E-HDSIOC ALTUS 2 CMPLT 02-360893-00
usedeqsales
[view on eBay]
Used 1
in stock
$802.22
Description: AMAT Applied Materials 0020-96120 Top Hat Insulator Washer Lot of 2 New Surplus
visionsemi
[view on eBay]
Used 1
in stock
$6,000.00
Description: ADVANCED ENERGY NAVIGATOR 2 0190-64632
dnd_surplus
[view on eBay]
Used 2
in stock
$2,000.00
Description: AMAT Synergy V440 SBC SV21 SBC PCB 0190-20048 Fixes the exception 2 buss errors
j316gallery
[view on eBay]
Used 2
in stock
$558.50
Description: 22667 LAM RESEARCH PCB, MOTHERBOARD, NODE 2, PM, ADP/DFC 810-802902-005
wecansellthat
[view on eBay]
NEW 1
in stock
$199.99
Description: 2 New 3700-01864 SEAL CENTERING RING ASSEMBLY NW160 W/ VITON ORING & SPACER SST
katiil3
[view on eBay]
Used 3
in stock
$2,499.00
Description: Trust C-2027-D01 2 Axis Vector Controller TA-2027 Novellus 63-370393-00 Used
darb-josep
[view on eBay]
NEW 1
in stock
$450.00
Description: 18640 APPLIED MATERIALS 2 VALVE MANIFOLD ASSY, TYPE N.C 0.39-0.99MPA 0041-34814
equipplus
[view on eBay]
Used 1
in stock
$49.90
Description: Lot of 2 Lam Research 839-128995-001 Rev A w HPS Lock Connector,OD~5.5cm&7788
katiil3
[view on eBay]
Used 1
in stock
$399.00
Description: Applied materials / AMAT 0020-18370 Insulator, Feeder, Bias, HDP-CVD Lot of 2
katiil3
[view on eBay]
Used 1
in stock
$599.00
Description: Applied Materials AMAT 0150-01412 Cable Assembly, 2 MHZ Coaxial, 75 FT, Reacti,
katiil3
[view on eBay]
Used 1
in stock
$999.00
Description: Digital Dynamics Novellus 02-293447-00 HDSIOC UV-CURE 2 Firmware Ver. 4.72 Rev A
katiil3
[view on eBay]
Used 1
in stock
$1,449.00
Description: AE ADVANCED ENERGY NAVIGATOR 2 RF MATCH 3155301-014, 3Z80-000653-V3
wecansellthat
[view on eBay]
NEW 5
in stock
$249.99
Description: APPLIED MATERIALS 0140-25911 HARNESS ASSEMBLY Ampoule 1 & 2 INTCN, VAL ShipsFREE
xiahk82
[view on eBay]
Used 1
in stock
$320.00
Description: LAM RESEARCH 710-802902-006 MOTHERBOARD, NODE 2, PM, 810-802902-006,REV:C
automotiveapple
[view on eBay]
Used 2
in stock
$833.33
Description: As-Is NOVELLUS DIGITAL DYNAMICS,INC ALTUS SIOC 2 INTERLOCK 02-109141-00
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 129-0401// AMAT APPLIED 0100-11002 (#2) PWB DIGITAL I/O [USED]
katiil3
[view on eBay]
Used 1
in stock
$249.00
Description: Lam research 03-317582-02 Cable Assy AMHS Loadport 2, MACH VI
katiil3
[view on eBay]
Used 1
in stock
$275.00
Description: LAM Research 03-410914-02 Cable Assy FOUP 2, INTERFACE, C3VCTR
usedeqsales
[view on eBay]
Used 1
in stock
$653.22
Description: AMAT Applied Materials 0020-09350 Robot Tube Bracket Reseller Lot of 2 New
usedeqsales
[view on eBay]
Used 1
in stock
$803.22
Description: AMAT Applied Materials 0021-09003 Base Mounting Washer Reseller Lot of 2 New
surplustechmart
[view on eBay]
Used 5
in stock
$193.50
Description: AMAT Applied Materials 3400-01381 Helium Flex Hose 85x35cm #2
katiil3
[view on eBay]
Used 1
in stock
$119.00
Description: LAM Research 515-254379-001 Lot of 2
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 AMAT 0020-76474 BRKT, GEN RACK, CONNECTOR PLATE A, 103950
tamoldelectronic
[view on eBay]
Used 1
in stock
$45.00
Description: LOT 2 AMAT 0150-20143 WIRE REV 001 SCM 48/10 - 05/10 Mexico
farmoninc
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0020-36233 BRACKET 2 PHASE DRIVER MOUNTING, 105003
farmoninc
[view on eBay]
Used 1
in stock
$1,900.00
Description: 2 AMAT 3870-01455, Nor-Cal Vacuum Valve, 104281
pneumatplus10
[view on eBay]
Used 1
in stock
$79.00
Description: Lot of 2 Lam Research 02-304505-00 Rev D Emergency Switch ,Unused*96059
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 352-0502// AMAT APPLIED 0090-20043 (#2) ASSY, 4 WAY-SINGLE VALVE [NEW]
j316gallery
[view on eBay]
Used 1
in stock
$526.78
Description: 11870 APPLIED MATERIALS WLDMT MNF 2 FINAL VLVS FUJIKIN ULTIMA 038847 0050-18879
j316gallery
[view on eBay]
Used 1
in stock
$191.78
Description: 27762 APPLIED MATERIALS GAS PANEL DEVICENET DIST BD 2 PARTS 0100-00736
katiil3
[view on eBay]
Used 1
in stock
$99.00
Description: Applied materials AMAT 0021-18017 Bracket 1/4'' DIA Gasline 3-SLOT 2'' Mounting
usedeqsales
[view on eBay]
Used 2
in stock
$2,004.22
Description: AMAT Applied Materials 0090-03758 Electronics Tower Backplane PCB Endura 2 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 2 NEW AMAT 3700-01141 O-RING, 2-385-V75, 105167
excessdealer
[view on eBay]
Used 1
in stock
$49.00
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-208 Rev. A
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 323-0302// AMAT APPLIED 0010-00201 (#2) D.I.SENSOR ASSY [ASIS]
usedeqsales
[view on eBay]
Used 1
in stock
$1,505.22
Description: AMAT Applied Materials 0100-02260 Electronic Tower Backplane PCB Endura 2 Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,005.22
Description: Astech ATL-100RA Matching Network RFPP AMAT 1110-01006 Lot of 2 Untested As-Is
farmoninc
[view on eBay]
Used 1
in stock
$220.00
Description: ORIENTAL MOTOR PH264-01B-C11 AMAT 0090-09027 VEXTA 2 PHASE STEPPING MOTOR,105465
usedeqsales
[view on eBay]
Used 1
in stock
$405.22
Description: Proteus 9160B24P6 Flow Meter AMAT Applied Materials 0190-35440 Lot of 2 Working
liquiditech
[view on eBay]
Used 1
in stock
$2,850.00
Description: APPLIED MATERIALS 0041-48723 REV 02 #2
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: Lot of 2 AMAT 3700-01473 O-Ring, Kalrez AS-568A, CPD 022, 1x1-1/8x1/16in, 105924
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$8,999.26
Description: 0195-10755 / CONTROL BOX 2 CHAMBER BMA ADVANTAGE GT-XP UPG101896-P1 / AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 2 AMAT 3320-01165 GSKT VCR 1/4 NI CONTOURED RTNR, 106114
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 AMAT 0010-31876 HOSE, SUPPLY RETURN GEN RACK 300MM P3I, BES-7482-08 A, 106148
powersell007
[view on eBay]
Used 1
in stock
$3,199.00
Description: APPLIED MATERIALS 0041-43374 CAP, INJECT, 2 PORT, ROW EPI, 300MM AMAT
40-30sourcing
[view on eBay]
NEW 3
in stock
$300.00
Description: SET OF 2 Cryo Helium Cross fitting
40-30sourcing
[view on eBay]
Used 5
in stock
$250.00
Description: SET of 2 CRYO LINE PRESSURIZED Stainless Steel Braid Hose 2FT
farmoninc
[view on eBay]
NEW 1
in stock
$25.00
Description: 2 NEW AMAT 3700-01414 O RING ID 5.725 CSD .210 75 DURO B, 106632
x-pressmicro
[view on eBay]
NEW 3
in stock
$349.95
Description: NEW APPLIED MATERIALS 0050-50911 200MM ULTIMA X HDPCVD, ROUGH LINE 2
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: 2 AMAT 0150-09054 ASSY, CABLE, RFMATCH, 106856
ace_stellar_seller
[view on eBay]
Used 1
in stock
$34.99
Description: AMAT 3700-02074 SEAL BALL BSHG (lot of 2)
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0150-09590 EMO #2 REMOTE CABLE ASSEMBLY DELTA A, 106886
farmoninc
[view on eBay]
Used 1
in stock
$3,100.00
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 395376
farmoninc
[view on eBay]
Used 1
in stock
$1,800.00
Description: 2 AMAT 0200-00403 ISOLATOR PUMPING RING, MIDDLE, PRODUCER, 107103
farmoninc
[view on eBay]
NEW 1
in stock
$140.00
Description: 2 AMAT 3700-01959 O-Ring ID 11.975 CSD .210 75 DURO BLK, 106987
farmoninc
[view on eBay]
NEW 1
in stock
$140.00
Description: 2 AMAT 3700-01959 O-Ring ID 11.975 CSD .210 75 DURO BLK, 106974
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: 2 AMAT 3700-02389 O-RING CHEMRAZ SC513, 107378
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0050-75624 2 valve manifold, Rev.A, 945AOPLPNCS4926, 945AOPLPNCS4885,107501
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: 2 NEW AMAT 0040-38577 P1 RIGHT COVER, 107956
spsglobal
[view on eBay]
Used 2
in stock
$210.00
Description: 177-0301// AMAT APPLIED 3870-01245 VALVE PNEU BLWS 2 WAY MINI NC- [ASIS]
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.22
Description: AMAT Applied Materials 0020-27123 200mm Pedestal PCII Ti Lot of 2 Refurbished
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.22
Description: AMAT Applied Materials 0020-24101 200mm Pedestal PCII Ti Lot of 2 Refurbished
farmoninc
[view on eBay]
NEW 1
in stock
$1,900.00
Description: 2 AMAT 0020-21105 CLAMP 8 INCHBUFFER FROG LEG, 108047
automotiveapple
[view on eBay]
Used 1
in stock
$4,761.90
Description: As-Is NOVELLUS SESIOC IXT WTS HV 2 02-266872-00
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0050-87167 HOSE ASSY, HTR LIFT 2 SEND, PRODUCER SE, 108055
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0050-87162 HOSE ASSY, HTR LIFT 2 RET, PRODUCER SE, BES-9553-07 A, 108051
farmoninc
[view on eBay]
NEW 2
in stock
$10.00
Description: 2 AMAT 3880-01006 Washer Flat #6 0.375OD x 0.149ID x 0.31THK SST, 109019
farmoninc
[view on eBay]
NEW 2
in stock
$20.00
Description: 2 AMAT 3880-01023 WASR LKG SPLIT #6 .250D X .1481D X .031THK SST, 109018
farmoninc
[view on eBay]
NEW 1
in stock
$20.00
Description: 2 AMAT 3690-01996 SCREW MACH PAN HD 6-32 x 5/8L PHIL SST, 109026
farmoninc
[view on eBay]
NEW 1
in stock
$10.00
Description: 2 AMAT 0720-01843 CONN RTNG CLIP and 4-40 SCREW, 109025
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 2 AMAT 3320-01165 GSKT VCR 1/4 NI CONTOURED RTNR, 109024
spsglobal
[view on eBay]
Used 1
in stock
$20,000.00
Description: 354-0401// AMAT APPLIED 0010-27417 (#2) 0010-14300 0020-62155 GEARBOX [ASIS]
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.22
Description: AMAT Applied Materials XR80 Backplane PCB Set of 2 0100-90875 0100-91104 Working
visionsemi
[view on eBay]
Used 1
in stock
$1,500.00
Description: NOVELLUS ASM FE-EIOC 1 BELOW CHAMBER 61-431986-00 REV 2 013596-0006
visionsemi
[view on eBay]
Used 1
in stock
$1,534.69
Description: NOVELLUS Asm Fe-Eioc 1 Dessous Chambre 61-431986-00 Rev 2 013596-0006
visionsemi
[view on eBay]
Used 1
in stock
$1,542.25
Description: NOVELLUS ASM FE-EIOC 1 BELOW CHAMBER 61-431986-00 REV 2 013596-0006
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: 2 AMAT 0150-02001 Motor Interface Cable, 108228
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: 2 AMAT 0150-09421 CABLE ASSY,INTERLOCK, FLOW DETECTOR, 108240
farmoninc
[view on eBay]
NEW 1
in stock
$160.00
Description: Lot of 2 AMAT 0020-09820 PANEL FILLER, 108277
powersell007
[view on eBay]
Used 2
in stock
$1,499.00
Description: APPLIED MATERIALS 0200-02408 PUMPING RING, CERAMIC C - CHANNEL, SIDE 2 AMAT
visionsemi
[view on eBay]
Used 1
in stock
$1,500.00
Description: NOVELLUS DIGITAL DYNAMICS 02-293447-00 HDSIOC-UV-CURE 2 REV A V 4.72
visionsemi
[view on eBay]
Used 1
in stock
$1,534.69
Description: NOVELLUS Numérique Dynamique 02-293447-00 Hdsioc-Uv-Cure 2 Rev A V 4.72
visionsemi
[view on eBay]
Used 1
in stock
$1,542.25
Description: NOVELLUS DIGITAL DYNAMICS 02-293447-00 HDSIOC-UV-CURE 2 REV A V 4.72
farmoninc
[view on eBay]
NEW 1
in stock
$30.00
Description: 2 AMAT 3700-01088 Seal CTR Ring Assembly NW50, O-Ring, SST304, 108316
toro.industrial.surplus
[view on eBay]
NEW 9
in stock
$30.00
Description: Applied Materials 3700-01035 O Ring ID .424 CSD .103. Lot Of 2
toro.industrial.surplus
[view on eBay]
NEW 1
in stock
$30.00
Description: Applied Materials 0015-00018 AluminumTiming Belt. Lot Of 2
toro.industrial.surplus
[view on eBay]
NEW 1
in stock
$30.00
Description: Lot of 2 AMAT Applied Materials 0020-03463 rev.C Finger Gripper 150mm, Sealed
metrology123
[view on eBay]
Used 1
in stock
$661.98
Description: Applied materials AMAT 0150-31147 rev 2 Cable assy
farmoninc
[view on eBay]
NEW 1
in stock
$1,750.00
Description: AMAT 0200-00404 ISOLATOR PUMPING RING, BOTTOM PRODUCER 2, 108615
myriadindustrial
[view on eBay]
NEW 3
in stock
$43.75
Description: AMAT Applied Materials 0140-20699 Harness Assembly Preclean 2 CHBR/RF Covers
myriadindustrial
[view on eBay]
NEW 1
in stock
$130.00
Description: AMAT Applied Materials 0190-70044 Predyne EH3112-S29 1/16 x 1/16 Orifice 24VDC 2
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 AMAT 0010-31876 HOSE, SUPPLY RETURN GEN RACK 300MM P3I, BES-7482-08 A, 108708
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,092.00
Description: 0240-20300 / KIT PNEU PER MFC OR FINAL 2 GAS, 0090-20042 / APPLIED MATS. AMAT
liquiditech
[view on eBay]
Used 1
in stock
$171.00
Description: Lot of 2 0022-11426 Diffuser Single Hole Center Flow Gas Box Frontier
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$7,985.03
Description: 27-152454-00 / PCB SLOT 2 INTEL8086 SBX-351 OPT NEW STYLE W\ EXCHANGE / NOVELLUS
equipplus
[view on eBay]
Used 2
in stock
$79.00
Description: LAM Research 810-802902-036 Rev A Node 2 MB,PM,HE Board,Part,US&8054
maxisemi1349
[view on eBay]
Used 1
in stock
$1,200.00
Description: 17-282704-00 CONTACT,APC,FLAT 300MM, LOT of 2
liquiditech
[view on eBay]
Used 1
in stock
$900.00
Description: SMC Slit Valve Pneumatic Cylinder 3020-00077 AMAT 0242-34890 #2
j316gallery
[view on eBay]
Used 1
in stock
$153.66
Description: 29052 APPLIED MATERIALS GAS LINE, GAS BOX INLET, POS 2 TO 7 0050-24774
j316gallery
[view on eBay]
Used 2
in stock
$823.50
Description: 29059 ADVANCED ENERGY PCB, NAVIGATOR HEX-MOTOR CONTROL 2 23020144-A
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$175.82
Description: 0041-11617 / BACKPLANE 2 SIDED DPSII MODULAR GP ETCH / APPLIED MATERIALS AMAT
liquiditech
[view on eBay]
Used 2
in stock
$90.00
Description: lot of 2 amat 3300-04871 ftg tube adapter right angle helium
liquiditech
[view on eBay]
Used 2
in stock
$81.00
Description: lot of 2 amat 3300-14623 ftg elbow 1.0od-tbg x 2.04l x 1.570d-flg
liquiditech
[view on eBay]
Used 1
in stock
$121.50
Description: lot of 2 amat 3300-04977 ftg hose adptr 1/2 female rtang helium
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-22976 BRACKET, 2 STAGE LL VENT, MAINFRAME SUPPORT, 109474
powersell007
[view on eBay]
Used 1
in stock
$2,999.00
Description: APPLIED MATERIALS 0041-84695 LINK 1, UPPER 7, 2 DEGREE OFFSET CR 300MM AMAT -NEW
xiangha
[view on eBay]
Used 1
in stock
$4,000.00
Description: ASSY,ARM,RIGHT,INDEXER TYPE 2 02-256139-01
visionsemi
[view on eBay]
NEW 4
in stock
$175.00
Description: APPLIED MATERIALS AMAT CLAMP END AR FLEX 8" GATE VALVE 0020-20700 NEW LOT OF 2
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0050-20951 GASLINE, MANIFOLD PRCS CH 3, MFC 2 & 3 V Rev C, 109800
farmoninc
[view on eBay]
NEW 1
in stock
$700.00
Description: 2 NEW AMAT 0010-76500 HETER HOSE ASSEMBLY 47IN BRASS REV B, 109861
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 AMAT 0021-13119 SUPPORT LEFT ENP, 109950
liquiditech
[view on eBay]
Used 3
in stock
$299.00
Description: AMAT Applied Materials 0040-06361 ISM SWLL 300mm Bellows Assembly #2
j316gallery
[view on eBay]
NEW 3
in stock
$794.00
Description: 29336 APPLIED MATERIALS ASSY, 2 POS CKT BRKR PNL W/ 0020-61027 (NEW) 0090-05447
grandbirdnet
[view on eBay]
NEW 1
in stock
$4,900.00
Description: 0010-01648 CLEAR LID ASSY, 200MM PRODUCER BAG 1 OF 2, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$500.00
Description: AMAT 0050-88510 GAS LINE FLOW 2 INLET TO INNER VALVE, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 3700-01724 O-ring, 110082
j316gallery
[view on eBay]
Used 1
in stock
$942.15
Description: 22401 APPLIED MATERIALS PUMPING PLATE CONFORMAL SILANE NITRIDE 2 0020-30059
j316gallery
[view on eBay]
Used 1
in stock
$1,372.50
Description: 29854 NOVELLUS 2 CHANNEL TEMP CNTRL, PROCESS CTLR 32TC, 79-10098-00 61-380630-00
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0020-36233 BRACKET 2 PHASE DRIVER MOUNTING, 0140-35134, 110230
usedeqsales
[view on eBay]
Used 1
in stock
$1,510.22
Description: MKS Instruments AS01491-AB-1 PCB Card CDN491R AMAT 0190-27072 Lot of 2 Working
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 2 AMAT 3870-01496 VALVE PNEUMATIC BELLOWS 125PSI 1/2VCE-F/F N/C 20, 110423
usedeqsales
[view on eBay]
Used 1
in stock
$1,210.22
Description: AMAT Applied Materials 0040-32073 Universal Gasbox Lid Reseller Lot of 2 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,510.22
Description: AMAT Applied Materials 0020-13995 200mm Wafer Lift Fork Set of 2 Working Surplus
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0050-47741 Gas Line, Side 2, Chamber, Ultima+, HDPCVD, 110566
farmoninc
[view on eBay]
Used 1
in stock
$1,900.00
Description: 2 AMAT 1390-01460 CRYO PUMP BUFFER CABLE, 50 FT, 8032222G005, 110601
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0150-20009 CABLE ASSY, CONVECTRON INTERCONNECT II 2 ASIS, 110619
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0150-20015 CABLE ASSY,CHAMBER 2 INTERCONNECT, 25', 110621
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0021-77478 BRACKET, FLOW SWITCH, HCLU RINSE LOT OF 2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0020-75428 BRACKET, 2 PHASE DRIVER, USED
grandbirdnet
[view on eBay]
NEW 1
in stock
$4,900.00
Description: AMAT 0010-01648 CLEARLID ASSY, 200MM PRODUCER BAG 1 OF 2, NEW
surplustechmart
[view on eBay]
Used 1
in stock
$4,500.00
Description: APPLIED MATERIALS AMAT 0010-31710 0190-23215 #2
farmoninc
[view on eBay]
Used 1
in stock
$595.00
Description: AMAT 0020-14933 TRAY DOME TEMPERATURE CONTROL, ULTIMA PLUS PH 2, REV 001, 110923
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: 2 AMAT 0100-09203 PHASE AND MAGNITUDE DETECTOR PCB, 110933
surplusssam
[view on eBay]
Used 1
in stock
$64.99
Description: Amat 0050-14937 Stainless Steel Vacuum Tee Fitting KF-50 NW50 to (2) KF-40 NW40
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0190-05399 DeviceNet pro to 2 channel compact pci interface card, 111108
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: 2 AMAT 0050-37815 Tubing Coolant Line, 111146
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: 2 AMAT 0020-10975 BRKT,LID CHMBR CLAMP SACVD, 111134
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-36233 BRACKET 2 PHASE DRIVER MOUNTING, AMAT 0140-35134, 111167
equipplus
[view on eBay]
Used 9
in stock
$169.00
Description: Lot of 2 Lam Research 669-185427-002 Rev B,Unused,US*8188
roundtable1
[view on eBay]
NEW 1
in stock
$49.99
Description: Applied Materials 3300-00156 FTG Pipe TEE 1” SOC X SOC PVC White (lot of 2)
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 3300-03169 Swagelok Pushlok 3/8T Tubing Fitting Adapter, 111215
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0150-10141 CABLE, INTERFACE, STEPPER DRIVER, EXZ 2 PHASE STEPPER, 111268
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0150-10141 CABLE, INTERFACE, STEPPER DRIVER, EXZ 2 PHASE STEPPER, 111267
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0150-10141 CABLE, INTERFACE, STEPPER DRIVER, EXZ 2 PHASE STEPPER, 111266
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0150-10141 CABLE, INTERFACE, STEPPER DRIVER, EXZ 2 PHASE MOTOR ASSY, 111293
farmoninc
[view on eBay]
NEW 2
in stock
$1,100.00
Description: AMAT 0200-09278 RING, INNER 125 MM 115 MM 2 FLT 90 SHADOW, 111349
surplustechmart
[view on eBay]
Used 1
in stock
$999.00
Description: APPLIED MATERIAL AMAT 0021-26854 0021-26855 #2
surplustechmart
[view on eBay]
Used 3
in stock
$63.00
Description: LOT OF 2 AMAT 0051-12673 WELDMENT
usedeqsales
[view on eBay]
Used 1
in stock
$711.22
Description: DIP 15049105 DeviceNet I/O PCB Card CDN491 DIP-201-235 AMAT 0190-02506 Lot of 2
usedeqsales
[view on eBay]
Used 1
in stock
$1,011.22
Description: AMAT Applied Materials 0190-02748 Flex Scanner Transition Module PCB Lot of 2
maxisemi1349
[view on eBay]
Used 1
in stock
$1,900.00
Description: 0020-34139 GAS DIST PLATE 119 HOLE R2 CHAMBER, lot of 2
maxisemi1349
[view on eBay]
Used 1
in stock
$2,950.00
Description: 0200-35113 SUSC R3 ROTATION 200MM EPI , lot of 2
j316gallery
[view on eBay]
Used 1
in stock
$3,348.90
Description: 31315 LAM RESEARCH ASSY, ATLUS LAMCAT NODE 2 853-252740-003
j316gallery
[view on eBay]
Used 1
in stock
$3,348.90
Description: 31313 LAM RESEARCH ASSY, STRIKER CARBIDE CK, LAMCAT NODE 2 853-252747-006
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0150-02738 CABLE ASSY, CHAMBER HEATER 2, PRODUCER S, 111683
maxisemi1349
[view on eBay]
Used 1
in stock
$225.00
Description: 03-438186-02 SENSOR ASSY,WAFER SENSE, lot of 2
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0190-05399 DeviceNet Pro to 2 Channel Compact Pci Interface Card, 111751
astrade2012
[view on eBay]
Used 1
in stock
$899.00
Description: Applied Materials AMAT 0200-03388 REV 2 SINGLE RING CERAMIC 200MM
j316gallery
[view on eBay]
Used 1
in stock
$1,900.00
Description: 30649 ADVANCED ENERGY PCB, PARAMOUNT 60XX VHF DC O/P LEFT DIS-CLAMP 2 23020311-A
spsglobal
[view on eBay]
Used 2
in stock
$3,000.00
Description: 130-0101 AMAT APPLIED 0010-13439 MCA 2 TC AMP BOX [ASIS]
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0100-00133 PCB Assembly, Door Interconnect 2 Ease In, 111951
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0100-00133 PCB Assembly, Door Interconnect 2 Ease In, 111952
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: AMAT 0226-02445 WLDMNT,CHAMBER D,PORT 2,GP D2 PROCESS LINE, 112004
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 NEW AMAT 0022-57136 BRACKET, 112024
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 AMAT 0020-10802 Window, Front Door, TEOS/DOPANT, 112085
j316gallery
[view on eBay]
Used 1
in stock
$312.16
Description: 30367 APPLIED MATERIALS CBL ASSY, EMC COMP., ANALOG #2 GAS PANEL, 3M 0150-76226
j316gallery
[view on eBay]
Used 2
in stock
$243.66
Description: 30369 APPLIED MATERIALS CABLE, DIGITAL #2 GAS PANEL, LENGTH: 3M 0150-09600
j316gallery
[view on eBay]
Used 1
in stock
$675.10
Description: 30377 APPLIED MATERIALS CABLE ASSY, REMOTE DIGITAL #2, LENGTH: 16.5M 0150-09589
j316gallery
[view on eBay]
Used 1
in stock
$700.00
Description: 30376 APPLIED MATERIALS CABLE ASSY, REMOTE ANALOG #2, LENGTH: 16.5M 0150-09588
farmoninc
[view on eBay]
Used 1
in stock
$700.00
Description: 2 AMAT 0010-15306 ASSY PARTICLE SCREEN, 112206
bi-statedeals
[view on eBay]
NEW 10
in stock
$18.50
Description: Lot of 2 Applied Materials AMAT Clamp FLG SGL-Claw 0690-01113 Hardware Parts
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 0620-03007 interlinkBT RSCV RKCV 572-1M CORDSET DNET DROP 1M LG, 112297
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-31786 CLAMP COOLING VER 2 ROBOT PH IIA METCH, 112299
expertsurplus
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0500-38128 Vacuum Tube, 90° Elbow 4.25" x 6.25", NW50, Lot of 2 NEW
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 NEW AMAT 0150-20112 CABLE ASSY EMO GENERATOR 1/2 INT, 112348
sputterman2
[view on eBay]
Used 1
in stock
$1,000.00
Description: Applied Materials 0010-60015 Suscector Assembly LOT of 2
liquiditech
[view on eBay]
Used 8
in stock
$184.50
Description: Applied Materials 0190-37616 Control Video Switch MKS AS05111-08 #2
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,998.56
Description: 0010-24584 / VIEWPORT LID ENDURA 2 PRECLEAN CHAMBER / APPLIED MATERIALS AMAT
liquiditech
[view on eBay]
Used 1
in stock
$99.00
Description: Applied Materials AMAT 0050-94558 Weldment #2
usedeqsales
[view on eBay]
Used 1
in stock
$1,012.22
Description: Nor-Cal Products 3870-01455 Pneumatic Angle Valve Reseller Lot of 2 AMAT Working
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,893.33
Description: 0050-21302 /GAS LINE TOP ENTRY VENT LL 2 0F 4 / APPLIED MATERIALS AMAT
usedeqsales
[view on eBay]
Used 2
in stock
$1,212.22
Description: Inficon CGS045 Capacitance Diaphragm Gauge AMAT 0190-60759 Lot of 2 Working
spsglobal
[view on eBay]
Used 1
in stock
$8,000.00
Description: 164-0201 AMAT APPLIED 0010-76322 (#2) ASSY., COOL DOWN CHAMBER, NON-ENP [ASIS]
amat-sparescom
[view on eBay]
Used 1
in stock
$995.00
Description: Applied Materials 0230-09277 & 0230-09285 P-5000 Board Schematics Volume 1 & 2  
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-31786 CLAMP COOLING VER 2 ROBOT PH IIA METCH, 112470
roundtable1
[view on eBay]
NEW 1
in stock
$199.00
Description: Applied Materials 0690-00263 lamp toggle flange sst 100lb (lot of 2)
maxisemi1349
[view on eBay]
Used 1
in stock
$850.00
Description: 34-291572-00 SWITCH,WATER FLOW,3E-3 GPM,1/8FSC, lot of 2
geisurplus
[view on eBay]
Used 1
in stock
$276.50
Description: AMAT 0100-00133 PCB ASSEMBLY, DOOR INTERCONNECT 2 EASE IN STOCK #2824
expertsurplus
[view on eBay]
Used 2
in stock
$75.00
Description: AMAT 0500-39752 Vacuum Tube, 90 Elbow 7.5"x10", NW50 & 2 Leak Check Ports, New
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$247.87
Description: 0020-24291 /MOUNTING PLATE PC 2 RF MATCH /APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$395.00
Description: Novellus 17-111972-02 Shield Adaptor HCM (2 Wire Arc Spray) Thermal Coat, 113020
farmoninc
[view on eBay]
Used 1
in stock
$395.00
Description: Novellus 17-111972-02 Shield Adaptor HCM (2 Wire Arc Spray) Thermal Coat, 113019
j316gallery
[view on eBay]
Used 1
in stock
$1,314.46
Description: 15154 APPLIED MATERIALS WELDMENT MANF SINGLE VLV 2 LINE 6LV-BNBW4-C 0050-09639
j316gallery
[view on eBay]
Used 1
in stock
$392.66
Description: 29983 LAM RESEARCH PCB, MOTHERBOARD, NODE 2, PM, HE 810-802902-017
j316gallery
[view on eBay]
Used 1
in stock
$392.66
Description: 29981 LAM RESEARCH PCB ASSY, MB NODE 2 PM HE 810-802902-019
j316gallery
[view on eBay]
Used 1
in stock
$1,061.15
Description: 27867 NOVELLUS HDSIOC EM COIL, IXT PVD SIOC 2, FIRMWARE V.5 02-310728-00
j316gallery
[view on eBay]
NEW 1
in stock
$300.66
Description: 15658 APPLIED MATERIALS SWDATA 2 POS 5 PIN DIN KEYBOARD (NEW) 1270-01786
eisale1535
[view on eBay]
Used 1
in stock
$14,985.00
Description: AMAT 0190-25087 DPS 2 ESC 300mm (AS-IS)
spsglobal
[view on eBay]
Used 2
in stock
$3,500.00
Description: 130-0101 AMAT APPLIED 0010-13439 MCA 2 TC AMP BOX [USED]
farmoninc
[view on eBay]
NEW 1
in stock
$27,500.00
Description: Brooks 002-9510-155, Automation Kit, Robot Atr8, 2 Foup Novellus 04-172106-00
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: LAM RESEARCH 810-802902-033 NODE 2 MB, PM, HE REV A, USED
liquiditech
[view on eBay]
Used 3
in stock
$162.00
Description: 3300-04678 / PARKER 2 INCH CAM AND GROOVE FITTING 20DL / AMAT
jayhoehlinc
[view on eBay]
Used 1
in stock
$5,999.95
Description: Advanced Energy 3157600-004 PEII Reactive Sputtering PSU 1100V 10kW 40KHz #2
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: 2 AMAT 0021-21772 GASKET RING SPACER, 113564
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0190-09547 2 Phase Motor Drive, Says Bad on label, 113842
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 1 lot of 2 AMAT 0020-16590 Bracket, EMO Switch Large Shroud, 113769
katiil3
[view on eBay]
Used 1
in stock
$199.00
Description: Lam research 03-317582-02 Cable Assy AMHS Loadport 2, MACH VI.
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$30,500.00
Description: 0041-02500 / PVD IMPLANT ENDURA 2 BLLK BATCH LOAD LOCK CHAMBER A ASSY / AMAT
farmoninc
[view on eBay]
NEW 3
in stock
$500.00
Description: AMAT 0100-00133 PCB Assembly, Door Interconnect 2 Ease In, 114248
cosplity
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0010-75183 ASSY, 2 PHASE DRIVER
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0100-00133 PCB Assembly, Door Interconnect 2 Ease In, 114256
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: 2 NEW AMAT 0020-21145 Shield Slit, 114402
i-s-m-e
[view on eBay]
Used 1
in stock
$942.17
Description: 2 Sets Aera FC-D981C Mass Flow Controller MFC 15SLM Novellus 22-144954-00 CO2 N2
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$30,500.00
Description: 0041-02501 / PVD IMPLANT ENDURA 2 BLLK BATCH LOAD LOCK CHAMBER B ASSY / AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$702.23
Description: AMAT Applied Materials 0020-32182 100mm Wafer Pedestal Reseller Lot of 2 Working
labstd
[view on eBay]
Used 1
in stock
$916.98
Description: Lam Research NODE 2 MB PM HE 810-802902-038 rev C
visionsemi
[view on eBay]
Used 1
in stock
$2,500.00
Description: NOVELLUS 02-341028-00 FE-HD EIOC 0 TOP PLT REVISION 2 79-10098-00
grandbirdnet
[view on eBay]
Used 1
in stock
$8,900.00
Description: AMAT 0010-77332 6" OXIDE TITAN 2 HEAD ASSEMBLY CMP, USED
upaid
[view on eBay]
Used 1
in stock
$49.95
Description: Lot Of 2 AMAT Applied Materials Universal Gauge 0190-26769 - No Sensors
j316gallery
[view on eBay]
Used 1
in stock
$1,257.60
Description: 22028 APPLIED MATERIALS NDURA 2 ELECTRONICS TOWER CCT PSU, HF10-937 1140-00620
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,120.82
Description: 0021-99235 / DISK SHUTTER ENCORE II TAN CENTER PIN ENDURA 2 300MM PVD /AMAT
j316gallery
[view on eBay]
Used 1
in stock
$1,890.00
Description: 32327 APPLIED MATERIALS PCB ASSY, SERIAL CONN 1/F DRV 2 0100-90255
farmoninc
[view on eBay]
Used 1
in stock
$695.00
Description: AMAT 0150-20026 CABLE ASSY, REMOTE 2 INTERCONNECT 40', 114991
grandbirdnet
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 3300-03128 FTG CND CONN BLKHD EMT 1-1/2" LOT OF 2, NEW
myriadindustrial
[view on eBay]
NEW 1
in stock
$36.00
Description: Lot of 2 Each AMAT 0021-09070 Ser A Base Mounting Washer
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,177.40
Description: 716-052208-001 / WINDOW,QUARTZ,WAFER XPORT COVER SET OF 2 PIECES/ LAM RESEARCH
powersell007
[view on eBay]
Used 1
in stock
$1,199.00
Description: AMAT 0022-41612 DISK, SHUTTER, ESC, CENTER PIN, AL ARC SPRAY ENDURA 2 300MM AMAT
farmoninc
[view on eBay]
NEW 2
in stock
$400.00
Description: AMAT 0240-30316 KIT, PER NO CHAMBER, DELTA PHASE 2, 115082
roundtable1
[view on eBay]
NEW 1
in stock
$49.00
Description: Applied Materials AMAT 0020-44581 Clamp 2 Gas Line Universal, Upper FI GP
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 AMAT 3460-01085 MKRWIRE "GND" VIN CLOTH, 104361
myriadindustrial
[view on eBay]
NEW 1
in stock
$129.00
Description: Lot of 2 each Applied Materials AMAT 0040-05609 ASL Nozzle 45/2.5 EBR 1.5 ECP
myriadindustrial
[view on eBay]
NEW 1
in stock
$26.79
Description: Lot of 2 Ea Applied Materials AMAT 1270-01167 SW Lamp Holder Long Typ TW Series
j316gallery
[view on eBay]
Used 1
in stock
$4,725.00
Description: 31988 ADVANCED ENERGY PCB, E-CHUCK 1.5KV INVERTER VER 2 2300780-A
j316gallery
[view on eBay]
Used 1
in stock
$30,000.00
Description: 32478 APPLIED MATERIALS MATCH ASSEMBLY, SILVER, 2 MHZ ICE, 300MM 0010-29014
gophersales
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT Applied Materials AKT 0100-71304 Rev 2 Chamber Distribution 55K CVD Board
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 3690-01361 SCR CAP HD 8-32×1-3/4L HEX SKT LOT OF 2, NEW
bestoneshop-korea
[view on eBay]
Used 1
in stock
$299.99
Description: SST SST-DNP-CPCI-3U-2-NC DeviceNet Scanner AMAT 0190-08680 REV 004 2 Channel #2
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0051-83449 LINE REV. 2, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0051-83448 LINE REV. 2, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0051-83450 REV. 2, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0051-83447 LINE REV. 2, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0051-83452 LINE REV. 2, NEW
bestoneshop-korea
[view on eBay]
Used 1
in stock
$99.99
Description: SBS CP3-SER16-TTL , AMAT 0190-11817 Rev.001 BOARD #2
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0040-20292 SHORT FEED, LAMP LOT OF 2 , NEW
j316gallery
[view on eBay]
Used 2
in stock
$611.73
Description: 31996 APPLIED MATERIALS 4-WAY VALVE ASSY, 2 VALVE 0050-70535
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0150-20015 CABLE ASSY,CHAMBER 2 INTERCONNECT, 25', 104594
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0100-00106 PCB, OPTO Switch 2 Assembly, 104600
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: 2 AMAT 0225-33858 VALVE ANGLE MAN V. SEAL, 104675
grandbirdnet
[view on eBay]
NEW 1
in stock
$60.00
Description: AMAT 3690-06586 QYT 2, NEW
farmoninc
[view on eBay]
Used 1
in stock
$95.00
Description: AMAT 0150-09590 EMO #2 REMOTE CABLE ASSEMBLY DELTA A, 104709
j316gallery
[view on eBay]
Used 1
in stock
$3,150.00
Description: 32519 NOVELLUS SESIOC E84 IXT WTS HV, SIOC 2 MODULE 02-267032-00
surplustechmart
[view on eBay]
Used 1
in stock
$999.00
Description: APPLIED MATERIALS LID VIEW PORT ASSY 6" CFF, 6.0 OD 3300-02261 #2
j316gallery
[view on eBay]
Used 2
in stock
$4,000.00
Description: 32525 NOVELLUS SESIOC IXT WTS HV 2, WTS-HV SIOC 2 02-266872-00
brauning_finds
[view on eBay]
NEW 1
in stock
$48.33
Description: AMAT 3880-01116 8 Washer Split #2 .1660 x .0931 &2x 01085 BLVL .3750D x .1901
dch2023
[view on eBay]
NEW 1
in stock
$455.00
Description: Applied Materials 0021-81130 427457P1-WR Rev.03(Qty: 2)
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: 2 AMAT 0020-20508 Bottom RF Heater Shield, 104846
farmoninc
[view on eBay]
Used 1
in stock
$400.00
Description: 2 NEW AMAT 0040-42357 GUIDE, RIGHT, IO VALVE, 104665
dch2023
[view on eBay]
NEW 1
in stock
$180.00
Description: APPLIED MATERIALS 0150-23114 CABLE,CH HEATER SIDE 2,300MM PRODUCER,NO RF
farmoninc
[view on eBay]
NEW 10
in stock
$100.00
Description: 2 AMAT 3700-01534 O-RING, CHEMRAZ 9111-SC513, AS-568A-111 CPD 513, 115415
farmoninc
[view on eBay]
NEW 9
in stock
$240.00
Description: 2 AMAT 3700-01723 O-RING, CHEMRAZ 9120-SC513, AS-568A-120 CPD 513, 115414
farmoninc
[view on eBay]
NEW 10
in stock
$300.00
Description: 2 AMAT 3700-01759 O-RING, 9211-SC513, AS-568A-211 CPD 513, 115418
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: 2 AMAT 0020-27942 BRACKET CABLE VHP COVER LIFT, 115557
usedeqsales
[view on eBay]
Used 2
in stock
$612.20
Description: CTI-Cryogenics 8052001 Controller 8001 Reseller Lot of 2 Untested Surplus As-Is
usedeqsales
[view on eBay]
Used 1
in stock
$356.18
Description: Lam Research 810-057038-002 Outer Arm PCB 810-057038-2 Lot of 2 Untested AS-IS
farmoninc
[view on eBay]
NEW 1
in stock
$40.00
Description: 2 AMAT 0020-10667 BRACKET, MTG HDD Sxs CONTROLLER, 115680
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT 3870-01553 VALVE SOL 2 WAY 2 POS 24VDC DIRECT ACTIN, 115686
grandbirdnet
[view on eBay]
NEW 5
in stock
$850.00
Description: AMAT 0021-24184 LINER, BOTTOM SIDE 2, 300MM SE, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$4,000.00
Description: AMAT 0190-01428 PW SUP 2 CHN PLSD PLATE 60A/48V ENI , USED
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0100-00298 300MM MOTION DIST. BOARD,TED 2 94VO 1499, 115658
j316gallery
[view on eBay]
Used 1
in stock
$12,600.00
Description: 32552 APPLIED MATERIALS CPI-VMO, SOURCE 2 0010-52866
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: 2 AMAT 0200-00312 Tube, Insulator, Long Shaft Common Heater, 115916
farmoninc
[view on eBay]
Used 1
in stock
$1,700.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, 5200, AMAT 0010-30723, 115949
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0050-05058 WELD/MAN,5RA FUJIKIN 1+FV/FL POS 2 EXT., 117039
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 3840-01050 Tape Box Seal 2 In Wide .0026 Thick White, 117008
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: Lam Research 810-017030-005, 810-17030-5 Gas Panel PCB ASSY Rev. 2 , 117371
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, AMAT 0010-30723, 117450
farmoninc
[view on eBay]
Used 1
in stock
$4,000.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, AMAT 0010-30723, 117449
farmoninc
[view on eBay]
NEW 1
in stock
$850.00
Description: 2 AMAT 0010-03269 HOSE ASSY 1/2 SP3 VLV TO LOWER BASREING/EXH, SUPP, 117879
farmoninc
[view on eBay]
NEW 1
in stock
$700.00
Description: 2 AMAT 0200-09197 Plate Gas Distribution Lower Quartz, 117910
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: 2 AMAT 0150-76234 EMC COMP, CALBE ASSY, PANEL TO REMOTE, 117967
maxisemi1349
[view on eBay]
Used 1
in stock
$475.00
Description: 15-052620-00 RETAINER,TONG,R-LIFT, lot of 2
expertsurplus
[view on eBay]
Used 1
in stock
$275.00
Description: AMAT, 3870-02993, EVALVE CHECK INLINE 1/4VCR-F/M SST LOW FL, Lot of 2
katiil3
[view on eBay]
Used 1
in stock
$349.00
Description: Applied materials 0040-40397 BLOCK ALIGNMENT MONOLITH MOUNT Lot of 2
farmoninc
[view on eBay]
Used 1
in stock
$260.00
Description: 2 AMAT 0150-20225 CABLE ASSY CRYO TEMP INDICATOR, 8042045G001, 118314
farmoninc
[view on eBay]
NEW 1
in stock
$270.00
Description: 2 AMAT 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHC, 118328
farmoninc
[view on eBay]
NEW 1
in stock
$270.00
Description: 2 AMAT 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHC, 118327
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0150-20009 CABLE ASSY, CONVECTRON INTERCONNECT II 2 ASIS, 118358
farmoninc
[view on eBay]
NEW 1
in stock
$190.00
Description: 2 AMAT 0021-22576 CLAMP FEED THRU COIL SUPPORT ELECTRA, 118361
farmoninc
[view on eBay]
Used 1
in stock
$190.00
Description: AMAT 0150-09603 CABLE,ANALOG #2 GAS PANEL INTERCONNECT, 118452
farmoninc
[view on eBay]
Used 1
in stock
$160.00
Description: AMAT 0150-09600 CABLE DIGITAL #2 GAS PANEL, 118450
usedeqsales
[view on eBay]
Used 1
in stock
$905.23
Description: AMAT Applied Materials 0020-03433 100mm 4" Pedestal Reseller Lot of 2 Working
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0140-76625 HARNESS ASSY CENTURA MSM PWR #2, 118587
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0020-19150 CLAMP, LEFT, INTGR GAS LINES, DPS 2, 118610
farmoninc
[view on eBay]
NEW 1
in stock
$130.00
Description: AMAT 0090-76002 ASSY, SOLENOID MANIFOLD MOUNT, 24VDC, 2 W, EH3112-S29, 118627
agmginc
[view on eBay]
Used 1
in stock
$5,995.00
Description: Lam Research 853-012123-001 Harmonic Arm Drive Assembly with 2 Vexta Motors
usedeqsales
[view on eBay]
Used 1
in stock
$905.23
Description: AMAT Applied Materials 0020-03435 150mm 6" Pedestal Reseller Lot of 2 Working
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,103.50
Description: 0040-52582 / GUARD HOT SURFACE RIGHT SIDE CH 2 TICL P / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$120.00
Description: 2 AMAT 0140-00160 HARNESS ASSY. E/P MODULE MONOCHROMATORS, 0150-00303, 118548
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: 2 AMAT 0150-09461 Cable Assy Sacvd Chamber Lid Interlock, 118547
spsglobal
[view on eBay]
Used 3
in stock
$40,000.00
Description: 000-0000 AMAT APPLIED 0010-22568 (#2) 0010-03485 SOURCE [ASIS]
spsglobal
[view on eBay]
Used 2
in stock
$24,000.00
Description: 000-0000 AMAT APPLIED 0010-22567 (#2) 0010-26093 SOURCE [ASIS]
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 AMAT 0690-01667 CLIP PANEL-RETAINING SPR ST .05 THK, 118482
farmoninc
[view on eBay]
Used 1
in stock
$125.00
Description: 2 AMAT 0045-00091 FEED ROD SET, 118655
farmoninc
[view on eBay]
Used 1
in stock
$695.00
Description: AMAT 0150-20026 CABLE ASSY, REMOTE 2 INTERCONNECT 40', 118938
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: 2 AMAT 0020-27168 BRACKET, WATER, RETURN, 300MM TICL4 TI MODULAR, 118667
yiannisshop
[view on eBay]
Used 2
in stock
$159.30
Description: AMAT 0150-36234 proteus flow switch 100SSSP NEW 2 available make offer
farmoninc
[view on eBay]
Used 1
in stock
$190.00
Description: AMAT 0150-09603 CABLE, ANALOG #2 GAS PANEL INTERCONNECT, 116030
farmoninc
[view on eBay]
Used 1
in stock
$260.00
Description: 2 AMAT 0150-09144 CABLE ASSY DI/DO JUMPER TO REMOTE PCB, 116025
farmoninc
[view on eBay]
Used 1
in stock
$160.00
Description: AMAT 0150-09600 CABLE DIGITAL #2 GAS PANEL, 116152
farmoninc
[view on eBay]
Used 1
in stock
$325.00
Description: AMAT 0100-09245 2 PHASE STEPPER MOTOR DRIVER INTERFACE BOARD, REV A, 116333
farmoninc
[view on eBay]
NEW 13
in stock
$695.00
Description: AMAT 0100-09245 2 PHASE STEPPER MOTOR DRIVER INTERFACE BOARD, 116324
farmoninc
[view on eBay]
Used 1
in stock
$325.00
Description: AMAT 0100-09245 2 PHASE STEPPER MOTOR DRIVER INTERFACE BOARD, REV A, 116323
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: LOT OF 2 AMAT 0270-70045 8" PRCLN/CL LIFT ROBOT CAL PLATE, 116330
j316gallery
[view on eBay]
Used 2
in stock
$566.16
Description: 32832 LAM RESEARCH BLOCK ASSY, 2 VALVE MANIFOLD 839-024318-100
farmoninc
[view on eBay]
NEW 1
in stock
$275.00
Description: 2 AMAT 0190-09293 SEAL 15.276 X .139 W BRN BWCVD, 116622
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: Lot of 2 AMAT 0020-76954 Bracket, Clamp 1 1/2 - 2 1/2, 119143
csi.usa
[view on eBay]
NEW 1
in stock
$850.00
Description: LAM RESEARCH P/N: 810-802902-017 PCB, MOTHERBOARD, NODE 2, PM, HE
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 NEW AMAT 0680-01353 Circuit Breaker CB MAG 3P, 20A , 119164
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: AMAT 0200-10446 Insert Ring Silicon, 200mm, Notch (2) S, 119195
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0150-38932 CABLE ASSY, VHP + M/F UMBILICAL #2 EMC C, 119229
usedeqsales
[view on eBay]
Used 2
in stock
$4,006.23
Description: AMAT Applied Materials 0190-02032 RF Cable 75 Foot Reseller Lot of 2 Working
farmoninc
[view on eBay]
Used 1
in stock
$400.00
Description: 2 AMAT 0020-10876 MANIFOLD GAS OUT BW CVD, 119297
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: 2 AMAT 0021-04137 FLANGE APPLICATOIN RTP, 119342
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: Lot of 2 AMAT 0224-44115 Flow Sensor 5-2.5 GPM, 1/4 In NPT, 1/4", Proteus,119362
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: 2 AMAT 0020-76434 BRACKET, PIVOT, ORIENTER HINGE, 119026
albsemicon
[view on eBay]
Used 1
in stock
$1,750.00
Description: Applied Materials 0200-20374 Rev.P1 AMAT, COVER,8" PEDESTAL, CERAMIC LOTS of 2
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: LOT OF 2 AMAT 0021-04252 OUTER CUP COIL SUPPORT ELECTRA, 119651
farmoninc
[view on eBay]
Used 3
in stock
$500.00
Description: LOT OF 2 AMAT 0021-21311 CUP OUTER RIGID COIL SPRT ELECTRA IMP-CU, 119660
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: Lot of 2 CTI-Cryogenics 8043086G120 Cryo-Flex Hose Line, G120, 8043086, 119726
visionsemi
[view on eBay]
Used 2
in stock
$50.00
Description: APPLIED MATERIALS AMAT CTI CRYOGENICS CRYOPUMP COPPER GASKET 8081212P010 LOT 2
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$1,578.10
Description: 0200-09911 / COVER,QUARTZ,8"",STEPPED,LIFT 2 NOTCHED,E / AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 2 NOVELLUS 10-051705-00 INJECTORS, 119822
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 2 AMAT 0150-20537 CABLE ASSY, PUMP CONTROL CH C/D, 119864
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: 2 AMAT 0150-20537 CABLE ASSY, PUMP CONTROL CH C/D, 119863
farmoninc
[view on eBay]
NEW 1
in stock
$375.00
Description: Lot of 4 AMAT 0150-09590 EMO #2 REMOTE CABLE ASSEMBLY DELTA A, 119865
katiil3
[view on eBay]
Used 1
in stock
$399.00
Description: APPLIED MATERIALS AMAT 0190-34214 / AERA PI-98 MFC, GAS N2, 1000SCCM Lot of 2
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: 2 AMAT 0150-20537 CABLE ASSY, PUMP CONTROL CH C/D, 119876
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: LOT OF 2 AMAT 3300-02936, FITTING ELBOW 90 Deg 3.17inR ISO KF50 SST, 119886
farmoninc
[view on eBay]
Used 1
in stock
$160.00
Description: Lot of 2 AMAT 0226-31118 Cable, GND Strap, Door, Mag/Lamp, 119920
farmoninc
[view on eBay]
NEW 1
in stock
$273.00
Description: LOTS OF 2 AMAT 0020-20719 RAIL SUPPORT RF GEN CABINET, 119915
farmoninc
[view on eBay]
NEW 3
in stock
$100.00
Description: 2 AMAT 0020-20626 BLOCK MOUNTING SHUTOFF VALVE, METAL, 120120
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,578.10
Description: 0200-09911 / COVER,QUARTZ,8"",STEPPED,LIFT 2 NOTCHED,E / AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 AMAT 0020-41049 TARGET, REFLECTIVE PLATFORM, 120321
katiil3
[view on eBay]
Used 1
in stock
$499.00
Description: AERA MFC PI-98, FCPI981CBAXDIDJAA AMAT 0190-34216, 10000 SCCM, N2 Lot of 2
farmoninc
[view on eBay]
Used 27
in stock
$100.00
Description: 1 PACK OF 2 AMAT 0020-22512 REST BUTTON, PEDESTAL, 120439
farmoninc
[view on eBay]
Used 1
in stock
$900.00
Description: 2 AMAT 0240-09181 KIT, ALCATEL BEAR LUB, 120606
farmoninc
[view on eBay]
NEW 3
in stock
$700.00
Description: AMAT 0240-70812 HELIUM ELBOW KIT, 2 AMAT 3300-02458 FTGTUBE ELBOW, 120756
farmoninc
[view on eBay]
Used 1
in stock
$240.00
Description: 2 AMAT 0150-76175 EMC ,COMP, CABLE ASSY, OPTERATOR PANEL, 233067172, 120886
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0200-18038 Collar, Top, 2 Piece, 200mm, SNNF, 121057
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,678.43
Description: 0100-77038 / ASSY, INTERLOCK #2 / APPLIED MATERIALS AMAT
visionsemi
[view on eBay]
Used 1
in stock
$2,500.00
Description: NOVELLUS 61-351750-00 3 MODULE FE-HD EIOC 2 RF RACK & FAC REV A CONTROLLER
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 AMAT 0020-22543 Block Nut Brooks MFC, 121318
farmoninc
[view on eBay]
NEW 2
in stock
$300.00
Description: 2 AMAT 3700-01012 O-RING .864 ID X .070 CSD, 121321
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 AMAT 0300-09015 Prom SBC VME OP Sys Code V3.2.F, 121351
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 AMAT 0020-76569 CLAMP, BASE FRNT WATER LINE FAC I/F CENT, 121387
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 AMAT 0020-76570 CLAMP, HOLD FRNT WATER LINE FAC I/F CENTURA 5200ST, 121386
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: LOT OF 2 AMAT 0020-22497 BLOCK, WATER, 460G0042, 121760
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: LOT OF 2 AMAT 0020-22497 BLOCK, WATER, 460G0042, 121795
farmoninc
[view on eBay]
Used 1
in stock
$240.00
Description: 2 AMAT 0200-20439 INSULATOR COIL, SUPPORT RIGID, ELECTRA I, 140E0069, 121786

This tag has been viewed 36 times

Most recent views:

Korea (Republic of) Thursday, May/09/2024 at 2:04 am CST
Korea (Republic of) Thursday, May/09/2024 at 1:59 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 1:56 am CST
Singapore Thursday, May/09/2024 at 1:50 am CST
China Thursday, May/09/2024 at 1:47 am CST
Korea (Republic of) Thursday, May/09/2024 at 1:37 am CST
Malaysia Thursday, May/09/2024 at 1:36 am CST
Japan Thursday, May/09/2024 at 1:34 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 1:31 am CST
Malaysia Thursday, May/09/2024 at 1:28 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
athomemarket NEW - $37.99 0 Aug/15/12 Sep/14/12
Description: 2 NEW Parker 1" Female Hose Barb Fittings 3300-08885
usedeqsales Used - $808.12 1 Aug/16/12 Jun/18/13
Description: TEL Unity II Millipore FC-2979MEP5 MFC Panel Assembly lot of 2 untested as-is
athomemarket Used - $193.99 0 Oct/05/12 Nov/04/12
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
prism_electronics6 Used - $499.95 2 Oct/23/12 Mar/23/15
Description: Lam Research ESC ASSY DZ CLG 2 FNSH 300MM 839-800327-385
farmoninc Used - $1,250.00 0 Nov/01/12 Apr/20/23
Description: Advanced Energy Aera FC-7800CD MFC, 2 SLM, N2 gas, Novellus 22-126240-00
usedeqsales Used - $808.12 2 Aug/20/12 Jul/08/21
Description: Lam Research 810-17031-2 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working
usedeqsales Used - $808.12 0 Aug/20/12 Sep/16/22
Description: Lam Research 810-17031-4 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working
athomemarket NEW - $509.99 0 Oct/19/12 Nov/18/12
Description: LAM Research 810-15987-1 TCP Autotune Board Rev. 2 PCB Bd
athomemarket Used - $193.99 0 Dec/04/12 Jan/03/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
usedeqsales Used - $37.84 2 Aug/09/12 Mar/20/14
Description: Applied Materials AMAT 300mm Cryo Drip Bracket 0020-42229 Lot of 2 New
pohyh Used - $1,000.00 0 Nov/07/12 Dec/07/12
Description: INFICON TRANSPECTOR 2 (TTSPTT 100)
convertechs3 Used - $1,849.99 0 Nov/30/12 Dec/07/12
Description: ADVANCED ENERGY AE X90 RF Match 2 MHz/60 MHz Navigator 0190 25190 3155183-001D
convertechs3 Used - $1,849.99 0 Nov/30/12 Dec/07/12
Description: ADVANCED ENERGY AE X90 RF Match 2 MHz/60 MHz Navigator 0190 22658 3155183-001C
surplusssam NEW - $49.99 1 Dec/24/07 Nov/19/12
Description: LOT OF 2 NEW TEMESCAL BOC EDWARDS VACUUM 6041-2630-0 RELAY HV SPDT RB1E SPOT 26
sparepartssolution Used - $70.00 0 Jul/12/12 Sep/02/15
Description: (AA01) AMAT APPLIED MATERIALS 0020-24412 CATHODE SWITCH COVER PRECLEAN 2 USED
bobsgoodies NEW - $175.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0010-37403 OBSHose Assy CNDCTV 3/8TFE/TBG 54inLg (Lot of 2)
bobsgoodies Used - $425.00 0 Dec/18/12 Jan/17/13
Description: AMAT 0140-77198 SMC IT1011-N31-X4 E/P Regulator (Lot of 2) Applied Mateirals
athomemarket NEW - $140.39 0 Dec/17/12 Jan/16/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
capitolareatech NEW - $40.00 0 Oct/22/12 Sep/17/13
Description: LAM RESEARCH 835-007173-001 GASFEED LINE 2
capitolareatech NEW - $300.00 2 Oct/22/12 Aug/20/13
Description: LAM RESEARCH 839-007158-001 WLDMNT GS FD LN FLX 1/4VCR-1/4; 2 1/2 FT
surplusa2z Scrap, for parts - $149.99 0 Dec/08/12 Dec/18/12
Description: QTY. 2 PRAXAIR LR300 DIGITAL SCALE DISPLAYS n
bobsgoodies NEW - $317.00 0 Dec/19/12 Jan/18/13
Description: AMAT 5070-01030 Grssmooth Off Wht Opr-73.3 to +204 Deg 2 oz syringe Bracote 1613
bobsgoodies NEW - $117.00 0 Dec/19/12 Jan/18/13
Description: AMAT 5070-01029 GrsHigh Vacuum Krytox LVP Krytox 240 AC 2 Oz. Tube
auctionrus NEW - $135.00 1 May/03/12 Dec/21/12
Description: 2 NEW AMAT 3060-01205, Thomson AB142,SS, 119644, Shaft bearing
bti611 Used - $109.99 0 Dec/22/12 Dec/29/12
Description: PANASONIC FEEDER 8 X 2 PAPER, DOUBLE, M SIZE, K TYPE, P/N 1049556000 ( USED )
athomemarket NEW - $89.99 0 Dec/22/12 Jan/21/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket Used - $66.59 0 Dec/23/12 Jan/22/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
yayais2012 NEW - $15.00 0 Dec/24/12 Jan/23/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket NEW - $107.99 0 Dec/25/12 Jan/24/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket Used - $175.49 0 Jan/04/13 Feb/03/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
capitolareatech NEW - $25.00 2 Jan/04/13 Jun/03/13
Description: AMAT 0200-00164 INSULATOR TUBE, CERAMIC, CER HTR, COM 2
capitolareatech NEW - $1,800.00 0 Jan/04/13 Jun/03/13
Description: AMAT 0227-04585 RGLTR, SQ60 MM 100PSI 2 PORT 0; PARKER VERIFLO PART#: 45400171
capitolareatech NEW - $45.00 0 Jan/04/13 Sep/01/13
Description: NOVELLUS 03-117106-02 HARN,LASER RX STN 2
supertechshop Used - $499.00 0 Jan/05/13 Feb/04/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Jan/05/13 Feb/04/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
athomemarket NEW - $48.59 0 Jan/07/13 Feb/06/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
pohyh Used - $1,000.00 3 Dec/11/12 Jan/07/13
Description: INFICON TRANSPECTOR 2 (TTSPTT 100)
usedeqsales NEW - $607.12 1 Jul/26/12 Jan/09/13
Description: Gaston H-Square GRN-23 Wafer Wand Kit SQ22695-1 lot of 2 new
bobsgoodies NEW - $10.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 3700-01258 Window Filter Frame "O" Ring (2)
bobsgoodies NEW - $85.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0150-36785 Wire harness with 2 Banner SM312FV Photo Sensors
bobsgoodies NEW - $275.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0040-05069 SWLL Bracket CFW with 2 Parker 9910-022-EPR Quick Couplers
bobsgoodies NEW - $135.00 0 Jan/11/13 Feb/10/13
Description: AMAT 1270-01388 Float Switch, 2 floats, Top Mount 4.7" 2.95" Act, 1"NPT, Gems
bobsgoodies NEW - $18.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0140-09739 (2) Harness Indicator Switch Line LED Bd.
bobsgoodies NEW - $175.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0010-37403 OBSHose Assy CNDCTV 3/8TFE/TBG 54inLg (Lot of 2)
gtf0616 NEW - $52.00 1 Jan/07/13 Jan/14/13
Description: (2) USHIO Super High Pressure UV Lamps USH-1000BY NIB
dr.fantom Used - $500.00 0 Nov/05/11 Jan/15/13
Description: LOT OF 2 VARIAN E17299950 REV.A
svcstore Used - $949.99 0 Jan/11/13 Jan/14/13
Description: Comdel LFMN 8-5 RF Power Generator FP2267R1 Low Radio Frequency Match Source #2
equipment_recycling Used - $100.00 0 Jan/17/13 Jan/24/13
Description: AMAT 0100-20147 Main Frame/Dual Degas/Lifter Interconnect PCB (lot of 2)
equipment_recycling Used - $150.00 0 Jan/17/13 Jan/24/13
Description: AMAT 0100-20051 Turbo Interconnect PCB (lot of 2)
equipment_recycling NEW - $100.00 0 Jan/17/13 Jan/24/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
athomemarket NEW - $155.99 0 Jan/17/13 Feb/16/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
liquisinc Used - $20.39 1 Jan/17/13 Jan/24/13
Description: Lot of 2 AMAT 0200-10676 REV ASUPPORT, SUSCEPTOR, 150MM TO/SO/N
sweetsjh Used - $45.00 1 Jan/18/13 Jan/21/13
Description: MKS Instruments HPS Inline Pneumatic Valve 162-0025K (lot of 2)
bobsgoodies Used - $425.00 0 Jan/18/13 Feb/17/13
Description: AMAT 0140-77198 SMC IT1011-N31-X4 E/P Regulator (Lot of 2) Applied Mateirals
capitolareatech Refurbished - $286.88 0 Feb/01/11 Mar/25/13
Description: DISCO EAUA--72360 PC, ALU UNIT 2
usedeqsales Used - $208.11 2 Aug/30/11 May/18/17
Description: KLA-Tencor AIT 2 Video Switch 525227 working
usedeqsales Used - $407.12 3 Jul/25/12 Jul/09/13
Description: TEL Unity 2 AC Pow FAIL PCB 1D81-000065-1 Used Working
convertechs3 Used - $1,399.99 0 Jan/21/13 Jan/28/13
Description: ADVANCED ENERGY AE X90 RF Match 2 MHz/60 MHz Navigator 0190 25190 3155183-001D
convertechs3 Used - $1,399.99 0 Jan/21/13 Jan/28/13
Description: ADVANCED ENERGY AE X90 RF Match 2 MHz/60 MHz Navigator 0190 22658 3155183-001C
capitolareatech NEW - $1.35 2 Sep/30/11 Jan/20/13
Description: LAM 714-140242-001 STANDOFF GAS FEED 2
bobsgoodies NEW - $117.00 0 Jan/22/13 Feb/21/13
Description: AMAT 5070-01029 GrsHigh Vacuum Krytox LVP Krytox 240 AC 2 Oz. Tube
bobsgoodies NEW - $317.00 0 Jan/22/13 Feb/21/13
Description: AMAT 5070-01030 Grssmooth Off Wht Opr-73.3 to +204 Deg 2 oz syringe Bracote 1613
athomemarket Used - $73.99 0 Jan/22/13 Feb/21/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $99.99 0 Jan/22/13 Feb/21/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
equipment_recycling Used - $150.00 0 Jan/24/13 Jan/31/13
Description: AMAT 0100-20051 Turbo Interconnect PCB (lot of 2)
farmoninc NEW - $27,500.00 0 Jan/24/13 Sep/04/19
Description: Brooks 002-9520-155, Automation Kit, Robot Atr8, 2 Foup Novellus 04-172106-00
hdsemispares Used - $5.00 0 Jan/24/13 Jan/31/13
Description: CYLINDER,QTZ,200MM EXT CATH, CERAMIC 0200-09638 AMAT (lOT OF 2)
athomemarket NEW - $119.99 0 Jan/24/13 Feb/23/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
craftsman25 NEW - $19.99 1 Jan/21/13 Jan/26/13
Description: 2 NEW NUPRO SS-6BK-10 HIGH PRESSURE VALVE
cvfisher86 NEW - $9.00 1 Jan/24/13 Jan/27/13
Description: NEW 5 Factory Sealed Nupro 2 Micron "F" Series Filter SS-4F-K4-2
capitolareatech NEW - $5,000.00 0 Jan/29/13 Aug/14/13
Description: AMAT 0240-77388 KIT, PIVOT ARM,SPLASH; KIT INCLUDES: (3) 0020-78303, (2) 0040-77
bruce135 Used - $59.00 0 Jan/31/13 Mar/02/13
Description: 2 pc lot SMC VNB204AS Pilot Process Valves Main 0-1MPa Pilot 0.25 - 7MPa Used
bruce135 NEW - $99.00 0 Jan/31/13 Mar/02/13
Description: Lot of 2 SMC NCDQ2KWB32-35D-F7NV Compact Air Cylinders With Sensors/Limits New
bruce135 Used - $99.00 0 Jan/31/13 Mar/02/13
Description: Lot of 2 CKD LYX-1160 Adjustable Chemical Liquid Valves w/ Position Sensor Used
electro-glas Used - $190.00 0 Jan/31/13 Mar/15/13
Description: LOT of 2 ELECTROGLAS 2777443-001 Rev D A911 Mux/Drivers Boards
electro-glas Used - $200.00 1 Jan/31/13 Feb/07/13
Description: LOT of 2 Electroglas PCB Assy Extended Memory Boards. 256303-001 Rev F
gtf0616 NEW - $50.00 1 Jan/24/13 Jan/31/13
Description: (2) USHIO Super High Pressure UV Lamps USH-1000BY NIB
soldtou1 NEW - $449.99 1 Jan/19/12 Jan/31/13
Description: Entegris IGP-02-000-1 Inline Gauge Brand NEW LOT of 2
recycledgoods.com NEW - $99.15 0 Feb/01/13 Mar/03/13
Description: Entegris H20-0145-62C02 Chip Tray 2 Inch Side ID Notch Packs -100
kan_az_plumber1967 NEW - $60.00 1 Feb/01/13 Feb/04/13
Description: Swagelok SS 1/4" Ball Valve, SS-43GF4. Lot of (2).
kan_az_plumber1967 Used - $25.00 0 Feb/01/13 Feb/08/13
Description: Lot of (2) Swagelok SS Reducing Union Tee, SS-1210-3-12-8
bigwicks NEW - $34.99 1 Feb/01/13 Feb/01/13
Description: QTY 2 SWAGELOK SS-CHS8-1 SS Poppet Check Valve, 1/2 in.. $$ PRICE DROP$$
athomemarket Used - $194.99 0 Feb/03/13 Mar/05/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
yayais2012 NEW - $15.00 0 Feb/04/13 Mar/06/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
capitolareatech NEW - $1.00 0 Feb/05/13 Sep/28/14
Description: LAM RESEARCH 734-090673-001 O-RING 2 1/8 X 18 CS ISO CHAMBER PUMP
capitolareatech NEW - $1.00 0 Feb/05/13 Sep/03/13
Description: LAM 720-001002-040 SCREW PAN HEAD 8-32 2 1/2 SST
supertechshop Used - $499.00 0 Feb/05/13 Mar/07/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Feb/05/13 Mar/07/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
esolutions1 NEW - $854.05 1 Feb/05/13 Aug/30/14
Description: Applied Materials AMAT 3870-05195 VAT SERVICE BOX 2 NEW
farmoninc Used - $250.00 1 Feb/06/13 Apr/21/23
Description: 2 LAM 796-009364-001 Vacuum bellows assemblies, NW80, 20, 20 inch long
farmoninc Used - $1,800.00 0 Feb/06/13 Sep/04/13
Description: 2 LAM 716-011036-001 Ring Filler, orifice, alumnia
athomemarket NEW - $53.99 0 Feb/06/13 Mar/08/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
bobsgoodies NEW - $217.00 0 Feb/07/13 Mar/07/13
Description: 2 AMAT 0190-01169 Valve Return 300mm Centura W/adapter 8A-PR6-EPRT-SS-2861 1/2"
equipment_recycling Used - $120.00 1 Feb/08/13 Feb/14/13
Description: AMAT 0100-20147 Main Frame/Dual Degas/Lifter Interconnect PCB (lot of 2)
equipment_recycling NEW - $100.00 0 Feb/08/13 Feb/15/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
equipment_recycling Used - $150.00 0 Feb/10/13 Feb/17/13
Description: AMAT 0100-20051 Turbo Interconnect PCB (lot of 2)
bobsgoodies NEW - $10.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 3700-01258 Window Filter Frame "O" Ring (2)
bobsgoodies NEW - $160.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0020-20626 Block, Mounting, Shut-Off Valve. (3 bags of 2)
bobsgoodies NEW - $49.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0020-13380 WINDOW FILTER FRAME, (2)
bobsgoodies NEW - $135.00 0 Feb/11/13 Mar/13/13
Description: AMAT 1270-01388 Float Switch, 2 floats, Top Mount 4.7" 2.95" Act, 1"NPT, Gems
bobsgoodies NEW - $119.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0150-76035 Wasco Pressure Switch Set: 2 PSIG Operate Decreasing 1/4 VCR
bobsgoodies NEW - $18.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0140-09739 (2) Harness Indicator Switch Line LED Bd.
bobsgoodies NEW - $175.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0010-37403 OBSHose Assy CNDCTV 3/8TFE/TBG 54inLg (Lot of 2)
athomemarket NEW - $155.99 0 Feb/16/13 Mar/18/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
bobsgoodies Used - $425.00 0 Feb/18/13 Mar/18/13
Description: AMAT 0140-77198 SMC IT1011-N31-X4 E/P Regulator (Lot of 2) Applied Mateirals
athomemarket Used - $73.99 0 Feb/21/13 Mar/23/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $99.99 0 Feb/21/13 Mar/23/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
bobsgoodies NEW - $117.00 0 Feb/22/13 Mar/18/13
Description: AMAT 5070-01029 GrsHigh Vacuum Krytox LVP Krytox 240 AC 2 Oz. Tube
bobsgoodies NEW - $317.00 0 Feb/22/13 Mar/18/13
Description: AMAT 5070-01030 Grssmooth Off Wht Opr-73.3 to +204 Deg 2 oz syringe Bracote 1613
hdsemispares Used - $30.00 0 Feb/20/13 Mar/22/13
Description: CYLINDER,QTZ,200MM EXT CATH, CERAMIC 0200-09638 AMAT (LOT OF 2)
farmoninc NEW - $750.00 0 Feb/21/13 Mar/03/21
Description: NEW Novellus 27-154966-00 2 post mapper, Brooks Robot ATR7 wafer Mapper
athomemarket NEW - $119.99 0 Feb/24/13 Mar/26/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
farmoninc Used - $300.00 1 Feb/25/13 Mar/15/24
Description: 2 Parker Ball Valve 12LO-B8AJ-V-SSPLDOVSS3121, AMAT 3870-04027
sellyoursurplus Used - $100.00 0 Feb/26/13 Mar/05/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $95.00 0 Feb/26/13 Mar/05/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
farmoninc Used - $825.00 1 Feb/27/13 Jan/24/17
Description: 2 LAM 810-015987-001 TCP autotune PCB board assy
smtechkoreacom NEW - $263.00 0 Feb/28/13 Sep/26/13
Description: Applied Materials 0020-36142 BUSS BAR FUSE BLK 2 POS AMAT
equipment_recycling Used - $150.00 0 Mar/01/13 Mar/08/13
Description: AMAT 0100-20051 Turbo Interconnect PCB (lot of 2)
equipment_recycling NEW - $100.00 0 Mar/01/13 Mar/08/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
farmoninc NEW - $200.00 1 Mar/04/13 Nov/17/16
Description: 2 NEW AMAT 3300-50903 Fitting, Viewport, Dia, NW100
athomemarket Used - $194.99 0 Mar/05/13 Apr/04/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
farmoninc NEW - $100.00 1 Mar/06/13 Dec/16/19
Description: 2 NEW AMAT 3300-90963 Centering ring, ISO seal
bobsgoodies NEW - $117.00 0 Mar/06/13 Apr/05/13
Description: (Lot of 2) AMAT 0140-00897 Harness Assy DNET LL/CH HHD Assy: 0900-00200
yayais2012 NEW - $15.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies NEW - $87.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-97562 Cable Assembly, Cham. C TEOS Line Middle 2
bobsgoodies NEW - $217.00 0 Mar/07/13 Apr/06/13
Description: 2 AMAT 0190-01169 Valve Return 300mm Centura W/adapter 8A-PR6-EPRT-SS-2861 1/2"
bobsgoodies NEW - $135.00 12 Mar/07/13 Jul/09/13
Description: AMAT 0010-70822 SMC Air Cylinder 2 1/2" Bore x .787 Stroke NCDQ2B63-U1A981030
supertechshop Used - $499.00 0 Mar/07/13 Apr/06/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Mar/07/13 Apr/06/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
athomemarket NEW - $53.99 0 Mar/09/13 Apr/08/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
bobsgoodies NEW - $10.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 3700-01258 Window Filter Frame "O" Ring (2)
bobsgoodies NEW - $119.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0150-76035 Wasco Pressure Switch Set: 2 PSIG Operate Decreasing 1/4 VCR
bobsgoodies NEW - $49.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0020-13380 WINDOW FILTER FRAME, (2)
bobsgoodies NEW - $135.00 0 Mar/13/13 Apr/12/13
Description: AMAT 1270-01388 Float Switch, 2 floats, Top Mount 4.7" 2.95" Act, 1"NPT, Gems
bobsgoodies NEW - $275.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0040-05069 SWLL Bracket CFW with 2 Parker 9910-022-EPR Quick Couplers
equipment_recycling NEW - $100.00 0 Mar/15/13 Mar/22/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
equipment_recycling Used - $150.00 0 Mar/15/13 Mar/22/13
Description: AMAT 0100-20051 Turbo Interconnect PCB (lot of 2)
sellyoursurplus NEW - $95.00 0 Mar/17/13 Mar/24/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus Used - $100.00 0 Mar/17/13 Mar/24/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
bobsgoodies NEW - $175.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0010-37403 OBSHose Assy CNDCTV 3/8TFE/TBG 54inLg (Lot of 2)
bobsgoodies Used - $425.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0140-77198 SMC IT1011-N31-X4 E/P Regulator (Lot of 2) Applied Mateirals
bobsgoodies NEW - $287.00 0 Mar/18/13 Apr/17/13
Description: AMAT 5070-01030 Grssmooth Off Wht Opr-73.3 to +204 Deg 2 oz syringe Bracote 1613
bobsgoodies NEW - $117.00 0 Mar/18/13 Apr/17/13
Description: AMAT 5070-01029 GrsHigh Vacuum Krytox LVP Krytox 240 AC 2 Oz. Tube
capitolareatech NEW - $145.00 0 Mar/18/13 Sep/14/13
Description: AMAT 0050-04756 FORELINE #2, CH D, 316L 5200 IPS/SHIMADZ
athomemarket Used - $114.99 0 Mar/18/13 Mar/25/13
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
athomemarket NEW - $155.99 0 Mar/19/13 Apr/18/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
prism_electronics3 Used - $20.00 0 Mar/19/13 Aug/23/22
Description: APPLIED MATERIALS 0050-61652 REV.003 APR300 REGULATOR W/ 2 NUTS
farmoninc Used - $17,500.00 0 Mar/22/13 Dec/06/14
Description: 2 USED AMAT Endura PVD source 0020-20171. One w/ used magnet 0010-20818
athomemarket Used - $73.99 0 Mar/24/13 Apr/23/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $99.99 0 Mar/24/13 Apr/23/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $119.99 0 Mar/26/13 Apr/25/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket Used - $114.99 0 Mar/26/13 Apr/25/13
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
usedeqsales Used - $1,503.13 2 Mar/27/13 Mar/10/14
Description: AMAT Applied Materials 0020-02284 Shield Mounting Assembly Quantum Leap 2 used
farmoninc NEW - $1,850.00 0 Mar/28/13 Mar/23/16
Description: 2 New AMAT 0040-06896 TXZ Chamber CVD Lid Plates
hdsemispares Used - $30.00 1 Apr/01/13 Jun/25/13
Description: CYLINDER,QTZ,200MM EXT CATH, CERAMIC 0200-09638 AMAT (LOT OF 2)
equipment_recycling NEW - $100.00 0 Apr/04/13 Apr/11/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
equipment_recycling Used - $150.00 0 Apr/04/13 Apr/11/13
Description: AMAT 0100-20051 Turbo Interconnect PCB (lot of 2)
athomemarket Used - $194.99 0 Apr/05/13 May/05/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
bobsgoodies NEW - $77.00 0 Apr/06/13 May/06/13
Description: (Lot of 2) AMAT 0140-00897 Harness Assy DNET LL/CH HHD Assy: 0900-00200
yayais2012 NEW - $15.00 0 Apr/06/13 May/06/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
sellyoursurplus NEW - $95.00 0 Apr/07/13 Apr/14/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus Used - $100.00 0 Apr/07/13 Apr/14/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
bobsgoodies NEW - $117.00 0 Apr/08/13 May/08/13
Description: 2 AMAT 0190-01169 Valve Return 300mm Centura W/adapter 8A-PR6-EPRT-SS-2861 1/2"
farmoninc Used - $1,250.00 0 Apr/08/13 Jun/04/19
Description: 2 AMAT 0660-01809 Square D VME 30299-083 Seriplex bus VME 6U1V2
farmoninc Used - $2,500.00 0 Apr/08/13 May/14/14
Description: 2 AMAT 0090-75015 SYNERGY MICROSYSTEMS PCB board
athomemarket NEW - $53.99 0 Apr/08/13 May/08/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $99.99 0 Apr/09/13 Apr/16/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
alvin1462 NEW - $200.00 1 Apr/09/13 Aug/29/13
Description: 2 NEW Applied Materials 0190-10183 SENSOR, INDUCTIVE POSITION SPRING TIP AMAT
supertechshop Used - $499.00 0 Apr/10/13 May/10/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Apr/10/13 May/10/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
equipment_recycling NEW - $100.00 0 Apr/11/13 Apr/18/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
equipment_recycling Used - $150.00 0 Apr/11/13 Apr/18/13
Description: AMAT 0100-20051 Turbo Interconnect PCB (lot of 2)
fa-parts Used - $339.99 1 Apr/15/13 Sep/15/16
Description: NOVELLUS SPEED 02-046224-00 REV D,DWYER PHOTOHELIC Type 2 ENCL
sellyoursurplus Used - $100.00 0 Apr/16/13 May/16/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $95.00 0 Apr/16/13 May/16/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
bobsgoodies NEW - $175.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0010-37403 OBSHose Assy CNDCTV 3/8TFE/TBG 54inLg (Lot of 2)
bobsgoodies NEW - $287.00 0 Apr/17/13 May/17/13
Description: AMAT 5070-01030 Grssmooth Off Wht Opr-73.3 to +204 Deg 2 oz syringe Bracote 1613
bobsgoodies Used - $425.00 0 Apr/17/13 May/17/13
Description: AMAT 0140-77198 SMC IT1011-N31-X4 E/P Regulator (Lot of 2) Applied Mateirals
bobsgoodies NEW - $117.00 0 Apr/17/13 May/17/13
Description: AMAT 5070-01029 GrsHigh Vacuum Krytox LVP Krytox 240 AC 2 Oz. Tube
athomemarket NEW - $99.99 0 Apr/17/13 May/17/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
capitolareatech Used - $17.50 1 Dec/12/11 Apr/17/13
Description: VEXTA C5347-9212M 2 PHASE STEPPING MOTOR
athomemarket NEW - $155.99 0 Apr/18/13 May/18/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
equipment_recycling Used - $150.00 0 Apr/18/13 Apr/25/13
Description: AMAT 0100-20051 Turbo Interconnect PCB (lot of 2)
equipment_recycling NEW - $100.00 0 Apr/18/13 Apr/25/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
yayais2012 Scrap, for parts - $250.00 1 Apr/20/13 May/03/13
Description: Applied Materials/AMAT 0020-79594 REV AB Laser Module MDL-390A-670-5 LOT OF 2
d-dog0818 Used - $49.95 0 Apr/20/13 Apr/27/13
Description: Lot of 2 Proteus Industries Fluid Flow Switches 0190-09332 Rev. B AMAT
athomemarket NEW - $99.99 0 Apr/23/13 May/23/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket Used - $73.99 0 Apr/23/13 May/23/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
sellyoursurplus NEW - $95.00 0 Apr/24/13 May/01/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
used1eqsales Used - $652.68 0 Apr/25/13 Jun/24/13
Description: Novellus Digital Controller 01-8130508-00 02-8127172-00 REV 2 02-122156-00
equipment_recycling NEW - $100.00 0 Apr/25/13 May/02/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
equipment_recycling Used - $150.00 0 Apr/25/13 Apr/27/13
Description: AMAT 0100-20051 Turbo Interconnect PCB (lot of 2)
athomemarket Used - $114.99 0 Apr/25/13 May/25/13
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
sellyoursurplus Used - $100.00 0 Apr/25/13 May/02/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
athomemarket NEW - $119.99 0 Apr/26/13 May/26/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
d-dog0818 Used - $39.95 1 Apr/27/13 Aug/22/14
Description: Lot of 2 Proteus Industries Fluid Flow Switches 0190-09332 Rev. B AMAT
bobsgoodies NEW - $42.00 0 Apr/30/13 May/30/13
Description: AMAT 3870-02786 Swagelok Check Valve In-line 2 PSIG 1/4" F/F VCR (6L-CW4FR4?)
bobsgoodies NEW - $117.00 0 Apr/30/13 Jul/09/13
Description: AMAT 3400-01461 Hose Assembly, TFE/SST 14" X 42" 1/4" tube end (Lot of 2 hoses)
supertechshop Used - $199.00 0 May/02/13 Jun/01/13
Description: Lot 2 Kawasaki 30C61E Robot Controller 1LG-63 / 1LG-53 Module 0190-25867 AMAT
equipment_recycling NEW - $80.00 0 May/03/13 May/10/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
athomemarket Used - $194.99 0 May/05/13 Jun/04/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
yayais2012 NEW - $15.00 0 May/06/13 Jun/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies NEW - $77.00 0 May/07/13 May/17/13
Description: (Lot of 2) AMAT 0140-00897 Harness Assy DNET LL/CH HHD Assy: 0900-00200
athomemarket NEW - $53.99 0 May/09/13 Jun/08/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
bobsgoodies NEW - $117.00 0 May/10/13 May/17/13
Description: 2 AMAT 0190-01169 Valve Return 300mm Centura W/adapter 8A-PR6-EPRT-SS-2861 1/2"
bobsgoodies NEW - $149.00 0 May/16/13 Jun/15/13
Description: AMAT 3870-01223 VALVE PNEU BLWS 2 WAY MINI NC SST 1/4 VCR Nupro SS-4GK-V51-1C
bobsgoodies NEW - $189.00 0 May/17/13 Jul/09/13
Description: 2 AMAT 0190-01169 Valve Return 300mm Centura W/adapter 8A-PR6-EPRT-SS-2861 1/2"
bobsgoodies NEW - $275.00 0 May/17/13 Jul/09/13
Description: AMAT 0040-05069 SWLL Bracket CFW with 2 Parker 9910-022-EPR Quick Couplers
athomemarket NEW - $99.99 0 May/17/13 Jun/16/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $155.99 0 May/18/13 Jun/17/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
usedeqsales Used - $305.13 0 May/20/13 Sep/04/13
Description: BradCommunications SST-EDN-1 Remote DeviceNet Scanner AMAT 0190-29030 Lot of 2
usedeqsales NEW - $205.13 0 May/20/13 May/01/15
Description: Applied Materials 3300-06002 Flex Coupling 4" Lot of 2 New
usedeqsales Used - $405.13 0 May/20/13 May/05/15
Description: Applied Materials 0190-16375 Nano-Change MPIS DeviceNet Rev. 002 Lot of 2 Used
yayais2012 Scrap, for parts - $250.00 0 May/22/13 Jun/21/13
Description: Applied Materials/AMAT 0020-79594 REV AB Laser Module MDL-390A-670-5 LOT OF 2
equipment_recycling NEW - $80.00 0 May/23/13 May/30/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
athomemarket NEW - $99.99 0 May/23/13 Jun/22/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket Used - $73.99 0 May/23/13 Jun/22/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
farmoninc Used - $1,800.00 0 May/23/13 Aug/24/15
Description: 2 AMAT 0190-35791 MUXADIO-001 Seriplex multiplexed ADIO boards
athomemarket Used - $114.99 0 May/25/13 Jun/24/13
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
athomemarket NEW - $119.99 0 May/26/13 Jun/25/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
equipment_recycling NEW - $80.00 0 Jun/03/13 Jun/10/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
athomemarket Used - $194.99 0 Jun/04/13 Jul/04/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
supertechshop Used - $199.00 0 Jun/04/13 Jul/04/13
Description: Lot 2 Kawasaki 30C61E Robot Controller 1LG-63 / 1LG-53 Module 0190-25867 AMAT
yayais2012 NEW - $15.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies NEW - $119.00 0 Jun/07/13 Jul/09/13
Description: AMAT 0150-76035 Wasco Pressure Switch Set: 2 PSIG Operate Decreasing 1/4 VCR
bobsgoodies NEW - $49.00 0 Jun/07/13 Jun/29/13
Description: AMAT 0020-13380 WINDOW FILTER FRAME, (2)
bobsgoodies NEW - $160.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0020-20626 Block, Mounting, Shut-Off Valve. (3 bags of 2)
bobsgoodies NEW - $28.00 0 Jun/07/13 Jul/09/13
Description: AMAT 0680-02070 Cutler-Hammer Type BA 30 Amp 2 Pole BAB2030 Bolt-on Breaker 240V
athomemarket NEW - $53.99 0 Jun/08/13 Jul/08/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
capitolareatech NEW - $535.00 0 Jun/10/13 Sep/08/13
Description: APPLIED MATERIALS (AMAT) 0050-09399 WELDMENT,LINE 2, CHAMBER B
usedeqsales Used - $206.13 1 Jun/11/13 Jun/12/13
Description: Horibastec SEC-Z522MGXN Mass Flow Controller AMAT 3030-15620 Lot of 2 Used
usedeqsales Used - $456.13 0 Jun/11/13 May/05/15
Description: Aera FCPI981CBAXDIDJAA Mass Flow Controller AMAT 0190-34216 Lot of 2 Used
usedeqsales Used - $456.13 0 Jun/11/13 May/05/15
Description: Aera FCPI981CBAXDIDJAA Mass Flow Controller AMAT 0190-34218 Lot of 2 Used
supertechshop Used - $499.00 0 Jun/12/13 Jul/12/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Jun/12/13 Jul/12/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
katsu9202408 Used - $199.99 1 Jun/14/13 Jun/23/14
Description: Lam Research 716-069688-041 ELCTD,INR,SI,LG R 300mm POL lots of 2 inside
sellyoursurplus NEW - $95.00 0 Jun/16/13 Jun/19/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus Used - $100.00 0 Jun/16/13 Jun/19/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
bobsgoodies NEW - $149.00 0 Jun/17/13 Jul/09/13
Description: AMAT 3870-01223 VALVE PNEU BLWS 2 WAY MINI NC SST 1/4 VCR Nupro SS-4GK-V51-1C
usedeqsales Used - $1,206.13 1 Jun/17/13 Jun/18/13
Description: NSK ELA-B014CG2-04 Servo Motor Driver 2 Axis AMAT 0190-25916 Used
usedeqsales Used - $306.13 2 Jun/17/13 Oct/12/13
Description: MDC KAV-100 Manual Angle Valve AMAT 3870-02742 Lot of 2 Used Working
athomemarket NEW - $99.99 0 Jun/17/13 Jul/17/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $155.99 1 Jun/17/13 Jul/10/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
sellyoursurplus Used - $100.00 0 Jun/20/13 Jun/30/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $95.00 0 Jun/20/13 Jun/30/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
usedeqsales Used - $306.13 1 Jun/21/13 Oct/05/14
Description: MDC KAV-150-P-10 Pneumatic Angle Valve AMAT 3870-02890 Lot of 2 Used Working
capitolareatech NEW - $71.72 0 Jun/21/13 Sep/14/14
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
athomemarket NEW - $99.99 0 Jun/22/13 Jul/22/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket Used - $73.99 0 Jun/22/13 Jul/22/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
yayais2012 Scrap, for parts - $250.00 0 Jun/22/13 Jul/22/13
Description: Applied Materials/AMAT 0020-79594 REV AB Laser Module MDL-390A-670-5 LOT OF 2
athomemarket Used - $114.99 0 Jun/24/13 Jul/24/13
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
usedeqsales Used - $406.13 1 Jun/25/13 Jul/17/13
Description: Horiba STEC D214-SCU Digital Mass Fow Module AMAT 0190-31926 Lot of 2
capitolareatech NEW - $85.00 0 Jun/25/13 Aug/28/13
Description: AMAT 3510-01056 FLOW Restrictor 1SLM MOTT (HPS), 5140-1/4-SS-1SLM-CLS100-10RA,2,
usedeqsales NEW - $306.13 1 Jun/25/13 Apr/07/14
Description: IDEC Corporation PS5R-SG24 Power Supply AMAT 1140-00705 Lot of 2 New
athomemarket NEW - $119.99 0 Jun/25/13 Jul/25/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
capitolareatech NEW - $46.87 0 Jun/28/13 Sep/21/14
Description: APPLIED MATERIALS (AMAT) 0050-04725 WELDMENT, LINE 2, CHAMBER D
capitolareatech NEW - $150.00 0 Jun/28/13 Sep/21/14
Description: AMAT 0090-20036 VEXTA, PX245M-02AA, 2 PHASE STEPPING MOTOR
capitolareatech NEW - $23.91 0 Jun/28/13 Sep/21/14
Description: NOVELLUS SYSTEMS 10-155965-00 TUBE ASSY,V46A&V48A,STA 2
capitolareatech NEW - $159.38 2 Jun/28/13 Jun/25/14
Description: NOVELLUS 22-026085-00 SWAGELOK, 6LVV-P1V222P-AA, NUPRO VALVE, 3 PORT, 2 ACTUATO
equipment_recycling NEW - $80.00 0 Jul/01/13 Jul/08/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
athomemarket Used - $175.49 0 Jul/04/13 Aug/03/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
supertechshop Used - $199.00 0 Jul/05/13 Jul/26/13
Description: Lot 2 Kawasaki 30C61E Robot Controller 1LG-63 / 1LG-53 Module 0190-25867 AMAT
yayais2012 NEW - $15.00 0 Jul/06/13 Aug/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket NEW - $48.59 0 Jul/08/13 Aug/07/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $89.99 0 Jul/17/13 Aug/16/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
neilan1987 NEW - $199.99 0 Jul/17/13 Jul/24/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
odysseystudios Refurbished - $3,900.00 0 Jul/16/13 Dec/03/15
Description: * Refurb* Applied Materials 0010-16687 Rev 2 Degas/Preheat LLA Assy 300MM Endur
supertechshop Used - $499.00 0 Jul/15/13 Aug/14/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Jul/15/13 Aug/14/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
farmoninc NEW - $50.00 0 Jul/20/13 Jul/12/18
Description: 2 New, AMAT 0040-77517
farmoninc NEW - $100.00 0 Jul/20/13 May/04/23
Description: 2 New, AMAT 0050-00004 tube weldment remote final line
farmoninc NEW - $200.00 0 Jul/20/13 Feb/20/14
Description: 2 New , AMAT 0150-76155 cable ASSY. exhaust switch liquid inject
farmoninc NEW - $50.00 1 Jul/19/13 Jul/23/13
Description: 2 New AMAT 0150-09688 MFC Cable Assy Ribbon H20 VDSII
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus 02-254142-00 Rev 1 SESIOC 2 IXT WTSHV Firmware Ver 4.6 with Warranty
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus 19-112486-00 TiN SWM ISIOC 2 A/B 02-112694-00 Rev 1 w/ 60 day warranty
usedeqsales NEW - $307.13 1 Jul/22/13 Nov/25/13
Description: Oriental Motor ASM98AA Stepping Motor Vexta AMAT 1080-01088 Lot of 2 New
athomemarket NEW - $89.99 0 Jul/22/13 Aug/21/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket Used - $66.59 0 Jul/22/13 Aug/21/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
neilan1987 NEW - $179.99 0 Jul/24/13 Jul/31/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
athomemarket Used - $103.49 0 Jul/25/13 Aug/24/13
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
athomemarket NEW - $107.99 0 Jul/25/13 Aug/24/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
farmoninc NEW - $5.00 0 Jul/26/13 May/18/23
Description: 2 NEW AMAT 3880-01115 washer flat #6 .312OD x .142ID x .024THK SST
farmoninc NEW - $50.00 0 Jul/26/13 May/18/23
Description: new AMAT 0020-02314 Bracket 2, 0.25" gasline
farmoninc NEW - $50.00 0 Jul/26/13 May/18/23
Description: 2 new AMAT 0020-18978 DIN rail 2.76 in contractor AUX AC produc.
farmoninc NEW - $80.00 0 Jul/26/13 May/18/23
Description: 2 new AMAT 1400-01338 cover for leak cap sensor, LAM 13-8885-46
farmoninc Used - $200.00 0 Jul/26/13 May/18/23
Description: 2 new AMAT 0040-91176 contact
yayais2012 Scrap, for parts - $250.00 0 Jul/28/13 Aug/27/13
Description: Applied Materials/AMAT 0020-79594 REV AB Laser Module MDL-390A-670-5 LOT OF 2
odysseystudios NEW - $3,900.00 0 Jul/30/13 Nov/17/15
Description: NEW Applied Materials 0240-52896 Rev 1 Kit, L-Door DRPII, Endura 2 SWLL
odysseystudios NEW - $3,900.00 0 Jul/30/13 Nov/17/21
Description: NEW Applied Materials 0240-52897 Rev 2 Kit Indexer DRPII Endura 2 SWLL Warranty
odysseystudios NEW - $2,900.00 0 Jul/30/13 Sep/12/17
Description: NEW Applied Materials 0010-28976 Rev 2 Lid swlla center diffuser w/purg
farmoninc NEW - $190.00 0 Jul/30/13 May/18/23
Description: 2 new AMAT 0150-13149 harness assy. operator select switch, VDI
farmoninc NEW - $150.00 1 Jul/30/13 Jun/24/15
Description: 2 new AMAT 0150-77285 KTEC cable
farmoninc NEW - $90.00 0 Jul/30/13 May/18/23
Description: 10 new AMAT 0021-08731 flat spray d1 water nozzle 35 deg, ebr 2
farmoninc Used - $250.00 0 Jul/30/13 May/18/23
Description: 2 NEW AMAT 0150-02338 cable assy. mainframe pneumatics
odysseystudios NEW - $3,900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0242-45500 Rev 1 Kit, Vent/Vac DRPII, Endura 2 SWLL
odysseystudios NEW - $3,900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0242-45497 Rev 1 Kit, DRPII SWLL Hoop Endura 2 + Warranty
odysseystudios NEW - $2,900.00 0 Jul/30/13 Sep/12/17
Description: NEW Applied Materials 0010-28977 Rev 2 Lid swllb center diffuser with purg
farmoninc NEW - $150.00 0 Jul/31/13 Sep/14/15
Description: 2 new AMAT 3700-02079 ORING ID 2.050 CSD .103 VITON 75DURO BRN
farmoninc NEW - $120.00 0 Jul/31/13 May/18/23
Description: 2 new AMAT 3700-01640 ORING ID 1.600 CSD .210 BRN
equipment_recycling NEW - $80.00 0 Aug/01/13 Aug/08/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
usedeqsales Scrap, for parts - $458.13 0 Aug/01/13 May/05/15
Description: Horiba STEC SEC-V110DM Digital Mass Flow Controller AMAT 3030-14383 Lot of 2
farmoninc NEW - $450.00 0 Aug/01/13 May/07/18
Description: 2 new AMAT 0150-18072 cable assy, side, ETO RF GEN CONTROL, CHAM
farmoninc NEW - $150.00 0 Aug/01/13 May/07/18
Description: 2 new AMAT 3700-01318 ORING ID 10.975 CSD .210 VITON 75 DURO B
farmoninc NEW - $350.00 0 Aug/01/13 Mar/29/16
Description: 2 new AMAT 3700-01440 ORING ID .799 CSD .103 KALREZ 4079 75DUR
farmoninc NEW - $100.00 0 Aug/01/13 May/18/23
Description: 2 new AMAT 3700-02433 ORING, O-RING compound V0747
usedeqsales NEW - $458.13 0 Aug/02/13 May/05/15
Description: AMAT Applied Materials 0150-19999 ASTRONex Power 2 Cable Assembly New
yayais2012 Used - $110.00 0 Aug/02/13 Sep/01/13
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
neilan1987 NEW - $99.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
yayais2012 Used - $350.00 0 Aug/03/13 Sep/02/13
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
farmoninc NEW - $100.00 0 Aug/03/13 May/18/23
Description: 2 new AMAT 3700-01640 ORING ID 1.600 CSD .210 BRN
farmoninc NEW - $150.00 0 Aug/03/13 May/18/23
Description: AMAT 0090-36188 SWITCH RF INTERLOCK SOURCE 2
farmoninc NEW - $100.00 0 Aug/03/13 May/18/23
Description: 2 new AMAT 0021-12677 Brackets
athomemarket Used - $175.49 0 Aug/03/13 Sep/02/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
farmoninc NEW - $100.00 0 Aug/03/13 May/18/23
Description: 2 New AMAT 0040-13221 BUS BAR
farmoninc NEW - $200.00 0 Aug/03/13 May/18/23
Description: 2 AMAT 0020-13873 Ball Stud LWR Gas Spring 323580
farmoninc NEW - $200.00 0 Aug/03/13 May/18/23
Description: 2 AMAT 3310-01182 Pressure Gauge 0-100 PSI 2" Dial 1/4NPT Back, 323534
farmoninc NEW - $500.00 0 Aug/03/13 May/18/23
Description: 2 new AMAT 0140-03295 harness assy, MFAC DIST TO NSK PWR, CENT 30
farmoninc NEW - $50.00 0 Aug/03/13 May/18/23
Description: 2 new AMAT 1270-00392 SW travelling limit switch
yayais2012 NEW - $15.00 0 Aug/05/13 Sep/04/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
farmoninc NEW - $300.00 0 Aug/05/13 May/18/23
Description: 2 new AMAT 0040-97414 Gas line pigtail, SDS BF3 fujikin U/C
farmoninc NEW - $200.00 0 Aug/05/13 May/18/23
Description: 2 AMAT 0020-01380 End Plate, Inner, 323558
farmoninc NEW - $150.00 0 Aug/05/13 May/18/23
Description: 2 AMAT 0140-02665 Harness Assy, Fan Power/Control 300mm, 323469
farmoninc NEW - $300.00 0 Aug/05/13 May/18/23
Description: 2 new AMAT 0040-42843 safety housing, lid lifter, producer se
farmoninc NEW - $50.00 0 Aug/06/13 May/18/23
Description: 2 AMAT 0021-02176 Bracket, Intlk Cable Extension MTG, 323476
farmoninc NEW - $150.00 0 Aug/06/13 May/18/23
Description: 2 AMAT 0140-35604 Harness Assy, MWave Turner, 24V PWR, CENT, 323541
farmoninc NEW - $200.00 0 Aug/06/13 May/18/23
Description: 2 AMAT 0020-32997 Bearing Tube Coupler P500 MC Robot, 323563
athomemarket NEW - $48.59 0 Aug/07/13 Sep/06/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
farmoninc NEW - $50.00 0 Aug/07/13 Jan/23/19
Description: 2 new AMAT 0021-77267 PIN CAP
oka1298 Used - $149.99 1 Aug/08/13 Oct/29/13
Description: LAM Research ASSY 810-017003-004 REV 2 DIP High Frequency Board
usedeqsales Used - $308.13 0 Aug/08/13 May/05/15
Description: Lake Monitors M3B-6WB-02-L2 Water Flow Alarm Switch AMAT 1270-01579 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/09/13 May/05/15
Description: Celerity IFC-125C Mass Flow Controller 2000 SCCM SiH4 AMAT 0190-28861 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/09/13 May/05/15
Description: Celerity IFC-125C Mass Flow Controller 5000 SCCM NF3 AMAT 0190-28862 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/09/13 May/05/15
Description: Celerity IFC-125C Mass Flow Controller 20000 SCCM He AMAT 0190-28862 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/09/13 May/05/15
Description: Celerity IFC-125C Mass Flow Controller 10 SCCM SiCl4 AMAT 0190-28857 Lot of 2
usedeqsales Scrap, for parts - $908.13 0 Aug/09/13 May/04/15
Description: Unit UFC-8165 Digital UltraClean Metal Seal 10 L Ar AMAT 3030-03621 Lot of 2
usedeqsales Scrap, for parts - $408.13 1 Aug/09/13 Jun/16/14
Description: Unit UFC-8161 Digital UltraClean Metal Seal AMAT 3030-00998 Lot of 2
usedeqsales Scrap, for parts - $408.13 0 Aug/09/13 Oct/21/14
Description: Unit UFC-8161 Digital UltraClean Metal Seal AMAT 3030-03756 Lot of 2 Untested
usedeqsales Scrap, for parts - $408.13 1 Aug/09/13 Aug/20/13
Description: Unit UFC-1660 Metal Seal Mass Flow Controller 30 L H2 AMAT 3030-12922 Lot of 2
usedeqsales Scrap, for parts - $408.13 1 Aug/09/13 Aug/20/13
Description: Unit UFC-1660 Metal Seal Mass Flow Controller 20 L H2 AMAT 3030-12921 Lot of 2
usedeqsales Scrap, for parts - $908.13 1 Aug/09/13 Sep/13/14
Description: Unit UFC-1660 Metal Seal Mass Flow Controller 40 L N2 AMAT 3030-12923 Lot of 2
usedeqsales Scrap, for parts - $408.13 3 Aug/09/13 Aug/20/13
Description: Unit UFC-1660 Metal Seal Mass Flow Controller 500 CC H2 AMAT 3030-12920 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/09/13 May/05/15
Description: Celerity IFC-125C Mass Flow Controller 20000 SCCM N2 AMAT 0190-28863 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/09/13 May/05/15
Description: Celerity IFC-125C Mass Flow Controller 12000 SCCM H2 AMAT 0190-28862 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/09/13 May/05/15
Description: Celerity IFC-125C Mass Flow Controller 18000 SCCM H2 AMAT 0190-28862 Lot of 2
usedeqsales Scrap, for parts - $908.13 0 Aug/09/13 Jan/16/14
Description: Celerity IFC-125C Mass Flow Controller 5000 SCCM N2 AMAT 0190-28861 Lot of 2
usedeqsales Scrap, for parts - $908.13 0 Aug/09/13 Jan/16/14
Description: Celerity IFC-125C Mass Flow Controller 8000 SCCM Ar AMAT 0190-28861 Lot of 2
neilan1987 NEW - $79.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
farmoninc NEW - $300.00 0 Aug/10/13 Feb/02/18
Description: 2 new AMAT 0140-76885 H/A IPS driver to CB'S CH. D
farmoninc NEW - $10.00 0 Aug/10/13 Mar/06/17
Description: AMAT 0090-01567 assy shorting plug 2 pos
systasemi Used - $5,000.00 0 Aug/12/13 Sep/11/13
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
usedeqsales Scrap, for parts - $458.13 0 Aug/12/13 May/05/15
Description: Horiba STEC D214-SCU Digital Mass Flow Module AMAT 0190-31926 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/12/13 May/05/15
Description: Unit UFC-8565C Digital UltraClean Metal Seal AMAT 0190-16332 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/12/13 May/05/15
Description: Celerity AAPGD40W1 Mass Flow Controller IN3XP AMAT 0190-19977 CH2F2 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/12/13 May/05/15
Description: Unit UFC-1661 Digital Metal Seal AMAT 3030-15049 Lot of 2 Untested
farmoninc NEW - $600.00 0 Aug/12/13 Jan/23/17
Description: 2 new AMAT 0150-21927 cable control box to sw box relays
farmoninc NEW - $450.00 0 Aug/12/13 Oct/20/23
Description: AMAT 0090-00212 ELEC assy. LTESC STD body POS 2,3,C
farmoninc NEW - $750.00 0 Aug/12/13 Apr/19/16
Description: 2 New Novellus 15-042256-00 FINGER, FORK, CERAMIC, LOW CONTACT
ntsurplus302 Used - $280.00 0 Aug/14/13 Jan/18/15
Description: 2825 Set of 2 Applied Materials P/N: 0020-62770 & 0020-62771. Screens
farmoninc NEW - $50.00 0 Aug/14/13 Nov/13/17
Description: 2 new AMAT 3300-02133 FTG QDISC STEM 1/2T SST SLK
farmoninc NEW - $750.00 0 Aug/14/13 Mar/06/15
Description: 3 new AMAT 0050-40928 gas line IHC DUMP 2 ULTIMA HDPCVD
farmoninc NEW - $800.00 1 Aug/14/13 Jun/08/16
Description: 2 new AMAT 0050-47365 TEE foreline for VTEX/EBARA HDPCVD ULTIMA
usedeqsales NEW - $308.13 1 Aug/15/13 Dec/05/13
Description: AMAT Applied Materials 0040-43516 Wide Blade Nitronic 60 Insert Lot of 2 New
hot!cardz NEW - $6,000.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
supertechshop Used - $499.00 0 Aug/16/13 Sep/15/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Aug/16/13 Sep/15/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
athomemarket NEW - $89.99 0 Aug/16/13 Sep/15/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
neilan1987 NEW - $99.99 0 Aug/16/13 Aug/23/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
usedeqsales Scrap, for parts - $908.13 0 Aug/16/13 Jan/29/14
Description: Celerity AASGDD0W1 Mass Flow Controller AMAT 0190-33091 H2 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/16/13 May/05/15
Description: Celerity AATGDD0W1 Mass Flow Controller AMAT 0190-33087 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/16/13 May/05/15
Description: Celerity AASGDD0W1 Mass Flow Controller AMAT 0190-33091 Ar Lot of 2
equipment_recycling NEW - $80.00 0 Aug/17/13 Aug/24/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
athomemarket NEW - $89.99 0 Aug/21/13 Sep/20/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket Used - $66.59 0 Aug/21/13 Sep/20/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
usedeqsales Scrap, for parts - $458.13 0 Aug/22/13 May/05/15
Description: Aera FCPIDN980C-ABA Mass Flow Controller AMAT 0190-27880 Lot of 2 As-Is
sellyoursurplus NEW - $95.00 0 Aug/23/13 Aug/30/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus Used - $100.00 0 Aug/23/13 Aug/30/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
usedeqsales Used - $154.56 3 Aug/22/13 Oct/29/13
Description: Sanyo Denki P30B04010DXS1CM AC Servo Motor AMAT 0190-15759 Lot of 2 Working
neilan1987 NEW - $99.99 0 Aug/23/13 Aug/30/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
equipment_recycling NEW - $80.00 0 Aug/24/13 Aug/31/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
hot!cardz NEW - $6,000.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
usedeqsales Scrap, for parts - $458.13 0 Aug/29/13 May/05/15
Description: Unit UFC-1100 Mass Flow Controller AMAT 3030-01683 3 SLM O2 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/29/13 May/05/15
Description: Unit UFC-1260A Mass Flow Controller AMAT 3030-01644 100 SCCM N2 Lot of 2 As-Is
usedeqsales Scrap, for parts - $458.13 0 Aug/29/13 May/05/15
Description: Unit UFC-1100 Mass Flow Controller AMAT 3030-01053 3 SLM N2 Lot of 2 As-Is
usedeqsales Scrap, for parts - $458.13 0 Aug/29/13 May/05/15
Description: Unit UFC-1100A Mass Flow Controller AMAT 3030-01077 10 SLM N2 Lot of 2 As-Is
usedeqsales Scrap, for parts - $458.13 0 Aug/29/13 May/05/15
Description: Unit UFC-1100A Mass Flow Controller AMAT 3030-02494 200 SCCM NF3 Lot of 2
pohyh Used - $2,000.00 0 Aug/29/13 Nov/17/15
Description: 399 (2) AMAT 0010-18129 REV.B
usedeqsales NEW - $508.13 1 Aug/28/13 Sep/13/13
Description: Oriental Motor C9469-041 Vexta 2-Phase Driver AMAT 1080-00786 Lot of 2 New
usedeqsales Scrap, for parts - $363.25 1 Aug/28/13 Oct/08/14
Description: MKS 1159B-15145---S Mass Flow Controller AMAT 0010-40240 Lot of 2 As-Is
athomemarket Used - $103.49 0 Aug/24/13 Sep/23/13
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
neilan1987 NEW - $99.99 0 Aug/30/13 Sep/06/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
equipment_recycling NEW - $80.00 0 Aug/31/13 Sep/07/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
sellyoursurplus NEW - $95.00 0 Aug/31/13 Sep/07/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus Used - $100.00 0 Aug/31/13 Sep/07/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
yayais2012 Used - $110.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $350.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
athomemarket Used - $175.49 0 Sep/02/13 Oct/02/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
athomemarket NEW - $48.59 0 Sep/06/13 Oct/06/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
equipment_recycling NEW - $80.00 0 Sep/07/13 Sep/14/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
yayais2012 NEW - $15.00 0 Sep/05/13 Oct/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
neilan1987 NEW - $99.99 0 Sep/06/13 Sep/13/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
bobsgoodies NEW - $85.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0150-36785 Wire harness with 2 Banner SM312FV Photo Sensors
hot!cardz NEW - $6,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
systasemi Used - $5,000.00 0 Sep/11/13 Oct/11/13
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
capitolareatech NEW - $195.00 0 Sep/12/13 Oct/07/14
Description: AMAT 0190-77294 7602 QUALITY TRANSFORMER, ROBOT TRANSFORMER ASSEMBLY; 120V PRI 2
capitolareatech Refurbished - $562.50 0 Sep/12/13 Oct/07/14
Description: AMAT 0010-24584 VIEWPORT LID ENDURA 2 PRECLEAN CMABER
capitolareatech NEW - $281.25 0 Sep/12/13 Oct/07/14
Description: AMAT 0050-75624 VALVE MANIFOLD, 2 STATION, LEFT EXTENDED
farmoninc Used - $450.00 0 Sep/12/13 Dec/17/13
Description: AMAT 0040-05142 WELDMENT, HEATER CONN HPT X 2
usedeqsales NEW - $309.13 1 Sep/13/13 Sep/14/13
Description: AMAT Applied Materials 0190-00959 4 Point Contact Ball Radial Bearing Lot of 2
svcstore Scrap, for parts - $1,499.99 0 Sep/13/13 Sep/16/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $2,499.99 0 Sep/13/13 Sep/16/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Sep/13/13 Sep/16/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
neilan1987 NEW - $79.99 1 Sep/13/13 Oct/07/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
equipment_recycling NEW - $80.00 0 Sep/15/13 Sep/22/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
supertechshop Used - $499.00 0 Sep/15/13 Oct/15/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Sep/15/13 Oct/15/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
athomemarket NEW - $89.99 0 Sep/15/13 Oct/15/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
svcstore Scrap, for parts - $1,499.99 0 Sep/16/13 Sep/19/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Sep/16/13 Sep/19/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Sep/16/13 Sep/19/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
usedeqsales NEW - $559.13 0 Sep/18/13 May/04/15
Description: Cutler-Hammer WMS2D05 2 Pole Circuit Breaker AMAT 0680-00911 Lot of 19 New
yayais2012 Used - $480.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0010-04514 LASER MODULE lot of 2
yayais2012 NEW - $75.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
svcstore Scrap, for parts - $1,499.99 0 Sep/19/13 Sep/22/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Sep/19/13 Sep/22/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Sep/19/13 Sep/22/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
pohyh Used - $150.00 0 Sep/20/13 Jul/13/20
Description: 498 AMAT 0090-09027 ORIENTAL MOTOR VEXTA 2 PHASE STEPPING MOTOR PH264-01B-C11
pohyh Used - $5,000.00 0 Sep/20/13 Dec/13/16
Description: 471 (2) AMAT 0242-13166 5200 CENTURA SIMPLE CATHODE MXP+
pohyh Used - $500.00 2 Sep/20/13 Feb/18/14
Description: 591 (2) LAM 853-032776-001 MDC 996406
usedeqsales Scrap, for parts - $459.13 0 Sep/20/13 May/05/15
Description: Mykrolis FC-2902MEP5-T Mass Flow Controller AMAT 3030-04246 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/20/13 May/05/15
Description: Mykrolis FC-2902MEP5-T Mass Flow Controller AMAT 3030-04248 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/20/13 May/05/15
Description: Tylan FC-2900MEP5 Mass Flow Controller AMAT 3030-06161 Lot of 2 As-Is
athomemarket Used - $73.99 0 Sep/21/13 Oct/21/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $99.99 0 Sep/21/13 Oct/21/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
yayais2012 NEW - $35.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
equipment_recycling NEW - $80.00 0 Sep/22/13 Sep/29/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
yayais2012 NEW - $38.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0242-46012 CD Epi 300 ACP Blk 2 (2CH) MANUALS
svcstore Scrap, for parts - $1,499.99 0 Sep/22/13 Sep/25/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Sep/22/13 Sep/25/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Sep/22/13 Sep/25/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
alvin1462 NEW - $433.00 0 Sep/23/13 May/05/18
Description: Applied Materials 0041-05861 ,2 L/R Bracket , Shipping Frame , CPI CVD PRODUCER
alvin1462 NEW - $1,688.00 7 Sep/23/13 Feb/08/17
Description: AMAT Applied Materials 0190-37081 Endura 2 CVD TOP ASSY W/PLC new
usedeqsales Scrap, for parts - $459.13 0 Sep/23/13 May/05/15
Description: Tylan FC-2900MEP5 Mass Flow Controller AMAT 3030-09062 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/23/13 May/05/15
Description: Tylan FC-2952MEP5-T Mass Flow Controller AMAT 3030-04112 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/23/13 May/05/15
Description: Tylan FC-2900M Mass Flow Controller AMAT 0225-05386 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/23/13 May/05/15
Description: Tylan FC-2950MEP5-T Mass Flow Controller AMAT 3030-04113 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/23/13 May/05/15
Description: Tylan FC-2952MEP5-T Mass Flow Controller AMAT 3030-07682 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/23/13 May/05/15
Description: Tylan FC-2950MEP5 Mass Flow Controller AMAT 0227-44672 Lot of 2 As-Is
athomemarket Used - $114.99 0 Sep/23/13 Oct/23/13
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
athomemarket NEW - $119.99 0 Sep/23/13 Oct/23/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
hot!cardz NEW - $6,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
yayais2012 NEW - $65.00 0 Sep/24/13 Oct/24/13
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $27.00 0 Sep/24/13 Oct/24/13
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
usedeqsales Scrap, for parts - $459.13 0 Sep/24/13 May/05/15
Description: Tylan FC-2951MOEP5 Mass Flow Controller AMAT 3030-02649 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/24/13 May/05/15
Description: Tylan FC-2952MEP5-T Mass Flow Controller AMAT 3030-04146 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/24/13 May/05/15
Description: Tylan FC-2952MEP5-T Mass Flow Controller AMAT 0227-12393 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/24/13 May/05/15
Description: Tylan FC-2952MEP5-T Mass Flow Controller AMAT 3030-04136 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/24/13 May/05/15
Description: Tylan FC-2950MEP5 Mass Flow Controller AMAT 0227-97999 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/24/13 May/05/15
Description: Tylan FC-2951MOEP5 Mass Flow Controller AMAT 3030-04203 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/24/13 May/05/15
Description: Tylan FC2900-MEP5 Mass Flow Controller AMAT 3030-05787 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/24/13 May/05/15
Description: Tylan FC-2950MEP5 Mass Flow Controller AMAT 3030-02737 Lot of 2 As-Is
usedeqsales Scrap, for parts - $909.13 1 Sep/24/13 Mar/23/15
Description: Tylan FC-2951MEP5 Mass Flow Controller AMAT 3030-07210 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/24/13 May/05/15
Description: Tylan FC-2950MEP5 Mass Flow Controller AMAT 0224-40774 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/24/13 May/05/15
Description: Tylan FC-2950MEP5 Mass Flow Controller AMAT 3030-06009 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/24/13 May/05/15
Description: Tylan FC-2950MEP5 Mass Flow Controller AMAT 3030-05756 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/24/13 May/05/15
Description: Tylan FC-2950MEP5 Mass Flow Controller AMAT 3030-05752 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/24/13 May/05/15
Description: Horiba STEC SEC-4500M Mass Flow Controller AMAT 3030-05704 Lot of 2 As-Is
alvin1462 NEW - $388.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0010-33034 Flow Switch 1" Manifold 2 Lamphead RAD NEW
capitolareatech NEW - $450.12 1 Sep/25/13 Oct/25/13
Description: NOVELLUS 27-131136-00 BPG400 PIRANI GAUGE 2 3/4 (Leybold) 12092, 20...30V-;16W
usedeqsales Scrap, for parts - $459.13 0 Sep/25/13 May/05/15
Description: STEC SEC-4500M-O-UC Mass Flow Controller AMAT 3030-02330 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/25/13 May/05/15
Description: Millipore FC-2900MEP5 Mass Flow Controller AMAT 3030-04733 Lot of 2 As-Is
usedeqsales Refurbished - $459.13 0 Sep/25/13 May/05/15
Description: Horiba STEC SEC-4550M Mass Flow Controller AMAT 3030-07144 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/25/13 May/05/15
Description: Unit UFC-8165 Mass Flow Controller AMAT 3030-00514 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/25/13 May/05/15
Description: Unit Instruments UFC-1100A Mass Flow Controller AMAT 3030-02147 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/25/13 May/05/15
Description: Tylan FC-2900MEP5 Mass Flow Controller AMAT 3030-00297 Lot of 2 As-Is
svcstore Scrap, for parts - $1,499.99 0 Sep/25/13 Sep/28/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Sep/25/13 Sep/28/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Sep/25/13 Sep/28/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
usedeqsales Scrap, for parts - $459.13 0 Sep/26/13 May/05/15
Description: Millipore FC-2900MEP5 Mass Flow Controller AMAT 3030-08723 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/26/13 May/05/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-14773 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/26/13 May/05/15
Description: Millipore FC-2900MEP5 Mass Flow Controller AMAT 3030-05650 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/26/13 May/05/15
Description: Unit UFC-8165 Mass Flow Controller AMAT 3030-09867 Lot of 2 As-Is
usedeqsales Scrap, for parts - $459.13 0 Sep/26/13 May/05/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-00520 Lot of 2 As-Is
usedeqsales Scrap, for parts - $454.57 1 Sep/26/13 Feb/10/14
Description: Horiba STEC SEC-7440M Mass Flow Controller AMAT 3030-06971 Lot of 2 As-Is
grandbirdnet NEW - $5.00 0 Sep/27/13 Mar/17/22
Description: AMAT 3700-02093 ORING ID 1.112 CSD .103 75 DURO BRN, LOT 2, NEW
keykorea NEW - $45.00 1 Sep/27/13 Feb/05/16
Description: AMAT 3700-01445 ORING ID .359 CSD .139 KALREZ 4079 75DURO BLK, LOT 2, NEW
wideerp01 NEW - $999.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS RING,COVER PVD TUNGSTEN 2 200MM 0020-26477
jammin928 NEW - $2,500.00 0 Sep/27/13 Oct/27/13
Description: Lam Research WVDS Temp control 853-034160-001 Rev 2 New
svcstore Scrap, for parts - $1,499.99 0 Sep/28/13 Oct/01/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Sep/28/13 Oct/01/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Sep/28/13 Oct/01/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
bobsgoodies NEW - $149.00 0 Sep/30/13 Jun/17/14
Description: AMAT 0020-17729 Window Degas Window Filter (Lot of 2)
equipment_recycling NEW - $80.00 0 Oct/01/13 Oct/08/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
svcstore Scrap, for parts - $1,499.99 0 Oct/01/13 Oct/04/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/01/13 Oct/04/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/01/13 Oct/04/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
ntsurplus302 NEW - $50.00 0 Oct/02/13 Feb/28/15
Description: 2937 Lot of 2 Applied Materials P/N: 0040-86019 001
athomemarket Used - $194.99 0 Oct/02/13 Nov/01/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
yayais2012 Used - $350.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 Used - $110.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
svcstore Scrap, for parts - $1,499.99 0 Oct/04/13 Oct/07/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/04/13 Oct/07/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/04/13 Oct/07/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
wideerp01 NEW - $400.00 0 Oct/05/13 Oct/12/13
Description: APPLIED MATERIALS RING,COVER PVD TUNGSTEN 2 200MM 0020-26477
yayais2012 NEW - $15.00 0 Oct/05/13 Nov/04/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
chunkysemiconductor NEW - $250.00 0 Oct/06/13 Oct/28/13
Description: APPLIED MATERIALS AMAT 0040-63107 MANIFOLD AL CH. 2 PRODUCER SE - NEW
athomemarket NEW - $53.99 0 Oct/06/13 Nov/05/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
svcstore Scrap, for parts - $1,499.99 0 Oct/07/13 Oct/10/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/07/13 Oct/10/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/07/13 Oct/10/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
hot!cardz NEW - $6,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
sparepartssolution Used - $8,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-04941 ASSEMBLY;MAGNET SIP-CU 300MM;VERSION 2 USED
sparepartssolution Used - $1,800.00 0 Oct/12/13 Dec/16/14
Description: AMAT APPLIED MATERIALS 0010-20753 WAFER LIFT ASSEMBLY PRECLEAN 2 USED
sparepartssolution NEW - $5,000.00 0 Oct/15/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-22930 FACILITY PLATE, POSITION 2, WIRED NEW
svcstore Scrap, for parts - $1,499.99 0 Oct/14/13 Oct/17/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/14/13 Oct/17/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/14/13 Oct/17/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
systasemi Used - $5,000.00 0 Oct/14/13 Nov/13/13
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
visionsemi NEW - $200.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0040-77207 PAD CONDITIONER DISK CARRIER 2
visionsemi NEW - $3,500.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
visionsemi Used - $14,500.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT ENDURA 2 CBM ASSY ANNEAL/PRECLEAN 0010-26336
visionsemi NEW - $3,500.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0242-45497 KIT, DRPII SWLL HOOP - ENDURA 2
visionsemi Used - $78.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT KALREZ 6375 LIP SEAL 0030-00096 (LOT OF 2)
visionsemi NEW - $130.00 1 Oct/15/13 Oct/18/13
Description: APPLIED MATERIALS AMAT ROBOT CALIBRATION CABLE 0150-76116 LOT OF 2
visionsemi NEW - $110.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT T-HANDLE ADAPTER TARGET 0270-20053 LOT OF 2
visionsemi NEW - $60.00 1 Oct/15/13 Oct/20/13
Description: LAM RESEARCH 754-092082-002 GUIDE BEARING ASSY QTY 2
visionsemi NEW - $60.00 0 Oct/15/13 Oct/15/13
Description: LAM RESEARCH 754-092082-002 GUIDE BEARING ASSY QTY 2
visionsemi NEW - $10.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 754-090359-015 SPRING (QUANTITY OF 2)
athomemarket NEW - $99.99 0 Oct/15/13 Nov/14/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
equipment_recycling NEW - $80.00 0 Oct/16/13 Oct/23/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
usedeqsales NEW - $410.13 1 Oct/16/13 Nov/07/14
Description: Applied Materials ULP-2-.350-N/S Low Pass Filter AMAT 0190-09186 Lot of 2 New
usedeqsales NEW - $310.13 5 Oct/18/13 Apr/03/14
Description: TRU RG-271/U RF Cable 50 Foot AMAT 0620-00517 Lot of 2 Used Working
svcstore Scrap, for parts - $1,499.99 0 Oct/17/13 Oct/20/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/17/13 Oct/20/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/17/13 Oct/20/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $75.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
yayais2012 Used - $480.00 1 Oct/19/13 Oct/22/13
Description: APPLIED MATERIALS 0010-04514 LASER MODULE lot of 2
yayais2012 Used - $110.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $110.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $180.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
supertechshop NEW - $299.00 0 Oct/20/13 Nov/19/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop Used - $499.00 0 Oct/20/13 Nov/19/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
svcstore Scrap, for parts - $1,499.99 0 Oct/20/13 Oct/23/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/20/13 Oct/23/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/20/13 Oct/23/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
usedeqsales Scrap, for parts - $310.13 0 Oct/21/13 Jun/03/14
Description: Sierracin 1100-0051-00 Electromagnetic Driver AMAT 0190-09024 Lot of 2 As-Is
usedeqsales Used - $310.13 0 Oct/21/13 May/23/14
Description: TRU RG-217U RF Cable 50 Foot AMAT 0620-00516 Lot of 2 Used Working
yayais2012 Used - $300.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $350.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
athomemarket Used - $73.99 0 Oct/21/13 Nov/20/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $99.99 0 Oct/21/13 Nov/20/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
yayais2012 NEW - $38.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0242-46012 CD Epi 300 ACP Blk 2 (2CH) MANUALS
yayais2012 NEW - $35.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 Used - $110.00 0 Oct/25/13 Nov/24/13
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $65.00 0 Oct/24/13 Nov/23/13
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $27.00 0 Oct/24/13 Nov/23/13
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
usedeqsales Used - $360.13 1 Oct/24/13 Oct/25/13
Description: Applied Materials AMAT 4060-00324 24-Port Pneumatic Manifold Lot of 2 Used
ultra_clean_warehouse NEW - $14.62 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0270-71075 - CLAMP SHIPPING LL DOOR - REV 2
ultra_clean_warehouse NEW - $199.54 0 Oct/23/13 May/07/14
Description: APPLIED MATERIALS AMAT 0023-70101 FLEX CLAMP TSSL 15KX - REV 2
usedeqsales Used - $410.13 2 Oct/25/13 Jul/08/14
Description: Lambda LIS-3I-12 Regulated Power Supply AMAT 1140-01046 Lot of 2 Used Working
keykorea NEW - $200.00 0 Oct/25/13 Jun/01/15
Description: AMAT 0190-77131 BEARING, SHOULDER,LONG ROBOT, NEW, LOT 2
svcstore Scrap, for parts - $1,499.99 0 Oct/23/13 Oct/26/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/23/13 Oct/26/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/23/13 Oct/26/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket Used - $114.99 0 Oct/23/13 Nov/22/13
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
svcstore Scrap, for parts - $1,499.99 0 Oct/26/13 Oct/29/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/26/13 Oct/29/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/26/13 Oct/29/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
keykorea NEW - $80.00 0 Oct/28/13 Aug/28/20
Description: AMAT 0021-78144 SHAFT PULLEY INPUT SHUTTLE, NEW, LOT 2
keykorea NEW - $350.00 0 Oct/28/13 Aug/28/20
Description: AMAT 0015-77191 PULLEY FOLLOWER INPUT SHUTTLE, NEW, LOT 2
visionsemi NEW - $200.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0040-77207 PAD CONDITIONER DISK CARRIER 2
visionsemi Used - $14,500.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT ENDURA 2 CBM ASSY ANNEAL/PRECLEAN 0010-26336
visionsemi NEW - $3,500.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
visionsemi NEW - $110.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT T-HANDLE ADAPTER TARGET 0270-20053 LOT OF 2
visionsemi NEW - $3,500.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0242-45497 KIT, DRPII SWLL HOOP - ENDURA 2
visionsemi NEW - $10.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 754-090359-015 SPRING (QUANTITY OF 2)
visionsemi NEW - $80.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 754-092082-002 GUIDE BEARING ASSY QTY 2
used1eqsales Used - $10,010.10 0 Oct/28/13 Nov/05/13
Description: AMAT Quantum Leap 2 Wheel Motor 9010-00458 Process Module working
yayais2012 NEW - $105.00 0 Oct/28/13 Nov/27/13
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
farmoninc NEW - $800.00 1 Oct/28/13 Feb/06/14
Description: 2 NEW AMAT 0010-11537 FCW Valve Assembly CENTURA 1.0 GPM Parker Valve
grandbirdnet NEW - $320.00 1 Oct/29/13 Feb/08/24
Description: AMAT 0090-77156 WAFER PRESENT SWITCH, BRUSH UNIT #1,2, NEW
yayais2012 NEW - $30.00 0 Oct/29/13 Nov/28/13
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
equipment_recycling NEW - $100.00 0 Oct/29/13 Nov/05/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
svcstore Scrap, for parts - $1,499.99 0 Oct/29/13 Nov/01/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/29/13 Nov/01/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Oct/29/13 Nov/01/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket NEW - $99.99 0 Oct/30/13 Nov/06/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
used1eqsales Used - $1,489.56 1 Oct/30/13 May/31/15
Description: AMAT Quantum Leap 2 Process Module Hollow Gripper 1080-00069 (missing screws)
used1eqsales Used - $1,579.56 0 Oct/30/13 Apr/09/15
Description: AMAT Quantum Leap 2 Process Module Direct Transfer Arm Hollow Gripper 1080-00069
used1eqsales Used - $3,510.13 1 Oct/30/13 Apr/07/14
Description: AMAT Quantum Leap 2 Process Module Transfer Arm 1080-90117 S32HMAA-HNNM-04
used1eqsales Used - $3,011.13 0 Oct/30/13 May/26/17
Description: AMAT Quantum Leap 2 Process Module Load Lock Door 9010-00299 300mm working
used1eqsales Used - $1,755.07 2 Oct/30/13 Apr/08/16
Description: AMAT Quantum Leap 2 Process Module Left Interface Transfer Arm 1080-00011 works
used1eqsales Used - $755.07 0 Oct/31/13 Dec/11/13
Description: AMAT Quantum Leap 2 Assembly 9090-00785 9090-00790 working
usedeqsales Used - $310.13 0 Oct/31/13 May/05/15
Description: VAT 26432-KH11-BJI1 Pneumatic Angle Valve AMAT 3870-05916 Lot of 2 Used Working
used1eqsales Used - $1,580.01 0 Oct/31/13 Apr/09/15
Description: AMAT Quantum Leap 2 Process Module Right Transfer Arm 1080-00011 9010-05331
used1eqsales Used - $2,457.79 0 Oct/31/13 Jan/23/14
Description: AMAT Quantum Leap 2 Wafer Indexer Elevator Carousel 9010-00219 0020-11597
yayais2012 NEW - $125.00 0 Nov/01/13 Dec/01/13
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
athomemarket Used - $194.99 0 Nov/01/13 Dec/01/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
used1eqsales Used - $505.57 0 Nov/01/13 Dec/11/13
Description: 0040-01275 AMAT Quantum Leap 2 Process Module Electrode working
used1eqsales Used - $1,011.13 0 Nov/01/13 Nov/05/13
Description: 0010-99120 AMAT Quantum Leap 2 Process Module Electrode Assembly working
used1eqsales Used - $505.57 1 Nov/01/13 Jul/22/14
Description: 0040-03818 AMAT Quantum Leap 2 Process Module Electrode working
used1eqsales Used - $6,011.14 1 Nov/01/13 Feb/18/15
Description: 1080-90184 AMAT Quantum Leap 2 Indexer Motor Bautz F1004F-BS101-00S0 working
used1eqsales Used - $3,011.13 0 Nov/01/13 Nov/13/14
Description: 1080-90184 AMAT Quantum Leap 2 Indexer Motor Bautz F1004F-BS101-00S0 (Dented)
svcstore Scrap, for parts - $1,499.99 0 Nov/01/13 Nov/04/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Nov/01/13 Nov/04/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Nov/01/13 Nov/04/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 Used - $110.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $350.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 NEW - $15.00 0 Nov/04/13 Dec/04/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
usedeqsales Scrap, for parts - $911.13 1 Nov/04/13 Jan/22/14
Description: Horiba STEC SEC-Z714AGX Digital Mass Flow AMAT 0190-33209 Lot of 2 As-Is
usedeqsales Scrap, for parts - $451.13 0 Nov/04/13 May/05/15
Description: Horiba STEC SEC-Z714AGX Digital Mass Flow AMAT 0190-33215 Lot of 2 As-Is
usedeqsales Scrap, for parts - $451.13 0 Nov/04/13 May/05/15
Description: Horiba STEC SEC-Z724AGX Digital Mass Flow AMAT 0190-33217 Lot of 2 As-Is
usedeqsales Scrap, for parts - $451.13 0 Nov/04/13 May/05/15
Description: Horiba STEC SEC-Z714AGX Digital Mass Flow AMAT 0190-33207 Lot of 2
usedeqsales Scrap, for parts - $451.13 0 Nov/04/13 May/05/15
Description: Horiba STEC SEC-Z714AGX Digital Mass Flow AMAT 0190-33211 Lot of 2 As-Is
usedeqsales Scrap, for parts - $451.13 0 Nov/04/13 May/05/15
Description: Horiba STEC SEC-Z714AGX Digital Mass Flow AMAT 0190-33213 Lot of 2
usedeqsales Scrap, for parts - $451.13 0 Nov/04/13 May/05/15
Description: Horiba STEC SEC-Z724AGX Digital Mass Flow AMAT 0190-34245 Lot of 2 As-Is
usedeqsales Scrap, for parts - $411.13 0 Nov/04/13 May/05/15
Description: Horiba STEC UR-7340M0-B-UC Auto Pressure Regulator AMAT 3800-00424 Lot of 2
svcstore Scrap, for parts - $1,499.99 0 Nov/04/13 Nov/07/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Nov/04/13 Nov/07/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Nov/04/13 Nov/07/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
equipment_recycling NEW - $80.00 0 Nov/05/13 Nov/12/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
athomemarket NEW - $53.99 0 Nov/05/13 Dec/05/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
usedeqsales Scrap, for parts - $451.13 0 Nov/06/13 May/05/15
Description: AERA 5Ra FC-D980C Digital Mass Flow CA-98D9-Z AMAT 3030-07363 Lot of 2 As-Is
usedeqsales Scrap, for parts - $451.13 0 Nov/07/13 May/05/15
Description: Unit UFC-8100 Elastomeric Seal Mass Flow Controller AMAT 3030-07111 Lot of 2
usedeqsales Scrap, for parts - $451.13 0 Nov/07/13 May/05/15
Description: Unit UFC-8100 Elastomeric Seal Mass Flow Controller AMAT 3030-07110 Lot of 2
usedeqsales Scrap, for parts - $451.13 0 Nov/07/13 May/05/15
Description: Celerity Unit UFC-1660 Metal Seal Mass Flow Controller AMAT 3030-12332 Lot of 2
athomemarket NEW - $90.99 0 Nov/07/13 Nov/14/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
usedeqsales Scrap, for parts - $164.45 1 Nov/07/13 Aug/22/14
Description: Celerity Unit UFC-8161C Digital UltraClean Metal Seal AMAT 0190-16117 Lot of 2
svcstore Scrap, for parts - $1,499.99 0 Nov/07/13 Nov/10/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Nov/07/13 Nov/10/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,499.99 0 Nov/07/13 Nov/10/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
usedeqsales Scrap, for parts - $451.13 0 Nov/08/13 May/05/15
Description: Horiba STEC LF-A40M-A-EVD Liquid Mass Flow Meter AMAT 3030-11068 Lot of 2 As-Is
yayais2012 NEW - $95.00 0 Nov/08/13 Dec/08/13
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
usedeqsales Scrap, for parts - $451.13 0 Nov/08/13 May/05/15
Description: Celerity Unit IFC-125C Mass Flow Controller AMAT 0190-28964 Lot of 2 As-Is
usedeqsales Scrap, for parts - $451.13 0 Nov/08/13 May/05/15
Description: Horiba STEC LF-410A-EVD Liquid Mass Flow Meter AMAT 3030-09969 Lot of 2 As-Is
usedeqsales Scrap, for parts - $451.13 0 Nov/08/13 May/05/15
Description: Horiba STEC SEC-Z13DMV Mass Flow Controller AMAT 0190-25045 Lot of 2 Untested
usedeqsales Scrap, for parts - $451.13 0 Nov/08/13 May/05/15
Description: Aera 5Ra FC-D980C Digital Mass Flow CA-98D9-Z AMAT 3030-07346 Lot of 2 As-Is
athomemarket NEW - $99.99 0 Nov/14/13 Dec/14/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
svcstore Scrap, for parts - $1,386.99 0 Nov/11/13 Nov/14/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Nov/11/13 Nov/14/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Nov/11/13 Nov/14/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
supertechshop NEW - $249.00 0 Nov/14/13 Dec/14/13
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
systasemi Used - $5,000.00 0 Nov/14/13 Dec/14/13
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
svcstore Scrap, for parts - $1,386.99 0 Nov/14/13 Nov/17/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Nov/14/13 Nov/17/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Nov/14/13 Nov/17/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket NEW - $90.99 0 Nov/15/13 Dec/15/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
yayais2012 NEW - $35.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $38.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0242-46012 CD Epi 300 ACP Blk 2 (2CH) MANUALS
athomemarket NEW - $119.99 0 Nov/22/13 Dec/22/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
svcstore Scrap, for parts - $1,386.99 0 Nov/20/13 Nov/23/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Nov/20/13 Nov/23/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Nov/20/13 Nov/23/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket Used - $114.99 0 Nov/22/13 Dec/22/13
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
svcstore Scrap, for parts - $1,386.99 0 Nov/23/13 Nov/26/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Nov/23/13 Nov/26/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Nov/23/13 Nov/26/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
dr.fantom Used - $50.00 1 Nov/25/13 Mar/03/14
Description: lot of 2 BANNER MD14BB6 Photoelectric Sensor Applied Materials 0090-02138
yayais2012 NEW - $27.00 0 Nov/25/13 Dec/25/13
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $65.00 0 Nov/25/13 Dec/25/13
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 Used - $110.00 0 Nov/25/13 Dec/25/13
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
trees_for_a_better_tomorrow Used - $100.00 0 Nov/25/13 Dec/02/13
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $150.00 0 Nov/25/13 Dec/02/13
Description: *2* AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
trees_for_a_better_tomorrow NEW - $195.00 0 Nov/25/13 Dec/02/13
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
svcstore Scrap, for parts - $1,386.99 0 Nov/26/13 Nov/29/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Nov/26/13 Nov/29/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Nov/26/13 Nov/29/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $105.00 0 Nov/27/13 Dec/27/13
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
yayais2012 NEW - $30.00 0 Nov/28/13 Dec/28/13
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
svcstore Scrap, for parts - $1,386.99 0 Nov/29/13 Dec/02/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Nov/29/13 Dec/02/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Nov/30/13 Dec/03/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
equipment_recycling NEW - $80.00 0 Dec/01/13 Dec/08/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
hot!cardz NEW - $6,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
athomemarket Used - $194.99 0 Dec/01/13 Dec/31/13
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
yayais2012 NEW - $125.00 0 Dec/02/13 Jan/01/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
usedeqsales Refurbished - $1,012.13 0 Dec/02/13 May/04/15
Description: Celerity UFC-8561 Mass Flow Controller AMAT 3030-14794 2 L He Refurbished
trees_for_a_better_tomorrow NEW - $150.00 0 Dec/02/13 Dec/09/13
Description: *2* AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
trees_for_a_better_tomorrow Used - $100.00 0 Dec/02/13 Dec/09/13
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $195.00 0 Dec/02/13 Dec/09/13
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
keykorea Used - $220.00 2 Dec/02/13 Jun/12/16
Description: AMAT 0090-77156 WAFER PRESENT SWITCH, BRUSH UNIT #1,2, WORKING
svcstore Scrap, for parts - $1,386.99 0 Dec/02/13 Dec/05/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/02/13 Dec/05/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
tdindustrial NEW - $53.70 0 Dec/03/13 Oct/05/18
Description: AMAT Pipe Nipples 3300-00841 (lot of 2) FTG Hex 1-1/4NPT, 2.41" LG SST, New
svcstore Scrap, for parts - $1,386.99 0 Dec/03/13 Dec/06/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 Used - $110.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $350.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 NEW - $15.00 0 Dec/04/13 Jan/03/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket NEW - $53.99 0 Dec/05/13 Jan/04/14
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
svcstore Scrap, for parts - $1,386.99 0 Dec/05/13 Dec/08/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/05/13 Dec/08/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
keykorea Used - $135.00 0 Dec/06/13 Feb/02/17
Description: AMAT 0140-77003 HARNESS, PAD 2, MANIF, WORKING
keykorea Used - $100.00 0 Dec/06/13 Apr/11/18
Description: AMAT 0140-01879 CABLE, SENSOR-CONTROL, BLKHD 2, SCRUBBER, WORKING
svcstore Scrap, for parts - $1,386.99 0 Dec/06/13 Dec/09/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
keykorea Used - $250.00 2 Dec/08/13 Sep/19/18
Description: AMAT 0140-77053 PLATEN 2 & 3, MP8-DVR 7& 8, WORKING
keykorea Used - $110.00 0 Dec/08/13 May/01/18
Description: AMAT 0140-04901 HARNESS PAD 2, POLISHER BKHD COND & SWP, WORKING
keykorea Used - $275.00 1 Dec/09/13 Feb/25/21
Description: AMAT 0150-05362 CABLE ASSY, 2 FLAT PANELS POWER INTERCON, WORKING
yayais2012 NEW - $95.00 0 Dec/09/13 Jan/08/14
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
keykorea Used - $200.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78539 CABLE BRUSH & ROLLER MOTORS PWR SCRUB 2, WORKING
keykorea Used - $200.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78540 CABLE MOTORS ENCODER SCRUB 2, WORKING
keykorea Used - $200.00 0 Dec/09/13 Apr/11/18
Description: AMAT 0140-78023 HARNESS, MOTOR ENCODER, BRUSH 2, WORKING
bobsgoodies NEW - $125.00 0 Dec/10/13 Jun/17/14
Description: AMAT 3870-02290 VALVE, SOL 1/4NPT 2 WAY 115VAC CKD Corp. Japan AB31-2N-2
svcstore Scrap, for parts - $1,386.99 0 Dec/10/13 Dec/13/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/10/13 Dec/13/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/10/13 Dec/13/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
keykorea Used - $110.00 0 Dec/11/13 Apr/11/18
Description: AMAT 0140-78044 CABLE, SENSOR-CONTROL, BLKHD 2, SCRUBBER, WORKING
equipment_recycling NEW - $80.00 0 Dec/12/13 Dec/19/13
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
capitolareatech NEW - $107.37 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-75247 Ring 2 Dual Robot
hot!cardz NEW - $14,000.00 0 Dec/12/13 Dec/22/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
farmoninc Refurbished - $9,500.00 0 Dec/12/13 Sep/20/14
Description: AMAT 9090-01194 chassis w/ 2 Leybold Mag drive 2000 turbo pump controllers
svcstore Scrap, for parts - $1,386.99 0 Dec/13/13 Dec/16/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/13/13 Dec/16/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/13/13 Dec/16/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
semipart NEW - $300.00 0 Dec/14/13 Jan/13/14
Description: Applied Materials 0190-35438 Switch, Flow 3/8" Proteus 9100B24P16, 2 available
athomemarket NEW - $106.99 0 Dec/14/13 Jan/13/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $97.99 0 Dec/15/13 Jan/14/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
systasemi Used - $5,000.00 0 Dec/16/13 Jan/15/14
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
farmoninc NEW - $600.00 0 Dec/16/13 Mar/25/19
Description: 2 NEW AMAT 0242-23671 KIT, DPS-11 Foreline, Heated
svcstore Scrap, for parts - $1,386.99 0 Dec/16/13 Dec/19/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/16/13 Dec/19/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/16/13 Dec/19/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket NEW - $99.99 0 Dec/20/13 Jan/19/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket Used - $75.99 0 Dec/20/13 Jan/19/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
yayais2012 Used - $110.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $180.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $110.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
supertechshop Used - $499.00 0 Dec/19/13 Jan/18/14
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Dec/19/13 Jan/18/14
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
yayais2012 NEW - $75.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
svcstore Scrap, for parts - $1,386.99 0 Dec/19/13 Dec/22/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/19/13 Dec/22/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/19/13 Dec/22/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
supertechshop NEW - $249.00 0 Dec/17/13 Jan/16/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
yayais2012 Used - $300.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $35.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $350.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $38.00 1 Dec/21/13 Dec/24/13
Description: APPLIED MATERIALS 0242-46012 CD Epi 300 ACP Blk 2 (2CH) MANUALS
athomemarket NEW - $121.99 0 Dec/22/13 Jan/21/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket Used - $116.99 0 Dec/22/13 Jan/21/14
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
svcstore Scrap, for parts - $1,386.99 0 Dec/22/13 Dec/25/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/22/13 Dec/25/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $65.00 0 Dec/25/13 Jan/24/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 Used - $110.00 0 Dec/25/13 Jan/24/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $27.00 0 Dec/25/13 Jan/24/14
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
usedeqsales Used - $312.13 0 Dec/26/13 Feb/20/14
Description: TRU RG-217U RF Cable 50 Foot AMAT 0620-00517 Lot of 2 Used Working
equipment_recycling NEW - $100.00 0 Dec/26/13 Jan/02/14
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
svcstore Scrap, for parts - $1,386.99 0 Dec/26/13 Dec/29/13
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/25/13 Dec/28/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/25/13 Dec/28/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
semipart NEW - $450.00 0 Dec/27/13 Jan/26/14
Description: A SET OF 2 BEARING AMAT 0190-00958 // BRG,4PT CONT,8.625OD X 8ID X .3125W W
yayais2012 NEW - $105.00 0 Dec/28/13 Jan/27/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
yayais2012 NEW - $30.00 0 Dec/28/13 Jan/27/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
svcstore Scrap, for parts - $1,386.99 0 Dec/28/13 Dec/31/13
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/28/13 Dec/31/13
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
farmoninc Refurbished - $1,950.00 1 Dec/29/13 Feb/25/16
Description: 2 AMAT 0010-76175 throttle valve, dual spring, cluster, TEOS, rebuilt
svcstore Scrap, for parts - $1,386.99 0 Dec/29/13 Jan/01/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $95.00 0 Dec/30/13 Jan/29/14
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
pohyh Used - $1,500.00 2 Dec/30/13 Apr/14/16
Description: 968 (2) ECI TECHNOLOGY 2.5 ML ES GLASS SYRINGE LU70250 NOVELLUS 27-260179-00
pohyh Used - $3,500.00 0 Dec/30/13 Jun/11/14
Description: 1080 (2) AMAT 0242-27091 KIT, THROTTLING GATE VALVE, 200MM, W CONTROLLER AND CAB
pohyh Used - $800.00 4 Dec/30/13 Mar/15/15
Description: 1261 (2) AMAT 0020-21699 REV 003
visionsemi NEW - $200.00 2 Dec/30/13 Feb/29/16
Description: APPLIED MATERIALS AMAT 0040-77207 PAD CONDITIONER DISK CARRIER 2
visionsemi NEW - $3,500.00 0 Dec/30/13 Jun/17/16
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
trees_for_a_better_tomorrow Used - $100.00 0 Jan/02/14 Jan/09/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/02/14 Jan/09/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
visionsemi NEW - $2,750.00 0 Jan/02/14 Apr/09/14
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
yayais2012 NEW - $125.00 0 Jan/01/14 Jan/31/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
visionsemi NEW - $5.00 0 Jan/02/14 Jun/20/16
Description: LAM RESEARCH 754-090359-015 SPRING (QUANTITY OF 2)
svcstore Scrap, for parts - $1,386.99 0 Jan/01/14 Jan/04/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/01/14 Jan/04/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Dec/31/13 Jan/03/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
pohyh Used - $2,500.00 1 Jan/03/14 Jan/20/14
Description: 1344 TRUST C-2030 2 AXIS INDEXER CONTROLLER C-2030-D01 NOVELLUS 02-397379-00 REV
yayais2012 NEW - $15.00 0 Jan/03/14 Feb/02/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $110.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $350.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
farmoninc NEW - $60.00 1 Jan/03/14 Sep/16/14
Description: 2 NEW AMAT 3060-01464 BRG BALL 1.0625 BORE, 150 O.D. .281W
visionsemi NEW - $3,500.00 1 Jan/03/14 Apr/08/14
Description: APPLIED MATERIALS AMAT 0242-45497 KIT, DRPII SWLL HOOP - ENDURA 2
svcstore Scrap, for parts - $1,386.99 0 Jan/03/14 Jan/06/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
farmoninc NEW - $300.00 1 Jan/04/14 Jun/08/18
Description: 2 AMAT 1040-01206 Meter Flow WTF 90-1000CC/Min 1/4Flare W/VLV PNL MT
athomemarket NEW - $54.99 0 Jan/04/14 Feb/03/14
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
svcstore Scrap, for parts - $1,386.99 0 Jan/04/14 Jan/07/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/04/14 Jan/07/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
used1eqsales Used - $2,240.80 1 Jan/06/14 Jan/14/14
Description: AMAT Applied Materials Quantum Leap 2 Indexer Carousel (disassembled) 0010-98300
used1eqsales Used - $1,001.14 0 Jan/06/14 Jan/23/14
Description: AMAT Applied Materials Quantum Leap 2 Heat Sink Sensor 0020-87848 0020-16818
hot!cardz NEW - $18,000.00 0 Jan/07/14 Jan/17/14
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Jan/07/14 Jan/17/14
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
yayais2012 NEW - $95.00 0 Jan/08/14 Feb/07/14
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
athomemarket NEW - $106.99 0 Jan/13/14 Feb/12/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/11/14 Jan/18/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $100.00 0 Jan/11/14 Jan/18/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
keykorea Used - $70.00 0 Jan/13/14 Apr/11/18
Description: AMAT 0140-78040 CABLE, SENSOR-CONTROL, BLKHD 2, SRD, WORKING
svcstore Scrap, for parts - $1,386.99 0 Jan/11/14 Jan/14/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/11/14 Jan/14/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/11/14 Jan/14/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
keykorea Used - $190.00 0 Jan/13/14 Apr/11/18
Description: AMAT 0140-78535 CABLE IM SENSOR-CNTRL, BLKHD 2 SYSTEM, WORKING
keykorea Used - $150.00 0 Jan/14/14 Apr/11/18
Description: AMAT 0140-01947 CABLE BRUSH POS MOTORS POWER SCRUBBER 2, WORKING
semipart NEW - $300.00 0 Jan/14/14 Feb/13/14
Description: Applied Materials 0190-35438 Switch, Flow 3/8" Proteus 9100B24P16, 2 available
usedeqsales Used - $401.14 6 Jan/14/14 Jun/08/14
Description: Yaskawa SGDH-08AE-SY905 Servopack Servo Drive AMAT 0190-08005 Rev. 2 Used
svcstore Scrap, for parts - $1,386.99 0 Jan/14/14 Jan/17/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/14/14 Jan/17/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/14/14 Jan/17/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
keykorea Used - $3,800.00 0 Jan/15/14 Mar/12/18
Description: AMAT 0190-10735 ASSY,LOWER ELECTRONIC BOX,BRUSH 2,2 CHEM, WORKING
yayais2012 NEW - $75.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
svcstore Scrap, for parts - $1,386.99 0 Jan/17/14 Jan/20/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/17/14 Jan/20/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/17/14 Jan/20/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
systasemi Used - $5,000.00 0 Jan/15/14 Feb/14/14
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
farmoninc NEW - $127.50 0 Jan/18/14 May/28/20
Description: 2 AMAT 3870-05201 Ham-Let H-700-SS-L-1/2-T-LD Ball Valve
equipment_recycling NEW - $80.00 0 Jan/19/14 Jan/26/14
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
athomemarket Used - $75.99 0 Jan/19/14 Feb/18/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $99.99 0 Jan/19/14 Feb/18/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/19/14 Jan/26/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $100.00 0 Jan/19/14 Jan/26/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
supertechshop Used - $499.00 0 Jan/20/14 Feb/19/14
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Jan/20/14 Feb/19/14
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
svcstore Scrap, for parts - $1,386.99 0 Jan/20/14 Jan/23/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
supertechshop NEW - $249.00 0 Jan/20/14 Feb/19/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcstore Scrap, for parts - $1,386.99 0 Jan/20/14 Jan/23/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/20/14 Jan/23/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket NEW - $121.99 0 Jan/21/14 Feb/20/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
farmoninc NEW - $375.00 1 Jan/21/14 Jan/22/21
Description: 2 NEW AMAT 3870-00685 Veriflo Division valve 45800348
athomemarket Used - $116.99 0 Jan/21/14 Feb/20/14
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
keykorea NEW - $280.00 0 Jan/22/14 Jan/11/17
Description: AMAT 0021-79492 CKET 2 DI FLOW VALVE DDF3, NEW
yayais2012 Used - $300.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $110.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $110.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $350.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $35.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 Used - $180.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
capitolareatech NEW - $198.65 0 Jan/22/14 Sep/19/14
Description: AMAT 3800-01102 95930W2PFSMM 43800906, Pressure Regulator; AIR-0-100PSI 2 PORT 1
usedeqsales Used - $301.14 1 Jan/23/14 Jan/27/14
Description: IRCON MRT39999C Infrared Thermometer AMAT 0090-03991 Lot of 2 Working
svcstore Scrap, for parts - $1,386.99 0 Jan/23/14 Jan/26/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/23/14 Jan/26/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/23/14 Jan/26/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 Used - $90.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $27.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $65.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
equipment_recycling NEW - $80.00 0 Jan/26/14 Feb/02/14
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
svcstore Scrap, for parts - $1,386.99 0 Jan/26/14 Jan/29/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/26/14 Jan/29/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/26/14 Jan/29/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $105.00 0 Jan/27/14 Feb/26/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
used1eqsales Used - $1,501.14 1 Jan/27/14 Dec/20/16
Description: AMAT 0010-17798 Rev. 2 Endura Heater 300mm Degas M11-415-0810 working
yayais2012 NEW - $30.00 0 Jan/28/14 Feb/27/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $95.00 0 Jan/29/14 Feb/28/14
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
bobsgoodies Used - $90.00 0 Jan/29/14 May/01/14
Description: Applied Materials 0150-76156 Cable Assy Insertion Flow Sensor (Lot of 2)
svcstore Scrap, for parts - $1,386.99 0 Jan/29/14 Feb/01/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/29/14 Feb/01/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Jan/29/14 Feb/01/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket Used - $196.99 0 Jan/30/14 Mar/01/14
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
farmoninc Used - $1,120.00 1 Jan/31/14 May/09/19
Description: 2 AMAT 0040-77641 Mounting Bracket, Stage One, Shaft Feedthrough
svcstore Scrap, for parts - $1,386.99 0 Feb/01/14 Feb/04/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/01/14 Feb/04/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/01/14 Feb/04/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $125.00 0 Feb/02/14 Mar/04/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $350.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 NEW - $15.00 0 Feb/03/14 Mar/05/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $110.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
athomemarket NEW - $54.99 0 Feb/03/14 Mar/05/14
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
trees_for_a_better_tomorrow Used - $100.00 0 Feb/03/14 Feb/10/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $195.00 0 Feb/03/14 Feb/10/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
equipment_recycling NEW - $80.00 0 Feb/04/14 Feb/11/14
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
comwaysind Used - $4,987.00 0 Feb/05/14 Dec/27/15
Description: New 0190-32946 Rev 01 ISAC CP I/O Block 2 Top Applied Materials
usedeqsales NEW - $310.10 5 Feb/05/14 Feb/05/14
Description: Furon 1110712 Manifold DIN Mount CMF AMAT 0190-14386 Lot of 2 New
farmoninc NEW - $250.00 1 Feb/05/14 Apr/12/16
Description: 4 AMAT 3870-02501 Valve Ball 2 Way Pneumatic
bobsgoodies NEW - $47.00 0 Feb/05/14 Jun/17/14
Description: AMAT 0090-20036 Vexta PX245M-02AA, 2 Phase Stepping Motor Oriental Motors
svcstore Scrap, for parts - $1,386.99 0 Feb/05/14 Feb/08/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/05/14 Feb/08/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/05/14 Feb/08/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
prism_electronics5 Used - $79.99 1 Feb/06/14 May/01/14
Description: Lot of 2 Crydom Dual Solid State Relay D2440D Novellus 34-103698-00
conquer_2011 NEW - $950.00 0 Feb/07/14 Feb/14/14
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
yayais2012 NEW - $95.00 0 Feb/08/14 Mar/10/14
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
farmoninc Used - $490.00 1 Feb/08/14 Jun/17/14
Description: 2 AMAT 3930-01042 Leybold Turbo Pump Tem Box 85920
svcstore Scrap, for parts - $1,386.99 0 Feb/08/14 Feb/11/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/08/14 Feb/11/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/08/14 Feb/11/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
pohyh Used - $300.00 0 Feb/10/14 Oct/08/14
Description: 1550 (2) NOVELLUS PART NO 27-278337-03 TEVITRONIX CONTROLLER LC24
svcstore Scrap, for parts - $1,386.99 0 Feb/11/14 Feb/14/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/11/14 Feb/14/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/11/14 Feb/14/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
pohyh Used - $500.00 0 Feb/12/14 Aug/07/17
Description: 1647 (2) AMAT 0010-75362
equipment_recycling NEW - $80.00 0 Feb/12/14 Feb/19/14
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
athomemarket NEW - $106.99 0 Feb/12/14 Mar/14/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
semipart NEW - $250.00 0 Feb/13/14 Mar/15/14
Description: Applied Materials 0190-35438 Switch, Flow 3/8" Proteus 9100B24P16, 2 available
ytyc2014 Scrap, for parts - $1,400.00 1 Feb/13/14 May/05/14
Description: Lot of 2 AMAT Toyota Industries IPUP T100L Dry Pump V3.4 0190-28788
yayais2012 NEW - $75.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
athomemarket Used - $75.99 0 Feb/18/14 Mar/20/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $99.99 0 Feb/18/14 Mar/20/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $121.99 0 Feb/20/14 Mar/22/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
farmoninc Used - $600.00 0 Feb/20/14 Nov/05/14
Description: 2 AMAT 0040-13240 Outrigger, Outer Mainframe, AMAT 0040-13239
usedeqsales Used - $452.14 5 Feb/20/14 May/30/14
Description: TRU RG-217U RF Cable 32m AMAT 0620-00516 Lot of 2 Used Working
svcstore Scrap, for parts - $1,386.99 0 Feb/17/14 Feb/20/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/17/14 Feb/20/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/17/14 Feb/20/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket Used - $116.99 0 Feb/20/14 Mar/22/14
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
systasemi Used - $5,000.00 0 Feb/17/14 Mar/19/14
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
supertechshop Used - $499.00 0 Feb/20/14 Mar/22/14
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Feb/20/14 Mar/22/14
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $249.00 0 Feb/20/14 Mar/22/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcstore Scrap, for parts - $1,386.99 0 Feb/20/14 Feb/23/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/20/14 Feb/23/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/20/14 Feb/23/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
farmoninc Used - $650.00 1 Feb/21/14 Jan/27/16
Description: 2 AMAT 0680-00268 CB MAG THERM 3P 600VAC 35A 25KAIC W/ RNG
farmoninc Used - $780.00 1 Feb/21/14 Nov/11/14
Description: 2 AMAT 0190-13041 MKS Slow Rough Valve
yayais2012 Used - $180.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $110.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $350.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $300.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $110.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $35.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $27.00 0 Feb/23/14 Mar/25/14
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 Used - $90.00 0 Feb/23/14 Mar/25/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $65.00 0 Feb/23/14 Mar/25/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
svcstore Scrap, for parts - $1,386.99 0 Feb/23/14 Feb/26/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/23/14 Feb/26/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/23/14 Feb/26/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
sduker Used - $50.00 1 Feb/24/14 Feb/26/14
Description: 2 Applied Materials VME Card Extender test adaptor 0660-01039 Schroff 23021653
svcstore Scrap, for parts - $1,386.99 0 Feb/26/14 Mar/01/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/26/14 Mar/01/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Feb/26/14 Mar/01/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $30.00 0 Feb/27/14 Mar/29/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $105.00 0 Feb/27/14 Mar/29/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
equipment_recycling NEW - $100.00 0 Feb/28/14 Mar/30/14
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
farmoninc NEW - $750.00 0 Mar/12/14 Aug/02/17
Description: 2 NEW AMAT 0010-10640 MXP SIM CATH, Bellows Assy
farmoninc NEW - $400.00 0 Mar/12/14 May/30/16
Description: 2 AMAT 1270-90154 Flowswitch Freon Free
farmoninc NEW - $200.00 0 Mar/11/14 Jun/02/15
Description: 2 NEW AMAT 1400-01028 Levelite, Sensor Probe Liquid Level
svcstore Scrap, for parts - $1,386.99 0 Mar/10/14 Mar/13/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/10/14 Mar/13/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/10/14 Mar/13/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
prism_electronics5 Used - $39.99 0 Mar/05/14 Jun/20/22
Description: Lam Research 853-034136-002 Rev 2 55B4P3 Cable
prism_electronics5 Used - $39.19 0 Mar/05/14 Jun/20/22
Description: Lam Research 853-430016-002 Cable 2
prism_electronics7 Used - $320.00 1 Mar/10/14 Nov/25/19
Description: Novellus SIOC Controller Assy PVD-MOD SIOC 1 02-128733-00 03-12785-00 rev. 2
svcstore Scrap, for parts - $1,386.99 0 Mar/13/14 Mar/16/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/13/14 Mar/16/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/13/14 Mar/16/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
prism_electronics7 NEW - $215.93 0 Mar/14/14 Dec/04/15
Description: Lam Research 715-802381-104-B 202-016976-001 Rev. E Class 2
convertechs3 Used - $1,499.99 0 Mar/14/14 Mar/21/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
athomemarket NEW - $106.99 0 Mar/15/14 Apr/14/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
semipart NEW - $70.00 0 Mar/15/14 Apr/02/18
Description: Applied Materials 0190-35438 Switch, Flow 3/8" Proteus 9100B24P16, 2 available
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/16/14 Mar/23/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $100.00 0 Mar/16/14 Mar/23/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
athomemarket NEW - $97.99 0 Mar/16/14 Apr/15/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
conquer_2011 NEW - $950.00 0 Mar/16/14 Apr/15/14
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore Scrap, for parts - $1,386.99 0 Mar/16/14 Mar/19/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/16/14 Mar/19/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/16/14 Mar/19/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket NEW - $99.99 0 Mar/21/14 Apr/20/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket Used - $75.99 0 Mar/21/14 Apr/20/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
yayais2012 NEW - $75.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
svcstore Scrap, for parts - $1,386.99 0 Mar/19/14 Mar/22/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/19/14 Mar/22/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/19/14 Mar/22/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
systasemi Used - $5,000.00 0 Mar/19/14 Apr/18/14
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
convertechs3 Used - $1,399.99 0 Mar/21/14 Mar/28/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
fablogic Used - $1,150.00 1 Mar/21/14 Mar/25/14
Description: Applied Materials DXZ Match Adapter 1110-01015 (Used; Lot of 2)
fablogic Used - $850.00 0 Mar/21/14 Aug/18/14
Description: Applied Materials RPS Chamber liners 0020-34315 ( Lot of 2; Used)
semipart NEW - $1,800.00 2 Mar/22/14 May/22/14
Description: AMAT APPLIED MATERIALS 0010-20133 BLADE ASSY 8" Transfer, New 2 available
farmoninc NEW - $490.00 1 Mar/22/14 Oct/05/15
Description: 2 AMAT 1270-01701 Pressure Vacuum Gauge Precision Sensors E36W-H42, 550 TORR,
svcstore Scrap, for parts - $1,386.99 0 Mar/22/14 Mar/25/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/22/14 Mar/25/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/22/14 Mar/25/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket NEW - $121.99 0 Mar/23/14 Apr/22/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket Used - $116.99 0 Mar/23/14 Apr/22/14
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
trees_for_a_better_tomorrow Used - $100.00 0 Mar/23/14 Mar/30/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/23/14 Mar/30/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
dr.fantom Used - $50.00 0 Mar/24/14 Feb/12/15
Description: lot of 2 BANNER MD14BB6 Photoelectric Sensor Applied Materials 0090-02138
farmoninc NEW - $90.00 0 Mar/24/14 May/01/17
Description: 9 AMAT 0090-01567 Assy Shorting Plug 2 Pos
yayais2012 NEW - $35.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 Used - $110.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $180.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $300.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $110.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $350.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
svcstore Scrap, for parts - $1,386.99 0 Mar/25/14 Mar/28/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/25/14 Mar/28/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/25/14 Mar/28/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
supertechshop NEW - $249.00 0 Mar/26/14 Apr/25/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
supertechshop Used - $499.00 0 Mar/26/14 Apr/25/14
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Mar/26/14 Apr/25/14
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
yayais2012 NEW - $27.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $65.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 Used - $90.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
systasemi NEW - $15,500.00 1 Mar/27/14 Jan/07/24
Description: NOVELLUS 02-307230-00 ASM, SESIOC ALTUS 2, IPC, ALTUS SIOC2 W/WN
svcstore Scrap, for parts - $1,386.99 0 Mar/28/14 Mar/31/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
convertechs3 Used - $1,249.99 0 Mar/28/14 Apr/04/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
svcstore Scrap, for parts - $1,386.99 0 Mar/28/14 Mar/31/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/28/14 Mar/31/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/30/14 Apr/06/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $100.00 0 Mar/30/14 Apr/06/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
equipment_recycling NEW - $100.00 0 Mar/31/14 Apr/30/14
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
yayais2012 NEW - $30.00 0 Mar/31/14 Apr/30/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $105.00 0 Mar/31/14 Apr/30/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
svcstore Scrap, for parts - $1,386.99 0 Mar/31/14 Apr/03/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/31/14 Apr/03/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Mar/31/14 Apr/03/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
testeqe NEW - $399.99 0 Apr/01/14 Jun/19/16
Description: NEW AMAT PN: 0195-00041 110C Zone 2 Heated Gas Line Top Level Assembly
athomemarket Used - $196.99 1 Apr/01/14 Apr/09/14
Description: 2 AMAT SACVD CVD Chamber Clamp & Switch 0150-09099
athomemarket NEW - $69.99 0 Apr/02/14 Apr/09/14
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
yayais2012 NEW - $95.00 0 Apr/03/14 May/03/14
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
bobsgoodies Used - $135.00 0 Apr/03/14 Jun/17/14
Description: AMAT 0050-10058 Bellows Valve PV-053 Manometer Isolation Valve (Lot of 2)
convertechs3 Used - $1,224.99 0 Apr/04/14 Apr/11/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
bobsgoodies NEW - $425.00 0 Apr/05/14 Jun/17/14
Description: AMAT 0090-35123 Motor/Brake/Encoder Assy Powermax M21NRXD-LLS-M1-02 (Lot of 2).
athomemarket NEW - $54.99 0 Apr/05/14 May/05/14
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
yayais2012 Used - $110.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $15.00 0 Apr/06/14 May/06/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 NEW - $125.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $350.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
bobsgoodies NEW - $287.00 2 Apr/07/14 Apr/25/14
Description: AMAT 5070-01030 Grssmooth Off Wht Opr-73.3 to +204 Deg 2 oz syringe Bracote 1613
svcstore Scrap, for parts - $1,386.99 0 Apr/07/14 Apr/10/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Apr/07/14 Apr/10/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Apr/07/14 Apr/10/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
grandbirdnet Used - $3,800.00 0 Apr/09/14 Apr/12/18
Description: AMAT 0190-10734 ASSY,LOWER ELECTRONIC BOX,BRUSH 1,2 CHEM, WORKING
keykorea Used - $3,000.00 0 Apr/09/14 Dec/18/16
Description: AMAT 0190-10085 ASSY, ELECTRONIC BOX,2 CHEM BRUSH 2 DIRE, WORKING
ciarasheltie NEW - $3.00 0 Apr/12/14 Apr/19/14
Description: APPLIED MATERIALS AMAT PLUNGER, PET-P FLYWHEEL 0021-19519 SEALED NEW LOT OF 2
svcstore Scrap, for parts - $1,386.99 0 Apr/10/14 Apr/13/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Apr/10/14 Apr/13/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Apr/10/14 Apr/13/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
convertechs3 Used - $1,224.99 0 Apr/11/14 Apr/18/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
svcstore Scrap, for parts - $1,386.99 0 Apr/13/14 Apr/16/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Apr/13/14 Apr/16/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket NEW - $106.99 0 Apr/14/14 May/14/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
svcstore Scrap, for parts - $1,386.99 0 Apr/14/14 Apr/17/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
conquer_2011 NEW - $855.00 0 Apr/15/14 May/15/14
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
athomemarket NEW - $97.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
conquer_2011 NEW - $292.49 0 Apr/16/14 May/16/14
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svcstore Scrap, for parts - $1,386.99 0 Apr/16/14 Apr/19/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Apr/16/14 Apr/19/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
trees_for_a_better_tomorrow NEW - $195.00 0 Apr/17/14 Apr/24/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $100.00 0 Apr/17/14 Apr/24/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
svcstore Scrap, for parts - $1,386.99 0 Apr/17/14 Apr/20/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
usedeqsales NEW - $81.66 0 Apr/18/14 Apr/25/14
Description: AMAT Applied Materials 0690-03261 8" V Band Clamp Lot of 2 New
convertechs3 Used - $1,199.99 0 Apr/19/14 May/19/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
svcstore Scrap, for parts - $1,386.99 0 Apr/19/14 Apr/22/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Apr/19/14 Apr/22/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
wedone NEW - $45.00 0 Apr/20/14 May/20/14
Description: AMAT Solenoid Valve 3870-02290 1/4NPT 2 WAY 115VAC CKD Japan AB31-2N-2
athomemarket Used - $75.99 0 Apr/20/14 May/20/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $99.99 0 Apr/20/14 May/20/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
svcstore Scrap, for parts - $1,386.99 0 Apr/20/14 Apr/23/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
systasemi Used - $5,000.00 0 Apr/20/14 May/20/14
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
yayais2012 NEW - $75.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
ciarasheltie NEW - $3.00 0 Apr/21/14 Apr/28/14
Description: APPLIED MATERIALS AMAT PLUNGER, PET-P FLYWHEEL 0021-19519 SEALED NEW LOT OF 2
keykorea NEW - $230.00 1 Apr/21/14 Aug/22/19
Description: AMAT 0270-00740 PIN, ALIGN CATHODE ASSY, 300MM HDP-CVD, LOT 2, NEW
keykorea NEW - $450.00 0 Apr/21/14 Dec/12/15
Description: AMAT 0270-00741 SLEEVE, ALIGN CATHODE ASSY, 300MM HDP-CV, LOT 2, NEW
athomemarket NEW - $121.99 0 Apr/22/14 May/22/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket Used - $116.99 0 Apr/22/14 May/22/14
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
svcstore Scrap, for parts - $1,386.99 0 Apr/22/14 Apr/25/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Apr/23/14 Apr/26/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Apr/23/14 Apr/26/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
keykorea Used - $190.00 0 Apr/25/14 Jan/05/18
Description: AMAT 0140-77007 H/A PAD 2, DVRS-CONT BLKHD COND & SWP, E, USED
trees_for_a_better_tomorrow NEW - $195.00 0 Apr/26/14 May/03/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $100.00 0 Apr/26/14 May/03/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
yayais2012 Used - $90.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $180.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $65.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $350.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $110.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $300.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $35.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
svcstore Scrap, for parts - $1,386.99 0 Apr/26/14 Apr/29/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Apr/26/14 Apr/29/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Apr/25/14 Apr/28/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
farmoninc NEW - $450.00 0 Apr/28/14 May/07/18
Description: 2 AMAT 0021-79130 FINGER, SHORT, WALKING BEAM, 300MM 327428
supertechshop Used - $499.00 0 Apr/28/14 May/28/14
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Apr/28/14 May/28/14
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
bigg.logistics101 Used - $699.99 1 Apr/28/14 Feb/03/22
Description: LAM RESEARCH CONVERCTRON INTERFACE ASSY 853-017620-001 ( LOT 2 )
supertechshop NEW - $249.00 0 Apr/28/14 May/28/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
visionsemi NEW - $3,500.00 0 Apr/29/14 Mar/06/15
Description: APPLIED MATERIALS AMAT 0242-45497 KIT, DRPII SWLL HOOP - ENDURA 2
svcstore Scrap, for parts - $1,386.99 0 Apr/29/14 May/02/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Apr/29/14 May/02/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 Apr/29/14 May/02/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
pohyh Used - $500.00 1 Apr/29/14 Nov/20/15
Description: 2067 (2) AMAT 0010-25940 001
equipment_recycling NEW - $100.00 0 Apr/30/14 May/30/14
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
yayais2012 NEW - $30.00 0 Apr/30/14 May/30/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $105.00 0 Apr/30/14 May/30/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
ciarasheltie NEW - $3.00 0 May/01/14 May/08/14
Description: APPLIED MATERIALS AMAT PLUNGER, PET-P FLYWHEEL 0021-19519 SEALED NEW LOT OF 2
usedeqsales NEW - $305.14 0 May/01/14 May/04/15
Description: Parker Veriflo 45600444 Manual Regulator Valve AMAT 3800-00391 Lot of 2 New
usedeqsales NEW - $305.14 0 May/01/14 May/04/15
Description: Griffco BPT050KS19 Back Pressure Valve AMAT 0041-10234 Lot of 2 New
usedeqsales NEW - $355.14 3 May/01/14 Feb/18/15
Description: Parker Veriflo 43600895EU Manual Regulator Valve AMAT 3800-00389 Lot of 2 New
usedeqsales Used - $305.14 0 May/02/14 May/04/15
Description: UE Precision Sensors TS12T-58 Temperature Sensor AMAT 1400-00694 Lot of 2 Used
keykorea Used - $600.00 0 May/02/14 Apr/12/18
Description: AMAT 0090-77292 ASSY, CHEM 2 PRESSURE SWITCH, MEG LDM , USED
svcstore Scrap, for parts - $1,386.99 0 May/02/14 May/05/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 May/02/14 May/05/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 May/02/14 May/05/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $95.00 0 May/03/14 Jun/02/14
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
athomemarket NEW - $97.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
ytyc2014 Scrap, for parts - $1,400.00 0 May/18/14 Feb/04/15
Description: Lot of 2 AMAT Toyota Industries IPUP T100L Dry Pump V3.4 0190-28788
farmoninc NEW - $450.00 5 May/17/14 Dec/11/18
Description: NEW AMAT 0150-01412 Cable Assy, 2 Mhz Coaxial, 75 ft, Reacti
conquer_2011 NEW - $324.99 0 May/16/14 Jun/15/14
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
farmoninc NEW - $175.00 0 May/16/14 Dec/07/15
Description: 2 NEW SMC P5E510-R06 Pressure Sensor, 0-1MPA, AMAT 1350-01193
svcstore Scrap, for parts - $1,386.99 0 May/17/14 May/20/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 May/16/14 May/19/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 May/16/14 May/19/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
convertechs3 Used - $1,174.99 0 May/19/14 May/26/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
svcstore Scrap, for parts - $1,386.99 0 May/19/14 May/22/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 May/19/14 May/22/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket NEW - $99.99 0 May/20/14 Jun/19/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket Used - $75.99 0 May/20/14 Jun/19/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
svcstore Scrap, for parts - $1,386.99 0 May/20/14 May/23/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
bobsgoodies Used - $300.00 0 May/21/14 Jun/17/14
Description: AMAT 0090-20036 Vexta PX245M-02AA 2 Phase Stepping Motor Assy W/ Bracket
yayais2012 NEW - $75.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
athomemarket NEW - $121.99 0 May/22/14 Jun/21/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket Used - $116.99 0 May/22/14 Jun/21/14
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
systasemi Used - $5,000.00 0 May/22/14 Jun/21/14
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
svcstore Scrap, for parts - $1,386.99 0 May/23/14 May/26/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 May/23/14 May/26/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 May/24/14 May/27/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
convertechs3 Used - $1,199.99 0 May/26/14 Jun/25/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
svcstore Scrap, for parts - $1,386.99 0 May/26/14 May/29/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 May/26/14 May/29/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 Used - $300.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $65.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $35.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 Used - $110.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $110.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $90.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $27.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 Used - $180.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $350.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
xl-t_com NEW - $136.00 1 May/27/14 Sep/10/15
Description: APPLIED MATERIALS - 3300-02674 - FTG ELBOW NW25 X 2
xl-t_com NEW - $60.00 0 May/27/14 Aug/31/16
Description: APPLIED MATERIALS - 0040-41085 - FORELINE, VERTICAL PRODUCER 2
farmoninc NEW - $325.00 0 May/27/14 Jun/13/17
Description: 2 new AMAT 0040-13579 hose supply right, chilled FAC
svcstore Scrap, for parts - $1,386.99 0 May/27/14 May/30/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
dr.fantom NEW - $800.00 1 May/28/14 Aug/26/14
Description: SMG AMAT 0205-01830 Robot Blade Assembly 150MM SET (2 x 0010-29736 Blade 200MM)
farmoninc NEW - $175.00 0 May/28/14 Jun/01/23
Description: AMAT 0190-02558 Heater Jacket, Dilutent Element, TXZ, Channel 2
farmoninc NEW - $675.00 0 May/28/14 May/07/18
Description: AMAT 0140-77292 MULT HD ROTATION 2 POWER CONTROLLER
keykorea Used - $4,500.00 0 May/29/14 Apr/11/18
Description: AMAT 0010-33478 ROLLER 2 ASSY, DESICA , USED
svcstore Scrap, for parts - $1,386.99 0 May/29/14 Jun/01/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $1,386.99 0 May/29/14 Jun/01/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
grandbirdnet Used - $150.00 0 May/30/14 Jun/01/23
Description: AMAT 3870-01230 3/8" 2 WAY BALL VALVE , USED
equipment_recycling NEW - $100.00 0 May/30/14 Jun/29/14
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
svcstore Scrap, for parts - $1,386.99 0 May/30/14 Jun/02/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $30.00 0 May/30/14 Jun/29/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $105.00 0 May/30/14 Jun/29/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
svcstore Scrap, for parts - $999.99 0 Jul/29/14 Aug/01/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
equipment_recycling NEW - $100.00 0 Jul/29/14 Aug/28/14
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
svcstore Scrap, for parts - $999.99 0 Jul/29/14 Aug/01/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Jul/29/14 Aug/01/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
capitolareatech NEW - $8.79 0 Jul/22/14 Sep/20/14
Description: LAM Research (LAM) 713-013486-001 Plate, 2 3/4" X 1 1/8"
pohyh Used - $200.00 0 Jul/11/14 Oct/09/14
Description: 2542 (2) LAM RESEARCH 853-540253-006 REV C
svcstore Scrap, for parts - $799.99 0 Jul/28/14 Jul/31/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
convertechs3 Used - $999.99 0 Jul/24/14 Aug/23/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
systasemi Used - $5,000.00 0 Jul/23/14 Aug/22/14
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
svcstore Scrap, for parts - $799.99 0 Jul/31/14 Aug/03/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 NEW - $30.00 0 Aug/01/14 Aug/31/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $105.00 0 Aug/01/14 Aug/31/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
svcstore Scrap, for parts - $999.99 0 Aug/01/14 Aug/04/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/01/14 Aug/04/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/01/14 Aug/04/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
lbmopar Used - $3,175.00 0 Aug/03/14 Aug/10/14
Description: AMAT 0010-75533 0010-7552 centura hdp Robot Assy Driver lot 2 upper 1bottom
athomemarket NEW - $54.99 0 Aug/03/14 Sep/02/14
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
yayais2012 NEW - $95.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
farmoninc NEW - $100.00 0 Aug/04/14 Jun/01/23
Description: 2 AMAT 0680-01894 Circuit Board SPCL 2 Pole 20 Amp 240VAC C-Curve Ring-TE
svcstore Scrap, for parts - $999.99 0 Aug/04/14 Aug/07/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/04/14 Aug/07/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/04/14 Aug/07/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
farmoninc NEW - $75.00 0 Aug/05/14 Apr/24/22
Description: AMAT 0680-00034 Circuit Breaker, MAG Therm 2 Pole, 480VAC 7 Amp H-Curve 5KA
katiil3 Used - $550.00 1 Aug/05/14 Sep/23/14
Description: AMAT Applied Materials 0100-00396 Analog AI/O PCB Lot of 2
svcstore Scrap, for parts - $799.99 0 Aug/06/14 Aug/09/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $999.99 0 Aug/07/14 Aug/10/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/07/14 Aug/10/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/07/14 Aug/10/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
conquer_2011 NEW - $324.99 0 Aug/14/14 Sep/13/14
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
athomemarket NEW - $97.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
farmoninc NEW - $75.00 0 Aug/15/14 Jun/01/23
Description: 2 AMAT 0150-90347 25MM x 37MM x 7MM BRG W/Braycote 1613
farmoninc NEW - $150.00 0 Aug/15/14 Jun/01/23
Description: 2 AMAT 0020-05780 Lock Rod, Upper, Pump Door
svcstore Scrap, for parts - $999.99 0 Aug/14/14 Aug/17/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/14/14 Aug/17/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/14/14 Aug/17/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Aug/12/14 Aug/15/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
supertechshop NEW - $249.00 0 Aug/11/14 Sep/10/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcstore Scrap, for parts - $799.99 0 Aug/15/14 Aug/18/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
lbmopar Used - $2,950.00 0 Aug/17/14 Aug/24/14
Description: AMAT 0010-75533 0010-7552 centura hdp Robot Assy Driver lot 2 upper 1bottom
svcstore Scrap, for parts - $999.99 0 Aug/17/14 Aug/20/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/17/14 Aug/20/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/17/14 Aug/20/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
farmoninc NEW - $150.00 0 Aug/18/14 Jun/01/23
Description: 2 AMAT 0020-78509 Link Pin, Pedestal
farmoninc NEW - $50.00 0 Aug/18/14 Jun/01/23
Description: 2 AMAT 0020-39493 Shoulder Screw 6-32 0.427"
athomemarket NEW - $99.99 0 Aug/18/14 Sep/17/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket Used - $75.99 0 Aug/18/14 Sep/17/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
svcstore Scrap, for parts - $799.99 0 Aug/18/14 Aug/21/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
peggiegrady Used - $39.99 0 Aug/19/14 Aug/26/14
Description: APPLIED MATERIAL 0050-50030 FLANGE REV #1 LOT OF 2
yayais2012 Used - $900.00 0 Aug/20/14 Sep/19/14
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
farmoninc NEW - $20.00 1 Aug/20/14 Apr/08/19
Description: 2 AMAT 0840-90040 Diode, 1N, 5629A, Transzorb
athomemarket NEW - $121.99 0 Aug/20/14 Sep/19/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket Used - $116.99 0 Aug/20/14 Sep/19/14
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
svcstore Scrap, for parts - $999.99 0 Aug/20/14 Aug/23/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/20/14 Aug/23/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/20/14 Aug/23/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 Used - $350.00 0 Aug/20/14 Sep/19/14
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
farmoninc NEW - $250.00 0 Aug/21/14 Jun/01/23
Description: 2 AMAT 0040-38775 Raceway, Cables Enclosure C Sode, RTP
svcstore Scrap, for parts - $799.99 0 Aug/21/14 Aug/24/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
usedeqsales Used - $2,508.14 0 Aug/22/14 Jun/01/23
Description: Novellus 02-324753-00 C3 Vector Spindle Assembly Rev. 2 Used Working
convertechs3 Used - $984.99 0 Aug/23/14 Sep/22/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
svcstore Scrap, for parts - $999.99 0 Aug/23/14 Aug/26/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/23/14 Aug/26/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/23/14 Aug/26/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $75.00 0 Aug/24/14 Nov/17/15
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
lbmopar Used - $2,750.00 0 Aug/24/14 Aug/31/14
Description: AMAT 0010-75533 0010-7552 centura hdp Robot Assy Driver lot 2 upper 1bottom
svcstore Scrap, for parts - $799.99 0 Aug/24/14 Aug/27/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
systasemi Used - $5,000.00 0 Aug/25/14 Sep/24/14
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
farmoninc NEW - $450.00 0 Aug/25/14 Jun/01/23
Description: AMAT 1270-00380 SW Assy ST1,2 Mapping Interlock 200MM FA
usedeqsales Used - $208.14 5 Aug/25/14 Aug/08/16
Description: Lam Research 810-802902-006 Motherboard Node 2 PM PCB 710-802902-006 Used
farmoninc NEW - $1,170.00 0 Aug/26/14 May/07/18
Description: 2 AMAT 0020-23220 Shield 6" Ti MTRL TIW Process
svcstore Scrap, for parts - $999.99 0 Aug/26/14 Aug/29/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/26/14 Aug/29/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/26/14 Aug/29/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
farmoninc NEW - $250.00 0 Aug/27/14 Jun/01/23
Description: AMAT 0010-05496 Hose Assembly, ASTRON TWR., Line #2, CH. C,
svcstore Scrap, for parts - $799.99 0 Aug/27/14 Aug/30/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 Used - $90.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $65.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 Used - $110.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $350.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $27.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 Used - $180.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $35.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 Used - $110.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $300.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
equipment_recycling NEW - $100.00 0 Aug/28/14 Sep/27/14
Description: AMAT 0140-00502 OPT/AI/MUX/CNTRL DIST LT/ECS Harness Assy (lot of 2)
actionsystems NEW - $89.00 1 Aug/28/14 Nov/11/15
Description: Qty. 2 Novellus 15-052620-00 Retainer, Tong, Ring Lift -Semiconductor Part NEW
farmoninc NEW - $100.00 0 Aug/28/14 Jun/01/23
Description: 2 AMAT 0020-82395 Support, Lid. L.C. Beamstop
farmoninc Scrap, for parts - $250.00 0 Aug/29/14 Nov/22/15
Description: AMAT 4000-60002 Kensington Labs PCB, 2 open chip slots, few bent components/pins
farmoninc NEW - $700.00 0 Aug/29/14 Jun/01/23
Description: 2 AMAT 0021-04905 Shield, Shaft SRD, ECP
farmoninc NEW - $300.00 0 Aug/29/14 Jun/01/23
Description: 2 AMAT 0040-44187 Bracket, Support, Lower, Cold Trap HT CV
svcstore Scrap, for parts - $999.99 0 Aug/29/14 Sep/01/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/29/14 Sep/01/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Aug/29/14 Sep/01/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Aug/30/14 Sep/02/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
lbmopar Used - $2,750.00 1 Aug/31/14 Sep/05/14
Description: AMAT 0010-75533 0010-7552 centura hdp Robot Assy Driver lot 2 upper 1bottom
svcstore Scrap, for parts - $999.99 0 Sep/01/14 Sep/04/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Sep/01/14 Sep/04/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Sep/01/14 Sep/04/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $30.00 0 Sep/02/14 Oct/02/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $105.00 0 Sep/02/14 Oct/02/14
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
exper-tech NEW - $65.00 0 Sep/02/14 Jun/23/16
Description: AMAT Applied Materials 0050-00023 Gasline SLD Transition, Lot of 2, New
athomemarket NEW - $54.99 0 Sep/02/14 Oct/02/14
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
jesumike NEW - $8,000.00 1 Sep/02/14 Sep/03/14
Description: APPLIED MATERIALS AMAT 0010-77775 TITAN 2 PROFILER HEADS - NIB
svcstore Scrap, for parts - $799.99 0 Sep/03/14 Sep/06/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
exper-tech NEW - $75.00 1 Sep/04/14 Nov/05/14
Description: AMAT Applied Materials 0090-20043 SMC NVJ3123Y 4-Way Solenoid Valve,Lot of 2,New
prism_electronics5 Used - $83.99 1 Sep/04/14 Oct/23/17
Description: Lot of 2 Lam Research SFT, SPRT, CHMBR, PM, 715-310007-003
expertsurplus NEW - $125.00 1 Sep/04/14 Mar/04/16
Description: AMAT Applied Materials 0150-10121 MXP Centura Cable Delta Lamp Socket, Lot of 2
svcstore Scrap, for parts - $999.99 0 Sep/04/14 Sep/07/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Sep/04/14 Sep/07/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Sep/04/14 Sep/07/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $95.00 0 Sep/05/14 Oct/05/14
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
farmoninc NEW - $25.00 1 Sep/05/14 Apr/10/15
Description: 2 Siemens W23-X1A1G-20 AMAT 0680-01962 C Therm SGL Pole 20A PB 50VDC 250VAC
svcstore Scrap, for parts - $799.99 0 Sep/06/14 Sep/09/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $999.99 0 Sep/07/14 Sep/10/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Sep/07/14 Sep/10/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Sep/07/14 Sep/10/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket NEW - $69.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
farmoninc NEW - $425.00 1 Sep/08/14 Oct/15/15
Description: 2 Parker 6A-PR6-EPRT-SS-2770 09XG, AMAT 0190-00872 Valve FCW Return, Centura MMF
bobsgoodies Used - $175.00 0 Sep/09/14 Sep/19/14
Description: Applied Materials 0090-75009 Motor Encoder Assy Robot Extension AMAT (Lot of 2
prism_electronics5 Used - $50.99 0 Sep/09/14 Jul/27/22
Description: Lot of 50 Lam Research 720-097093-002 3/4-10 x 2 1/2 Socket Cap Bolt Black Oxide
svcstore Scrap, for parts - $799.99 0 Sep/09/14 Sep/12/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 NEW - $15.00 0 Sep/10/14 Oct/10/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $110.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $125.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $350.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
convertechs3 Used - $959.99 0 Sep/30/14 Oct/07/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
yayais2012 Used - $350.00 0 Oct/01/14 Oct/31/14
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
exper-tech NEW - $45.00 0 Oct/02/14 Feb/17/15
Description: AMAT Applied Materials 1390-02308 Thermocouple Cable Type K 24AWG 2 Cond Spcl L
svcstore Scrap, for parts - $999.99 0 Oct/02/14 Oct/05/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Oct/02/14 Oct/05/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Oct/02/14 Oct/05/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
aaaportal Used - $42.39 0 Sep/30/14 Nov/24/15
Description: SMC SS5YJ3-DUL01756 Lam Research 772-800722-010 Manifold with 2 SMC SY114-5MOU
yayais2012 NEW - $125.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
convertechs3 Used - $949.99 0 Oct/07/14 Oct/14/14
Description: Trazar AMU10E 2 RF Match Novellus 27-118072-00 , 3502-002 (Working Pull)
svcstore Scrap, for parts - $799.99 0 Oct/11/14 Oct/14/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
conquer_2011 NEW - $950.00 0 Oct/12/14 Nov/11/14
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
athomemarket NEW - $106.99 0 Oct/12/14 Nov/11/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
yayais2012 NEW - $15.00 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $350.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
svcstore Scrap, for parts - $999.99 0 Dec/02/14 Dec/05/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
systasemi Used - $5,000.00 0 Dec/01/14 Dec/31/14
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
yayais2012 Used - $280.00 0 Dec/02/14 Jan/01/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore Scrap, for parts - $999.99 0 Dec/02/14 Dec/05/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Dec/02/14 Dec/05/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $149.99 0 Dec/03/14 Dec/06/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
athomemarket Scrap, for parts - $167.99 0 Dec/05/14 Jan/04/15
Description: Lot: 2 Applied Materials 0150-21692 Endura Wafer Bias Match Cables DAMAGED Parts
svcstore NEW - $209.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $209.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $49.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $189.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $999.99 0 Dec/05/14 Dec/08/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Dec/05/14 Dec/08/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $999.99 0 Dec/05/14 Dec/08/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $149.99 0 Dec/06/14 Dec/09/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
athomemarket NEW - $71.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
capitolareatech NEW - $20.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0620-00772 CABLE ASSY NULL MODEM 9.84FT DB9-F/F ***2 PA
capitolareatech NEW - $20.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0720-90392 CONNECTOR, SMA FIBRE-OP ***2 PACK***
capitolareatech NEW - $20.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 0910-01118 Littelfuse 8AG 2A 361002 FUSE FAST BLO 8AG 2
capitolareatech NEW - $25.00 0 Dec/08/14 May/07/15
Description: Applied Materials (AMAT) 0680-01118 SQUARE D QOB1305237 Circuit Breaker MAG 1P 2
capitolareatech NEW - $25.00 0 Dec/08/14 Feb/24/16
Description: Applied Materials (AMAT) 1390-01156 CABLE SHLD 22AWG 2 COND 300V PIC/CHR VIN ***
svcstore NEW - $209.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $209.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $49.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $189.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
yayais2012 NEW - $95.00 0 Dec/09/14 Jan/08/15
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
athomemarket Used - $249.99 1 Dec/09/14 Dec/16/14
Description: AMAT/Applied Materials 0040-75247 Rev. B Ring 2 Dual Blade Robot
athomemarket Used - $301.99 0 Dec/09/14 Jan/08/15
Description: Lot of 2 IN USA OPTI-Sense 5000 NDIR Infrared Endpoint Detectors AMAT 0190-08344
svcstore NEW - $149.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
convertechs3 NEW - $749.99 0 Dec/10/14 Dec/17/14
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
yayais2012 Used - $110.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $350.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 NEW - $15.00 0 Dec/11/14 Jan/10/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 NEW - $125.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
athomemarket NEW - $108.99 0 Dec/11/14 Jan/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
conquer_2011 NEW - $950.00 0 Dec/11/14 Jan/10/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore NEW - $209.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $189.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $209.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $49.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $149.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
conquer_2011 NEW - $324.99 0 Dec/12/14 Jan/11/15
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
farmoninc Used - $1,150.00 1 Jul/29/08 Dec/07/14
Description: 2 Applied materials 0100-00423 GPLIS seriplex I/O Dist
bobsgoodies Used - $125.00 1 Dec/03/14 Dec/03/14
Description: AMAT 0190-75073 BALL SCREW ASSY, LEFT HAND, SPEC CONTROLLED (Lot of 2 Screws)
bobsgoodies Used - $125.00 1 Dec/03/14 Dec/03/14
Description: AMAT 0015-20045 BALL SCREW ASSY, LEFT HAND, SPEC CONTROLLED (Lot of 2 Screws)
bobsgoodies Used - $125.00 1 Aug/27/14 Nov/24/14
Description: AMAT 0090-20036 Vexta PX245M-02AA 2 Phase Stepping Motor Assy W/ Bracket
bobsgoodies Scrap, for parts - $1,350.00 1 Nov/04/14 Nov/14/14
Description: AMAT Quantum Leap 2 Process Module 1080-90119
athomemarket NEW - $99.99 0 Dec/13/14 Jan/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcstore Scrap, for parts - $799.99 0 Dec/13/14 Dec/16/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $209.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $189.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $209.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $49.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $149.99 0 Dec/15/14 Dec/18/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $799.99 0 Dec/16/14 Dec/19/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
supertechshop Used - $499.00 0 Dec/17/14 Jan/16/15
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Dec/17/14 Jan/16/15
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $249.00 0 Dec/17/14 Jan/16/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
athomemarket Used - $78.99 0 Dec/17/14 Jan/16/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket Used - $252.99 0 Dec/17/14 Jan/16/15
Description: AMAT/Applied Materials 0040-75247 Rev. B Ring 2 Dual Blade Robot
athomemarket Used - $514.99 0 Dec/17/14 Dec/24/14
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
athomemarket Used - $59.99 0 Dec/17/14 Dec/24/14
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
convertechs3 NEW - $724.99 0 Dec/17/14 Dec/24/14
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore NEW - $209.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $189.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $209.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $149.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
benta09 NEW - $59.99 0 Dec/18/14 Jan/17/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
svcstore NEW - $49.99 0 Dec/18/14 Dec/21/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/18/14 Dec/21/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
athomemarket NEW - $123.99 0 Dec/19/14 Jan/18/15
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket Used - $120.99 0 Dec/19/14 Jan/18/15
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
svcstore Scrap, for parts - $799.99 0 Dec/19/14 Dec/22/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Used - $317.99 0 Dec/20/14 Jan/19/15
Description: Applied Materials 0190-34624 Endura 2 Endura2 Electronics Tower CCT Power Supply
bigg.logistics101 NEW - $799.99 1 Apr/10/14 Dec/18/14
Description: APPLIED MATERIALS CHEMRAZ CHAMBER O-RING KIT 3700-02105 ( LOT 2 )
svcstore NEW - $209.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $209.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $189.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $49.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $149.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $799.99 0 Dec/22/14 Dec/25/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $209.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $209.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $189.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
yayais2012 NEW - $95.00 0 Dec/24/14 Jan/23/15
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
yayais2012 Used - $900.00 1 Dec/24/14 Dec/26/14
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
svcstore NEW - $149.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $49.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $899.99 0 Dec/24/14 Dec/27/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Dec/24/14 Dec/27/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Dec/24/14 Dec/27/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket NEW - $99.99 1 Dec/18/14 Dec/25/14
Description: (Lot of 2) NEW Pall GLFF4000VM4 Stainless In-Line Filter Assembly 1/4" Male VCR
svcstore Scrap, for parts - $799.99 0 Dec/25/14 Dec/28/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
capitolareatech NEW - $15.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 1200-01090 Aromat TQ2-L2-24V Relay Low Profile 2 Form
convertechs3 NEW - $699.99 0 Dec/26/14 Jan/02/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
capitolareatech NEW - $142.84 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-08247 CLAMP PLATE,LEAP 2
capitolareatech NEW - $101.21 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-08275 CONNECTOR EXTRACTION LEAP 2
capitolareatech NEW - $650.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-09963 Pedestal, 150mm Flat, IS, NI Lift 2, HVCE
capitolareatech NEW - $251.63 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-22858 BRACKET, LEFT GAMMA 2 PROCESS GAS LINE
capitolareatech NEW - $120.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-77940 CABLE, HEAD SWEEP 1,2 PWR, CNTRL BKHD TO
capitolareatech NEW - $155.52 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00184 Cable, PCB, Stepper, CH. 2, ANNEAL
capitolareatech NEW - $163.34 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00741 Cable Assembly., SRD Pneumatic #2
capitolareatech NEW - $15.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0720-02730 DUPONT 68786-102 CONNector JUMPER 2 POS .1
capitolareatech NEW - $9.25 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90652 Connector, Free Plug 2 PIN AG, 250V, 6A A
capitolareatech NEW - $35.54 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-30202 POTTER & BRUMFIELD W92X-X112-20 CB MAG 2P 2
capitolareatech NEW - $45.03 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-30522 SWITCH, DOOR, 15A 2 X SPDT
capitolareatech NEW - $3,000.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-41807 WELDMENT,2 VALVE MANIFOLD,APTECH,10RA
capitolareatech NEW - $530.75 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 1080-01244 BL SUPER P50B05010DXS00M MOTOR SERVO 100W 2
capitolareatech NEW - $275.00 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 1120-00229 Filter Optic Bandpass, 777NM-CW/L FWHM 2.
capitolareatech NEW - $105.18 2 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 1140-01097 Cosel MMB50A-6 Power Supply, 100-120VAC, 2
capitolareatech NEW - $225.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0820-00089 Flame Detector UV/IR with 2 LED, Input: 2
capitolareatech NEW - $268.16 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0870-01088 SANYO DENKI PV1A015SM91P50 DRVR SERVOAMP 2
capitolareatech NEW - $3.20 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0910-01291 BUSSMANN BC6032S Fuse Block 2 POLE 600VAC 3
capitolareatech NEW - $75.00 0 Dec/27/14 Nov/22/15
Description: NOVELLUS 10-155965-00 TUBE ASSY,V46A&V48A,STA 2
capitolareatech NEW - $3.50 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3060-90225 BEARING BALL 2 BORE 60D
capitolareatech NEW - $25.00 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 3020-00093 SMC NCQ2KB20-20DM Air Cylinder, 20MM BORE 2
capitolareatech NEW - $9.36 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3780-90091 DISC SPR.35.5 X 18.3 (2) S/S
capitolareatech NEW - $15.00 0 Dec/27/14 Jan/26/15
Description: LAM Research (LAM) 713-013486-001 Plate, 2 3/4" X 1 1/8"
svcstore Scrap, for parts - $899.99 0 Dec/27/14 Dec/30/14
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Dec/27/14 Dec/30/14
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Dec/27/14 Dec/30/14
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
capitolareatech NEW - $125.00 0 Dec/28/14 Feb/24/16
Description: LAM RESEARCH (LAM) 835-007173-001 GASFEED LINE 2
svcstore Scrap, for parts - $799.99 0 Dec/28/14 Dec/31/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
bobsgoodies NEW - $125.00 1 Aug/27/14 Dec/26/14
Description: AMAT 0040-76530 Water Supply & Return 3/4" Manifold w/ Hoke valves (Lot of 2)
dr.fantom Used - $250.00 2 Nov/02/14 Dec/25/14
Description: Applied Materials AMAT 0100-09286 REV 001 Seriplex 2 Slot Backplane
imca00 Used - $649.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Endura Mainframe RF Power Cable 0150-01409 QTY. 2
farmoninc NEW - $950.00 0 Dec/29/14 Feb/08/22
Description: AMAT 0010-07495 Assy, Dark Sin, Left Robot Door, Mirra 2
athomemarket Used - $519.99 0 Dec/29/14 Jan/28/15
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
athomemarket Used - $61.99 0 Dec/29/14 Jan/28/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
svcstore NEW - $137.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $174.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $193.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $45.99 0 Dec/30/14 Jan/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Dec/30/14 Jan/02/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
yayais2012 Used - $900.00 0 Dec/30/14 Jan/29/15
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
farmoninc NEW - $45.00 0 Dec/30/14 Mar/02/23
Description: 2 NEW AMAT 3060-01622 BEARING DUPLEX, CHROSTO LUBE MCG-112
svcstore Scrap, for parts - $899.99 0 Dec/30/14 Jan/02/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Dec/30/14 Jan/02/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Dec/30/14 Jan/02/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
farmoninc NEW - $25.00 1 Dec/31/14 Oct/17/17
Description: 2 AMAT 3320-01171 GSKT SHLD RF .070DIA 30LB/LIN - IN STD FO, Gasket Shield
farmoninc NEW - $350.00 0 Dec/31/14 Nov/26/15
Description: 2 AMAT 0020-18754 BRACKET LOCKOUT
svcstore Scrap, for parts - $799.99 0 Dec/31/14 Jan/03/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket NEW - $39.99 0 Jan/01/15 Jan/31/15
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
svcstore NEW - $137.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
yayais2012 Used - $110.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $27.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 Used - $300.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $30.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $350.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $35.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $65.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 Used - $90.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $110.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $180.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
svcstore NEW - $193.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
yayais2012 NEW - $105.00 0 Jan/01/15 Jan/31/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
yayais2012 Used - $350.00 0 Jan/01/15 Jan/31/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore NEW - $193.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $174.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
farmoninc Used - $25.00 0 Jan/02/15 Mar/02/23
Description: AMAT 0020-15934 SCR FLAT 10-32 X .448L SLOTTED NI, LOT OF 2, 400184
svcstore NEW - $137.99 0 Jan/02/15 Jan/05/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Jan/02/15 Jan/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
convertechs3 NEW - $624.99 0 Jan/02/15 Jan/09/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore Scrap, for parts - $899.99 0 Jan/02/15 Jan/05/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/02/15 Jan/05/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/02/15 Jan/05/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
partminer2012 NEW - $30.00 0 Jan/03/15 May/26/17
Description: AMAT 3700-01414 O-RING 5.725 ID CSD .210 VITON 75 DURO - BAG OF 2
farmoninc NEW - $175.00 0 Jan/03/15 Mar/02/23
Description: 5 AMAT 1290-02699 TBS POWER DISTRIBUTION 2POLE ,16A, 2 TO 15AWG,MARATHON 1412403
svcstore Scrap, for parts - $799.99 0 Jan/03/15 Jan/06/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Scrap, for parts - $167.99 1 Jan/04/15 Jan/28/15
Description: Lot: 2 Applied Materials 0150-21692 Endura Wafer Bias Match Cables DAMAGED Parts
systasemi Used - $5,000.00 0 Jan/04/15 Feb/03/15
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
svcstore NEW - $137.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $193.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $174.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
imca00 Used - $649.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Endura Mainframe RF Power Cable 0150-01409 QTY. 2
svcstore NEW - $45.99 0 Jan/05/15 Jan/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/05/15 Jan/08/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Scrap, for parts - $899.99 0 Jan/05/15 Jan/08/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/05/15 Jan/08/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/05/15 Jan/08/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket NEW - $58.99 0 Jan/06/15 Feb/05/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
svcstore Scrap, for parts - $799.99 0 Jan/06/15 Jan/09/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $137.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $193.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
usedeqsales NEW - $301.15 0 Jan/08/15 May/01/15
Description: Praxair 0190-23945 CMP Polishing Pad 30" Window Pad Lot of 2 AMAT New
athomemarket Used - $301.99 1 Jan/08/15 Jan/30/15
Description: Lot of 2 IN USA OPTI-Sense 5000 NDIR Infrared Endpoint Detectors AMAT 0190-08344
farmoninc Used - $100.00 0 Jan/08/15 Mar/02/23
Description: 2 AMAT 0190-77111 Bearing, Double Seal
farmoninc NEW - $18.00 1 Jan/08/15 Jan/11/15
Description: 2 AMAT 3300-03629 FTG TBG Elbow 5/16T X 1/2-20UNF SS, Fitting, 400321
svcstore NEW - $174.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $137.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $899.99 0 Jan/08/15 Jan/11/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/08/15 Jan/11/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/08/15 Jan/11/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Jan/09/15 Jan/12/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
convertechs3 NEW - $574.99 0 Jan/09/15 Jan/16/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
yayais2012 Used - $350.00 0 Jan/10/15 Feb/09/15
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 NEW - $95.00 0 Jan/10/15 Feb/09/15
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
yayais2012 NEW - $125.00 0 Jan/10/15 Feb/09/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $15.00 0 Jan/10/15 Feb/09/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $110.00 0 Jan/10/15 Feb/09/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
athomemarket NEW - $97.99 0 Jan/10/15 Feb/09/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
conquer_2011 NEW - $950.00 0 Jan/10/15 Feb/09/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore NEW - $137.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $193.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
ace449parts2010 NEW - $250.00 1 Jan/11/15 Mar/19/15
Description: AMAT 0010-00201 DI SENSOR (2)
svcstore NEW - $137.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
conquer_2011 NEW - $324.99 0 Jan/11/15 Feb/10/15
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svcstore Scrap, for parts - $899.99 0 Jan/11/15 Jan/14/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/11/15 Jan/14/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/11/15 Jan/14/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
imca00 Used - $649.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Endura Mainframe RF Power Cable 0150-01409 QTY. 2
athomemarket NEW - $88.99 0 Jan/12/15 Feb/11/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcstore Scrap, for parts - $799.99 0 Jan/12/15 Jan/15/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
farmoninc NEW - $500.00 0 Jan/13/15 Mar/02/23
Description: 2 AMAT 3300-12681 FITTING TVG UNION RUN TEE SPACE SAVER 1SSX1TX1T LQ3T6A-SR SMC
farmoninc NEW - $250.00 0 Jan/13/15 Mar/02/23
Description: AMAT 1270-90252 ISOLATOR 2 POLE 32A
usedeqsales NEW - $401.15 0 Jan/13/15 Feb/25/15
Description: Swagelok 6LV-BN8BW6-P-C Bellows Valve AMAT 3870-01998 Lot of 2 New
farmoninc NEW - $200.00 1 Jan/13/15 Feb/09/15
Description: 2 AMAT 0200-01798 PIN LIFT, TXZ HEATER SINGLE TAPER
svcstore NEW - $137.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $193.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
farmoninc NEW - $150.00 0 Jan/14/15 Mar/02/23
Description: 2 AMAT 3300-03796 FITING PIPE NIP 23/8MP 4.0L SST HEX
svcstore NEW - $45.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Jan/14/15 Jan/17/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/14/15 Jan/17/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/14/15 Jan/17/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Jan/15/15 Jan/18/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Used - $65.99 0 Jan/16/15 Feb/15/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
dr.fantom Used - $120.00 0 Jan/18/15 Feb/12/15
Description: Lot of 2 Veriflo 944AOPLPNCSFSFF Applied Materials 3870-01306 Diaphragm Valve
benta09 NEW - $59.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
svcstore NEW - $45.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $193.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $193.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
athomemarket Used - $240.99 0 Jan/16/15 Feb/15/15
Description: AMAT/Applied Materials 0040-75247 Rev. B Ring 2 Dual Blade Robot
athomemarket NEW - $110.99 0 Jan/18/15 Feb/17/15
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
svcstore Scrap, for parts - $899.99 0 Jan/17/15 Jan/20/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/17/15 Jan/20/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/17/15 Jan/20/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket Used - $102.99 0 Jan/18/15 Feb/17/15
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
convertechs3 NEW - $499.99 0 Jan/17/15 Jan/24/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
ntsurplus302 Used - $280.00 0 Jan/18/15 Jul/12/16
Description: 2825 Set of 2 Applied Materials P/N: 0020-62770 & 0020-62771. Screens
svcstore Scrap, for parts - $799.99 0 Jan/18/15 Jan/21/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
supertechshop Used - $499.00 0 Jan/19/15 Feb/18/15
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Jan/19/15 Feb/18/15
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $249.00 0 Jan/19/15 Feb/18/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
athomemarket Used - $302.99 0 Jan/19/15 Feb/18/15
Description: Applied Materials 0190-34624 Endura 2 Endura2 Electronics Tower CCT Power Supply
40-30sourcing Used - $80.00 1 Oct/20/10 Jan/19/15
Description: FLOWMETER DWYER INSTRUMENTS VFA-25-SSV - LOT OF 2
sandman.2000 NEW - $199.99 1 Jan/18/15 Jan/19/15
Description: Braycote® 601EF Vacuum Grease 2 oz
svcstore NEW - $137.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
usedeqsales Used - $1,501.15 1 Jan/20/15 Mar/27/15
Description: Trust Automation C-2027-D01 2 Axis Vector Controller Novellus 63-370393-00 Used
svcstore NEW - $193.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $45.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Jan/20/15 Jan/23/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/20/15 Jan/23/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/20/15 Jan/23/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
powersell007 NEW - $599.00 1 Jan/21/15 Jul/19/18
Description: APPLIED MATERIALS 0200-09911 COVER, QUARTZ,8",STEPPED, LIFT 2 NOTCHED AMAT *NEW*
usedeqsales NEW - $401.15 0 Jan/21/15 May/05/15
Description: Keyence CZ-K1 Digital Fiber Optic Amplifier AMAT 1400-00062 Lot of 2 New
usedeqsales Used - $5,001.15 0 Jan/21/15 May/21/15
Description: AMAT Applied Materials 0010-29882 Endura 2 SST Chamber Assembly 300mm Used
svcstore Scrap, for parts - $799.99 0 Jan/21/15 Jan/24/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $137.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
yayais2012 NEW - $95.00 0 Jan/23/15 Feb/22/15
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
usedeqsales NEW - $501.15 0 Jan/23/15 May/05/15
Description: SMC MDSUB7-90S-R73L Rotary Pneumatic Actuator AMAT 0520-00271 Lot of 2 New
svcstore NEW - $193.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $45.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Jan/23/15 Jan/26/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/23/15 Jan/26/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/23/15 Jan/26/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
convertechs3 NEW - $474.99 0 Jan/24/15 Jan/31/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore Scrap, for parts - $799.99 0 Jan/24/15 Jan/27/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $137.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $193.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $45.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Jan/26/15 Jan/29/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/26/15 Jan/29/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/26/15 Jan/29/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
imca00 Used - $649.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Endura Mainframe RF Power Cable 0150-01409 QTY. 2
svcstore Scrap, for parts - $799.99 0 Jan/27/15 Jan/30/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
dr.fantom Used - $250.00 0 Jan/28/15 Feb/12/15
Description: Applied Materials AMAT 0100-09286 REV 001 Seriplex 2 Slot Backplane
athomemarket Used - $397.99 0 Jan/28/15 Feb/27/15
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
athomemarket Used - $49.99 0 Jan/28/15 Feb/27/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
svcstore NEW - $137.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $45.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $193.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
yayais2012 Used - $810.00 0 Jan/29/15 Feb/28/15
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
svcstore Scrap, for parts - $899.99 0 Jan/29/15 Feb/01/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/29/15 Feb/01/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jan/29/15 Feb/01/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
farmoninc NEW - $10.00 1 Jan/30/15 Mar/15/22
Description: AMAT 1230-01052 SKT MP Relay 2 Pole SQ Blade Snap Mount
svcstore Scrap, for parts - $799.99 0 Jan/30/15 Feb/02/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket NEW - $39.99 0 Jan/31/15 Mar/02/15
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
farmoninc NEW - $500.00 0 Jan/31/15 Mar/02/23
Description: 2 AMAT 0020-88984 Shielding, Front
svcstore NEW - $137.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
convertechs3 NEW - $449.99 0 Jan/31/15 Feb/07/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore NEW - $193.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
jlx580 Used - $650.00 1 Feb/01/15 May/05/17
Description: LAM Research 810-017003-004 Rev. 2
yayais2012 NEW - $27.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $315.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $35.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 Used - $180.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
svcstore NEW - $137.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $193.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
yayais2012 Used - $315.00 0 Feb/01/15 Mar/03/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
yayais2012 Used - $90.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $270.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $30.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $65.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 Used - $110.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $105.00 0 Feb/01/15 Mar/03/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
svcstore Scrap, for parts - $899.99 0 Feb/01/15 Feb/04/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/01/15 Feb/04/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/01/15 Feb/04/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Feb/02/15 Feb/05/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
imca00 Used - $649.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Endura Mainframe RF Power Cable 0150-01409 QTY. 2
svcstore NEW - $137.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
dr.fantom NEW - $300.00 0 Feb/04/15 Feb/12/15
Description: Applied Materials AMAT 3030-13935 DSPAE100 MFC INTELLIFLOW 2 20SCCM SIH4 1/4 VCR
dr.fantom NEW - $300.00 0 Feb/04/15 Feb/12/15
Description: Applied Materials AMAT 3030-13835 Mykrolis DSPAE100 MFC INTELLIFLOW 2 300SCCM AR
ytyc2014 Scrap, for parts - $1,400.00 0 Feb/04/15 Feb/09/15
Description: Lot of 2 AMAT Toyota Industries IPUP T100L Dry Pump V3.4 0190-28788
svcstore NEW - $193.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $45.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Feb/04/15 Feb/07/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/04/15 Feb/07/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
systasemi Used - $5,000.00 0 Feb/05/15 Mar/07/15
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
athomemarket NEW - $58.99 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
svcstore Scrap, for parts - $899.99 0 Feb/05/15 Feb/08/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Feb/05/15 Feb/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
prism_electronics11 Scrap, for parts - $999.95 0 Feb/06/15 Feb/23/15
Description: Lam Research 859-015434-104 Rev C LAM Assy RTRY LFTR Mech 200mm 2 For Parts
prism_electronics11 Used - $499.95 0 Feb/06/15 Feb/23/15
Description: Lot Of 2 Lam Research 715-052481-001 Elctd Ground Bevel STD Electro Chuck Ground
svcstore NEW - $137.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $174.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $193.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $45.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Scrap, for parts - $899.99 0 Feb/07/15 Feb/10/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/07/15 Feb/10/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/08/15 Feb/11/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
convertechs3 NEW - $434.99 0 Feb/08/15 Feb/15/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore Scrap, for parts - $799.99 0 Feb/08/15 Feb/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 NEW - $15.00 0 Feb/09/15 Mar/11/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 NEW - $95.00 0 Feb/09/15 Mar/11/15
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
yayais2012 Used - $350.00 0 Feb/09/15 Mar/11/15
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 Used - $110.00 0 Feb/09/15 Mar/11/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $125.00 0 Feb/09/15 Mar/11/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
athomemarket NEW - $97.99 0 Feb/09/15 Mar/11/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
ytyc2014 Scrap, for parts - $1,400.00 1 Feb/09/15 Aug/29/16
Description: Lot of 2 AMAT Toyota Industries IPUP T100L Dry Pump V3.4 0190-28788
conquer_2011 NEW - $950.00 0 Feb/09/15 Mar/11/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore NEW - $137.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
imca00 Used - $649.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Endura Mainframe RF Power Cable 0150-01409 QTY. 2
svcstore NEW - $193.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $137.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
conquer_2011 NEW - $324.99 0 Feb/10/15 Mar/12/15
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svcstore Scrap, for parts - $899.99 0 Feb/10/15 Feb/13/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket NEW - $88.99 0 Feb/11/15 Mar/13/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
wenfeng2010 Used - $300.00 0 Feb/11/15 Mar/13/15
Description: 2 of Lot Applied Materials 24V 1A Power Supply. P/N: 0100-90025
svcstore Scrap, for parts - $899.99 0 Feb/11/15 Feb/14/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/11/15 Feb/14/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Feb/11/15 Feb/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
dr.fantom NEW - $30.00 0 Feb/12/15 Feb/24/16
Description: LOT OF 2 Applied Materials / AMAT 3300-05593 FTG TBG PLUG 1/2T X 1.81L ONE-TOUCH
dr.fantom NEW - $400.00 0 Feb/12/15 Apr/13/15
Description: Applied Materials / AMAT 0190-10230 CHASSIS CHAMB PNL BD 2 POS GDB CKT BRKR
dr.fantom NEW - $199.00 0 Feb/12/15 Dec/18/19
Description: Applied Materials 423960-P4 Chamber 2 0040-51790 BRACKET LIFTING EYE 300MM ENDUR
dr.fantom Used - $100.00 1 Feb/12/15 Aug/11/19
Description: Applied Materials 0040-82245 REV 2
dr.fantom NEW - $45.00 0 Feb/12/15 Dec/01/19
Description: LOT OF 2 Applied Materials 3300-01347 FTG FLANGE BLANK-OFF VACUUM PUMP
dr.fantom NEW - $200.00 0 Feb/12/15 Dec/09/15
Description: LOT OF 2 Applied Materials BRG PILLOW BLOCK 1" 205SST 3060-00047
dr.fantom NEW - $285.00 0 Feb/12/15 Feb/12/20
Description: Applied Materials AMAT 3030-13935 DSPAE100 MFC INTELLIFLOW 2 20SCCM SIH4 1/4 VCR
dr.fantom NEW - $285.00 0 Feb/12/15 Feb/12/20
Description: Applied Materials AMAT 3030-13835 Mykrolis DSPAE100 MFC INTELLIFLOW 2 300SCCM AR
dr.fantom Used - $250.00 6 Feb/12/15 Oct/23/15
Description: Applied Materials AMAT 0100-09286 REV 001 Seriplex 2 Slot Backplane
dr.fantom Used - $120.00 0 Feb/12/15 Jan/07/16
Description: Lot of 2 Veriflo 944AOPLPNCSFSFF Applied Materials 3870-01306 Diaphragm Valve
dr.fantom Used - $3,500.00 1 Feb/12/15 Oct/19/15
Description: lot of 2 AMAT Applied Materials 0010-70264 ASSY HP Robot Driver Upper/Lower
dr.fantom Used - $950.00 0 Feb/12/15 Dec/24/19
Description: Novellus Digital Dynamics SIOC 2 02-267699-00 Interlock/Controller/Power Supply
quest.managements Refurbished - $100.00 0 Feb/12/15 May/19/15
Description: Applied Materials AMAT 0020-26588 A Shield 8" PIK 2 Preclean Kit
quest.managements Refurbished - $850.00 0 Feb/12/15 May/19/15
Description: Applied Materials AMAT 0200-00221 005 Quartz Insulator 8" PIK 2 Preclean Kit
prism_electronics6 Used - $49.95 1 Feb/12/15 Feb/08/18
Description: Lam Research LED Display for Gas Box Phase II 2, 810-803260-001
svcstore NEW - $137.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $45.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $193.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Feb/13/15 Feb/16/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/14/15 Feb/17/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/14/15 Feb/17/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Feb/14/15 Feb/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Used - $65.99 0 Feb/15/15 Mar/17/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket Used - $240.99 1 Feb/15/15 Mar/11/15
Description: AMAT/Applied Materials 0040-75247 Rev. B Ring 2 Dual Blade Robot
svcstore NEW - $137.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
convertechs3 NEW - $419.99 0 Feb/16/15 Feb/23/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore NEW - $193.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $193.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
benta09 NEW - $59.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
svcstore NEW - $137.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Feb/16/15 Feb/19/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket Used - $102.99 0 Feb/17/15 Mar/19/15
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
athomemarket NEW - $110.99 0 Feb/17/15 Mar/19/15
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
svcstore Scrap, for parts - $899.99 0 Feb/17/15 Feb/20/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/17/15 Feb/20/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Feb/17/15 Feb/20/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Used - $302.99 0 Feb/18/15 Mar/20/15
Description: Applied Materials 0190-34624 Endura 2 Endura2 Electronics Tower CCT Power Supply
svcstore NEW - $137.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
supertechshop NEW - $249.00 0 Feb/19/15 Mar/21/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
prism_electronics11 Used - $39.99 0 Feb/18/15 Feb/23/15
Description: Lot Of 2 Lam Research 713-801053-005 BSHG ULTEM M2 X 5/8- 24 UNEF Spacer Hub
supertechshop Used - $499.00 0 Feb/19/15 Mar/21/15
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Feb/19/15 Mar/21/15
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
svcstore NEW - $193.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $193.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $137.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Feb/20/15 Feb/23/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/20/15 Feb/23/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/20/15 Feb/23/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Feb/20/15 Feb/23/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $137.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $193.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
keykorea NEW - $3,500.00 0 Feb/22/15 Feb/22/15
Description: AMAT 0200-01119 SHADOW RING, QUARTZ, 200MM NOTCH (2) TIG, NEW
yayais2012 NEW - $95.00 0 Feb/22/15 Mar/24/15
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
svcstore NEW - $137.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
convertechs3 NEW - $399.99 0 Feb/23/15 Mar/02/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
csi.usa NEW - $149.00 0 Feb/23/15 Mar/03/15
Description: APPLIED MATERIALS P/N 3700-01131 O-RING id 2,175 CSD .130 VITON 75 DURO B
svcstore Scrap, for parts - $899.99 0 Feb/23/15 Feb/26/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/23/15 Feb/26/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/23/15 Feb/26/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Feb/23/15 Feb/26/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
dr.fantom Used - $5,000.00 0 Feb/24/15 Nov/21/15
Description: LAM Research 713-002617-003 Quartz Plate WDO Polycarb CHMBR DUAL SEAL 2
svcstore NEW - $137.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
epteksemi Used - $210.00 0 Feb/25/15 Mar/02/23
Description: LAM Research: LINER, #2, SiC-CTD GRAPHITE PN 716-051923-002
farmoninc Used - $150.00 0 Feb/25/15 Mar/02/23
Description: 2 AMAT 0020-10308 Bushing Plug
usedeqsales NEW - $302.15 0 Feb/25/15 May/04/15
Description: Gems 212423 Manifold Mount Flow Switch AMAT 0190-19897 Lot of 2 New
svcstore NEW - $193.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $193.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $45.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Feb/26/15 Mar/01/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/26/15 Mar/01/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Feb/26/15 Mar/01/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Feb/26/15 Mar/01/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Used - $397.99 0 Feb/27/15 Mar/29/15
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
athomemarket Used - $49.99 0 Feb/27/15 Mar/29/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
svcstore NEW - $137.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
ntsurplus302 NEW - $50.00 0 Feb/28/15 Aug/09/16
Description: 2937 Lot of 2 Applied Materials P/N: 0040-86019 001
svcstore NEW - $193.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $193.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
yayais2012 Used - $900.00 0 Mar/01/15 Mar/31/15
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
svcstore Scrap, for parts - $899.99 0 Mar/01/15 Mar/04/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/01/15 Mar/04/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/01/15 Mar/04/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
usedeqsales NEW - $303.15 0 Mar/02/15 May/04/15
Description: Watlow PM9C4CC-2CCJAAA Temperature Controller AMAT 3930-00366 Lot of 2 New
avizasales NEW - $10.00 0 Mar/02/15 Apr/30/15
Description: MKS HPS 100312906, AMAT 0690-01037 CLAMP,AL WING NUT NW50,FITS 50 (LOT OF 2)
athomemarket NEW - $39.99 0 Mar/02/15 Apr/01/15
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
convertechs3 NEW - $374.99 0 Mar/02/15 Mar/09/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore Scrap, for parts - $799.99 0 Mar/02/15 Mar/05/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $137.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
csi.usa NEW - $500.00 0 Mar/03/15 Jan/16/18
Description: APPLIED MATERIALS P/N 0021-08144 CHAMBER 2 HEATER PLUG
csi.usa NEW - $149.00 1 Mar/03/15 Aug/23/16
Description: APPLIED MATERIALS P/N 3700-01131 O-RING id 2,175 CSD .130 VITON 75 DURO B
svcstore NEW - $193.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $193.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $45.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
usedeqsales Used - $503.15 0 Mar/04/15 May/05/15
Description: AMAT Applied Materials 0041-40414 Gate Valve Adapter Endura 2 Used Working
usedeqsales Used - $1,003.15 0 Mar/04/15 May/01/15
Description: VAT 10846-UE28-AVN2 Gate Valve AMAT 0010-42058 Endura 2 Used
svcstore Scrap, for parts - $899.99 0 Mar/04/15 Mar/07/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/04/15 Mar/07/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/04/15 Mar/07/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $350.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $180.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $300.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $30.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $27.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 Used - $110.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $90.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $105.00 0 Mar/05/15 Apr/04/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
yayais2012 Used - $350.00 0 Mar/05/15 Apr/04/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
bobsgoodies2 Used - $85.00 0 Mar/05/15 Mar/31/22
Description: 2 AMAT 3400-01443 3/8" Braided SS Hose Assy r 40" End to end ORFS ends
svcstore Scrap, for parts - $799.99 0 Mar/05/15 Mar/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $137.99 0 Mar/05/15 Mar/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $193.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $45.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
athomemarket NEW - $58.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
svcstore Scrap, for parts - $899.99 0 Mar/07/15 Mar/10/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/07/15 Mar/10/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/07/15 Mar/10/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $35.00 0 Mar/08/15 Apr/07/15
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $65.00 0 Mar/08/15 Apr/07/15
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 Used - $110.00 0 Mar/08/15 Apr/07/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
svcstore Scrap, for parts - $799.99 0 Mar/08/15 Mar/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
systasemi Used - $5,000.00 0 Mar/09/15 Apr/08/15
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
csi.usa NEW - $250.00 0 Mar/09/15 Jan/22/18
Description: LAM RESEARCH 833-016952-009 CABLE SHIELDED,TCU,TO PUMP,EMS,2
convertechs3 NEW - $359.99 0 Mar/09/15 Mar/16/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore NEW - $137.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $45.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $193.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Mar/10/15 Mar/13/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/10/15 Mar/13/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/10/15 Mar/13/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
usedeqsales Used - $1,503.15 1 Mar/11/15 Mar/27/15
Description: Trust Automation C-2027-DO1 2 Axis Vector Controller Novellus 27-327289-00 Used
yayais2012 Used - $350.00 0 Mar/11/15 Apr/10/15
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 NEW - $15.00 0 Mar/11/15 Apr/10/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 NEW - $125.00 0 Mar/11/15 Apr/10/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $95.00 0 Mar/11/15 Apr/10/15
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
yayais2012 Used - $110.00 0 Mar/11/15 Apr/10/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
athomemarket NEW - $97.99 0 Mar/11/15 Apr/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
conquer_2011 NEW - $950.00 0 Mar/11/15 Apr/10/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore Scrap, for parts - $799.99 0 Mar/11/15 Mar/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $137.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $193.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
conquer_2011 NEW - $324.99 0 Mar/13/15 Apr/12/15
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svcstore NEW - $137.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
athomemarket NEW - $88.99 0 Mar/13/15 Apr/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcstore Scrap, for parts - $899.99 0 Mar/13/15 Mar/16/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/13/15 Mar/16/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/13/15 Mar/16/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Mar/14/15 Mar/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $137.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
convertechs3 NEW - $334.99 0 Mar/16/15 Mar/23/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
farmoninc Used - $1,450.00 0 Mar/16/15 Jul/12/19
Description: AMAT VME Rack Slot Cart with 6 or 7 slot, 2 DI/O 0100-76124 PCB, Ebrain 008131
gigabitpartsolutions NEW - $49.50 1 Mar/17/15 Jun/02/15
Description: OEM Part Applied Materails (AMAT) 0020-18461 PORT,WATER,RPSC,HDP-CVD PKG 2
athomemarket Used - $65.99 0 Mar/17/15 Apr/16/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
svcstore Scrap, for parts - $899.99 0 Mar/17/15 Mar/20/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $178.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Mar/17/15 Mar/20/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $126.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Scrap, for parts - $899.99 0 Mar/17/15 Mar/20/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $160.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $41.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
benta09 NEW - $59.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
athomemarket NEW - $110.99 0 Mar/19/15 Apr/18/15
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket Used - $102.99 0 Mar/19/15 Apr/18/15
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
athomemarket Used - $302.99 0 Mar/20/15 Apr/19/15
Description: Applied Materials 0190-34624 Endura 2 Endura2 Electronics Tower CCT Power Supply
svcstore Scrap, for parts - $899.99 0 Mar/20/15 Mar/23/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $178.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Mar/20/15 Mar/23/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/20/15 Mar/23/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $126.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $41.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $160.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
convertechs3 NEW - $319.99 0 Mar/23/15 Mar/30/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore Scrap, for parts - $899.99 0 Mar/23/15 Mar/26/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $178.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Mar/23/15 Mar/26/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $126.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Scrap, for parts - $899.99 0 Mar/23/15 Mar/26/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $160.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $41.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
supertechshop Used - $499.00 0 Mar/24/15 Apr/23/15
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $124.95 0 Mar/24/15 Apr/23/15
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $249.00 0 Mar/24/15 Apr/23/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
yayais2012 NEW - $95.00 0 Mar/24/15 Apr/23/15
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
svcstore NEW - $137.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $799.99 0 Mar/24/15 Mar/27/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $899.99 0 Mar/26/15 Mar/29/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $178.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Mar/26/15 Mar/29/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/26/15 Mar/29/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $126.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $160.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
gigabitpartsolutions NEW - $38.50 2 Mar/27/15 Aug/03/15
Description: OEM Part Applied Materails (AMAT) 0015-70041 ASSY CAM FOLLOWER CROWNED PKG 2
svcstore NEW - $178.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $799.99 0 Mar/27/15 Mar/30/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $137.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $178.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Mar/29/15 Apr/01/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/29/15 Apr/01/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Mar/29/15 Apr/01/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $126.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $160.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
athomemarket Used - $397.99 1 Mar/30/15 Apr/20/15
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
athomemarket Used - $49.99 0 Mar/30/15 Apr/29/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
austieiscute Used - $949.99 1 Mar/30/15 Jan/27/16
Description: AMAT 2 Mhz Generator to RF Match Cable Assembly 0190-29950 10762200 Tru 83 Feet
svcstore NEW - $41.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
convertechs3 NEW - $299.99 0 Mar/30/15 Apr/06/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore NEW - $137.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
austieiscute Used - $399.99 2 Mar/30/15 Apr/01/15
Description: AMAT 2 Mhz Generator to RF Match Cable Assembly 0190-15905 Rev. 003 Tru Wafer
svcstore Scrap, for parts - $799.99 0 Mar/30/15 Apr/02/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $126.99 0 Apr/04/15 Apr/07/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $178.99 0 Apr/04/15 Apr/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
yayais2012 NEW - $30.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 Used - $300.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $350.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $90.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $110.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $180.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $27.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $105.00 0 Apr/04/15 May/04/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
svcstore Scrap, for parts - $899.99 0 Apr/04/15 Apr/07/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/04/15 Apr/07/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/04/15 Apr/07/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
prism_electronics8 Used - $363.97 0 Apr/03/15 Aug/23/22
Description: LOT OF 2 Lam Research 715-023193-001 PL SUPRT 2300 BSR
yayais2012 Used - $350.00 0 Apr/04/15 May/04/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore Scrap, for parts - $799.99 0 Apr/02/15 Apr/05/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 Used - $900.00 0 Mar/31/15 Apr/30/15
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
svcstore NEW - $160.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $41.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $799.99 0 Apr/05/15 Apr/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket NEW - $58.99 0 Apr/06/15 May/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
convertechs3 NEW - $284.99 0 Apr/06/15 Apr/13/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore Scrap, for parts - $899.99 0 Apr/07/15 Apr/10/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/07/15 Apr/10/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $178.99 0 Apr/07/15 Apr/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Apr/07/15 Apr/10/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $126.99 0 Apr/07/15 Apr/10/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
yayais2012 Used - $110.00 0 Apr/08/15 May/08/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $65.00 0 Apr/08/15 May/08/15
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $35.00 0 Apr/08/15 May/08/15
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
svcstore NEW - $41.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $160.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $799.99 0 Apr/08/15 Apr/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
xl-t_com NEW - $60.00 0 Apr/09/15 Aug/31/16
Description: APPLIED MATERIALS - 0020-37160 - CLAMP EXHAUST 2IN (PACK OF 2)
xl-t_com NEW - $2.00 0 Apr/09/15 Aug/31/16
Description: APPLIED MATERIALS - 3690-01895 - SCR CAP SKT HD 8-32 X 3/8L (PACK OF 2)
svcstore NEW - $137.99 0 Apr/09/15 Apr/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
dvkelectronics Used - $400.00 0 Apr/10/15 May/10/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
yayais2012 NEW - $15.00 0 Apr/10/15 May/10/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 NEW - $95.00 0 Apr/10/15 May/10/15
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
yayais2012 NEW - $125.00 0 Apr/10/15 May/10/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Apr/10/15 May/10/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $350.00 0 Apr/10/15 May/10/15
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
athomemarket NEW - $97.99 0 Apr/10/15 May/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
conquer_2011 NEW - $950.00 0 Apr/10/15 May/10/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore Scrap, for parts - $899.99 0 Apr/10/15 Apr/13/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $178.99 0 Apr/10/15 Apr/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Apr/10/15 Apr/13/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $126.99 0 Apr/10/15 Apr/13/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Scrap, for parts - $899.99 0 Apr/10/15 Apr/13/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $41.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $160.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
conquer_2011 NEW - $324.99 0 Apr/12/15 May/12/15
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svcstore Scrap, for parts - $799.99 0 Apr/11/15 Apr/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
farmoninc NEW - $175.00 0 Apr/12/15 Mar/02/23
Description: AMAT 0200-09327 Shield 125MM 2 FLT HEWEB
svcstore NEW - $137.99 0 Apr/12/15 Apr/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
sparesllc09 NEW - $728.00 0 Apr/13/15 Jul/13/16
Description: 0021-24184 /LINER, BOTTOM SIDE 2, 300MM SE / APPLIED MATERIALS
onlinesmt Used - $53.20 0 Apr/13/15 May/13/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
athomemarket NEW - $88.99 0 Apr/13/15 May/13/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $79.99 0 Apr/13/15 Apr/20/15
Description: NEW (Lot of 2) AMAT 0190-04979 Nomex Hose Assy Dome to Flow Switch 3/8, 1/2 TS
usedeqsales Used - $509.13 0 Apr/13/15 May/04/15
Description: Tylan FC-2951MEP5 Mass Flow Controller AMAT 3030-07210 Lot of 2 Used Working
convertechs3 NEW - $274.99 0 Apr/13/15 Apr/20/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore Scrap, for parts - $899.99 0 Apr/14/15 Apr/17/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/14/15 Apr/17/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/14/15 Apr/17/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $178.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Scrap, for parts - $799.99 0 Apr/14/15 Apr/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $137.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $160.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $178.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
catalystparts NEW - $100.00 0 Apr/16/15 Dec/12/15
Description: Applied Materials K-Tec 0150-20112 Cable Assy, EMO Generator 1,2, 6 1/2"
athomemarket Used - $65.99 0 Apr/16/15 May/16/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
farmoninc NEW - $100.00 0 Apr/17/15 Mar/02/23
Description: AMAT 0021-08852 Gas Spring Long Mounting Stud, EBR Lid 2, 401341
gigabitpartsolutions NEW - $60.50 2 Apr/17/15 Jun/10/16
Description: Hardware APPLIED MATERIAL (AMAT) 0021-18829 PLUG, NF3 CHANNEL, 300SE (2 PKG)
farmoninc NEW - $250.00 0 Apr/17/15 Mar/02/23
Description: AMAT 1270-90252 Isolator 2 Pole 32A, 401353
svcstore Scrap, for parts - $899.99 0 Apr/17/15 Apr/20/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/17/15 Apr/20/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/17/15 Apr/20/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $178.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
athomemarket NEW - $110.99 0 Apr/18/15 May/18/15
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket Used - $102.99 0 Apr/18/15 May/18/15
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
svcstore NEW - $137.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $799.99 0 Apr/18/15 Apr/21/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $41.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $178.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
athomemarket Used - $302.99 0 Apr/20/15 May/20/15
Description: Applied Materials 0190-34624 Endura 2 Endura2 Electronics Tower CCT Power Supply
svcstore Scrap, for parts - $899.99 0 Apr/20/15 Apr/23/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/20/15 Apr/23/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/20/15 Apr/23/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $178.99 0 Apr/20/15 Apr/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 Apr/20/15 Apr/23/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
athomemarket NEW - $79.99 0 Apr/21/15 May/21/15
Description: NEW (Lot of 2) AMAT 0190-04979 Nomex Hose Assy Dome to Flow Switch 3/8, 1/2 TS
convertechs3 NEW - $259.99 0 Apr/21/15 Apr/28/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore NEW - $178.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $126.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Apr/22/15 Apr/25/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Apr/22/15 Apr/25/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
redbarnstuff NEW - $179.99 0 Apr/23/15 May/23/15
Description: ENTEGRIS FLUOROWARE HFC-12F 2 way valve N.C.High flow 3/4" NEW IN BOX
macgyvrmdse Used - $172.50 1 Apr/16/15 Apr/23/15
Description: Pace Fume Extractor 8889-0205 ARM EVAC 200 Soldering 2 Port Fume Filter
svcstore Scrap, for parts - $899.99 0 Apr/23/15 Apr/26/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/23/15 Apr/26/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/23/15 Apr/26/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
systasemi Used - $5,000.00 0 Apr/23/15 May/23/15
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
svcstore NEW - $178.99 0 Apr/23/15 Apr/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 Apr/23/15 Apr/26/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
yayais2012 NEW - $95.00 0 Apr/24/15 May/24/15
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
svcstore NEW - $126.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $178.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $449.99 0 Apr/25/15 Apr/28/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Apr/25/15 Apr/28/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $899.99 0 Apr/26/15 Apr/29/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/26/15 Apr/29/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/26/15 Apr/29/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $178.99 0 Apr/26/15 Apr/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $160.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $178.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
convertechs3 NEW - $234.99 0 Apr/28/15 May/05/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore NEW - $126.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Apr/28/15 May/01/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Apr/28/15 May/01/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Used - $49.99 0 Apr/29/15 May/29/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
catalystparts NEW - $1,000.00 1 Apr/29/15 Oct/22/15
Description: AMAT 0190-09484 Temperature Control Kit w/ 2 Watlow Series 965.
svcstore NEW - $178.99 0 Apr/29/15 May/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Apr/29/15 May/02/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/29/15 May/02/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Apr/29/15 May/02/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $126.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $160.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
yayais2012 Used - $900.00 0 Apr/30/15 May/30/15
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
svcstore NEW - $178.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
farmoninc NEW - $15.00 0 May/01/15 Feb/27/20
Description: 2 AMAT 3300-03629 Fitting, TBG Elbow 5/16T X 1/2-20 UNF SST, 401625
svcstore NEW - $126.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 May/01/15 May/04/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
athomemarket NEW - $39.99 0 May/02/15 Jun/01/15
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
svcstore Scrap, for parts - $799.99 0 May/02/15 May/05/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $899.99 0 May/02/15 May/05/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/02/15 May/05/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/02/15 May/05/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $178.99 0 May/02/15 May/05/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $41.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $178.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
powersell007 Used - $899.00 0 May/04/15 Dec/04/23
Description: AMAT 0010-46980 MNFD,H2O,ADJ,2 CH,VA SMC VNB601AS-N40A VALVE PF2W520 FLOW SWITCH
svcstore NEW - $126.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 May/04/15 May/07/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
yayais2012 NEW - $27.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 Used - $90.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $300.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $350.00 0 May/05/15 Jun/04/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
yayais2012 Used - $110.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $180.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $350.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $30.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $105.00 0 May/05/15 Jun/04/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
surplustek.inc Used - $225.00 0 May/05/15 May/08/15
Description: 2 AMAT Applied Materials RF Micro-Match network 8100 8300 0010-00799W 0090-01001
svcstore Scrap, for parts - $799.99 0 May/05/15 May/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
convertechs3 NEW - $219.99 0 May/05/15 May/12/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore Scrap, for parts - $899.99 0 May/05/15 May/08/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/05/15 May/08/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/05/15 May/08/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $178.99 0 May/05/15 May/08/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $178.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $126.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
athomemarket NEW - $58.99 0 May/07/15 Jun/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
svcstore Used - $449.99 0 May/07/15 May/10/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 May/08/15 May/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $899.99 0 May/08/15 May/11/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/08/15 May/11/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/08/15 May/11/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $178.99 0 May/08/15 May/11/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
yayais2012 Used - $110.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $65.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $35.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
svcstore NEW - $178.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $126.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
conquer_2011 NEW - $950.00 0 May/10/15 Jun/09/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
dvkelectronics Used - $375.00 0 May/10/15 Jun/09/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
yayais2012 NEW - $15.00 0 May/10/15 Jun/09/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $110.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $350.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 NEW - $125.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $95.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
svcstore NEW - $126.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 May/10/15 May/13/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
athomemarket NEW - $97.99 0 May/11/15 Jun/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
gigabitpartsolutions NEW - $2,750.00 0 May/11/15 Jun/04/16
Description: OEM Part Applied Materails (AMAT) 0010-24584 Viewport Lid Endura 2 PreClean Cham
svcstore Scrap, for parts - $799.99 0 May/11/15 May/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
surplustek.inc Used - $225.00 0 May/11/15 May/14/15
Description: 2 AMAT Applied Materials RF Micro-Match network 8100 8300 0010-00799W 0090-01001
conquer_2011 NEW - $324.99 0 May/12/15 Jun/11/15
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svcstore Scrap, for parts - $899.99 0 May/12/15 May/15/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/12/15 May/15/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/12/15 May/15/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $164.99 0 May/12/15 May/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
convertechs3 NEW - $199.99 0 May/13/15 May/20/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
athomemarket NEW - $88.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcstore NEW - $126.99 0 May/13/15 May/16/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 May/13/15 May/16/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
onlinesmt Used - $53.20 0 May/14/15 Jun/13/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
texassemicontech Scrap, for parts - $2,288.19 0 May/14/15 Aug/07/20
Description: Lam Research 810-002764-001 Rev D Assy PCB 24-18-15V Power Supply - Lot of 2
svcstore Scrap, for parts - $799.99 0 May/14/15 May/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $116.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $147.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $164.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $37.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
ultra_clean_warehouse NEW - $114.56 0 May/15/15 Dec/29/15
Description: LOT OF 2: FUJIKIN VALVE 316L-P - FPR-NSD-21-6.35UGC-PA#A - NOVELLUS 60-285020-00
svcstore Scrap, for parts - $899.99 0 May/15/15 May/18/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/15/15 May/18/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/15/15 May/18/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $164.99 0 May/15/15 May/18/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 May/16/15 May/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 May/16/15 May/19/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
athomemarket Used - $65.99 0 May/17/15 Jun/16/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
svcstore Scrap, for parts - $799.99 0 May/17/15 May/20/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $116.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $147.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $37.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcompucycle Used - $499.00 0 May/18/15 Jun/17/15
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
svcstore NEW - $164.99 0 May/18/15 May/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Scrap, for parts - $899.99 0 May/18/15 May/21/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/18/15 May/21/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/18/15 May/21/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $164.99 0 May/18/15 May/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
athomemarket NEW - $110.99 0 May/19/15 Jun/18/15
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket Used - $102.99 0 May/19/15 Jun/18/15
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
convertechs3 NEW - $174.99 0 May/20/15 May/27/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
athomemarket Used - $302.99 0 May/20/15 Jun/19/15
Description: Applied Materials 0190-34624 Endura 2 Endura2 Electronics Tower CCT Power Supply
surplustek.inc Used - $225.00 0 May/20/15 May/23/15
Description: 2 AMAT Applied Materials RF Micro-Match network 8100 8300 0010-00799W 0090-01001
svcstore NEW - $116.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $147.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $37.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
athomemarket NEW - $79.99 0 May/21/15 Jun/20/15
Description: NEW (Lot of 2) AMAT 0190-04979 Nomex Hose Assy Dome to Flow Switch 3/8, 1/2 TS
svcstore NEW - $164.99 0 May/21/15 May/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Scrap, for parts - $899.99 0 May/21/15 May/24/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/21/15 May/24/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/21/15 May/24/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $164.99 0 May/21/15 May/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $116.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 May/22/15 May/25/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 May/22/15 May/25/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $116.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $37.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $147.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $164.99 0 May/24/15 May/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Scrap, for parts - $899.99 0 May/24/15 May/27/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/24/15 May/27/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/24/15 May/27/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $164.99 0 May/24/15 May/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $116.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 May/25/15 May/28/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 May/25/15 May/28/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
systasemi Used - $5,000.00 0 May/26/15 Jun/25/15
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
svcstore NEW - $116.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $147.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
surplustek.inc Used - $195.00 0 May/27/15 Jun/01/15
Description: 2 AMAT Applied Materials RF Micro-Match network 8100 8300 0010-00799W 0090-01001
farmoninc NEW - $500.00 1 May/27/15 Mar/08/17
Description: 2 AMAT 0090-77054 SENSOR TECHNICS PRESSURE TRANSDUCER: POL PRESS 402307
convertechs3 NEW - $149.99 0 May/27/15 Jun/03/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore NEW - $164.99 0 May/27/15 May/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $37.99 0 May/27/15 May/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $899.99 0 May/27/15 May/30/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/27/15 May/30/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/27/15 May/30/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $164.99 0 May/27/15 May/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcompucycle NEW - $124.95 0 May/28/15 Jun/27/15
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
svcompucycle NEW - $249.00 0 May/28/15 Jun/27/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcstore NEW - $116.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 May/28/15 May/31/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 May/28/15 May/31/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 NEW - $95.00 0 May/29/15 Jun/28/15
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
athomemarket Used - $49.99 0 May/29/15 Jun/28/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
svcstore NEW - $116.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $147.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $164.99 0 May/30/15 Jun/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $37.99 0 May/30/15 Jun/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $899.99 0 May/30/15 Jun/02/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 May/30/15 Jun/02/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $164.99 0 May/30/15 Jun/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 May/30/15 Jun/02/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 Used - $900.00 0 May/31/15 Jun/30/15
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
svcstore NEW - $116.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 May/31/15 Jun/03/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 May/31/15 Jun/03/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket NEW - $39.99 0 Jun/01/15 Jun/26/15
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
svcstore NEW - $116.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $147.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
sparesllc09 NEW - $3,400.00 0 Jun/02/15 Feb/23/18
Description: 0240-75766 WITH QTY. 2 0100-00975/ STEPPER KIT FOR CVD/PVD/RPC CHAMBERS/ AMAT
surplustek.inc Used - $195.00 0 Jun/03/15 Jun/08/15
Description: 2 AMAT Applied Materials RF Micro-Match network 8100 8300 0010-00799W 0090-01001
svcstore NEW - $116.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $164.99 0 Jun/02/15 Jun/05/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $164.99 0 Jun/02/15 Jun/05/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $37.99 0 Jun/02/15 Jun/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
auctionrus NEW - $75.00 2 Jun/03/15 Dec/16/19
Description: 2 AMAT 0090-98241 G2 SERIES RESISTOR ASSY KANTHAL 72819 0007 402696
svcstore Used - $449.99 0 Jun/03/15 Jun/06/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $899.99 0 Jun/02/15 Jun/05/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/02/15 Jun/05/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/02/15 Jun/05/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Jun/03/15 Jun/06/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
convertechs3 NEW - $134.99 0 Jun/03/15 Jun/10/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
auctionrus NEW - $320.00 0 Jun/04/15 Aug/10/20
Description: 2 AMAT 0020-06315 QUARTZ 3.6" 8330 VIEW PORT WINDOW 402802
gigabitpartsolutions NEW - $82.50 0 Jun/04/15 Jun/28/16
Description: O-Ring Novellus 27-402813-00 O-RING PKG 2
svcstore NEW - $116.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $147.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $164.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $37.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $94.99 0 Jun/05/15 Jun/08/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Scrap, for parts - $899.99 0 Jun/05/15 Jun/08/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/05/15 Jun/08/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/05/15 Jun/08/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $164.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
yayais2012 NEW - $27.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 Used - $300.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $90.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $180.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $350.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $30.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $105.00 0 Jun/06/15 Jul/06/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
yayais2012 Used - $350.00 0 Jun/06/15 Jul/06/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
athomemarket NEW - $58.99 0 Jun/06/15 Jul/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $29.99 0 Jun/06/15 Jul/06/15
Description: (Lot of 2) NEW Parker 1" Female Hose Barb Fittings AMAT 3300-08885
svcstore NEW - $116.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Jun/06/15 Jun/09/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jun/06/15 Jun/09/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $116.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
sparesllc09 Used - $7,000.00 0 Jun/08/15 Aug/12/16
Description: 0190-25960 / TDK TAS300 LOAD PORTS SET OF 2 /AMAT
svcstore NEW - $147.99 0 Jun/08/15 Jun/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $164.99 0 Jun/08/15 Jun/11/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $37.99 0 Jun/08/15 Jun/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $94.99 0 Jun/08/15 Jun/11/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
yayais2012 NEW - $65.00 0 Jun/09/15 Jul/09/15
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $35.00 0 Jun/09/15 Jul/09/15
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 Used - $110.00 0 Jun/09/15 Jul/09/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
used1eqsales NEW - $1,006.15 1 Jun/09/15 Jun/11/15
Description: AMAT 9010-00825 Cooled Tube Assembly AMAT Quantum Leap 2 new surplus
conquer_2011 NEW - $950.00 0 Jun/09/15 Jul/09/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore Used - $449.99 0 Jun/09/15 Jun/12/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
dvkelectronics Used - $350.00 0 Jun/09/15 Jul/09/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
svcstore NEW - $116.99 0 Jun/09/15 Jun/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $799.99 0 Jun/09/15 Jun/12/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 NEW - $15.00 0 Jun/10/15 Jul/10/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $110.00 0 Jun/10/15 Jul/10/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $95.00 0 Jun/10/15 Jul/10/15
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
yayais2012 Used - $350.00 0 Jun/10/15 Jul/10/15
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 NEW - $125.00 0 Jun/10/15 Jul/10/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
athomemarket NEW - $97.99 0 Jun/10/15 Jul/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
convertechs3 NEW - $124.99 0 Jun/10/15 Jun/17/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore NEW - $164.99 0 Jun/10/15 Jun/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Jun/10/15 Jun/13/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/10/15 Jun/13/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/10/15 Jun/13/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
conquer_2011 NEW - $324.99 0 Jun/11/15 Jul/11/15
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svcstore Used - $94.99 0 Jun/11/15 Jun/14/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcompucycle Used - $299.00 0 Jun/12/15 Jul/12/15
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
athomemarket NEW - $88.99 0 Jun/12/15 Jul/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcstore NEW - $147.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $116.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $37.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $449.99 0 Jun/12/15 Jun/15/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $164.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Scrap, for parts - $799.99 0 Jun/12/15 Jun/15/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $116.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $164.99 0 Jun/13/15 Jun/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Jun/13/15 Jun/16/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/13/15 Jun/16/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/13/15 Jun/16/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $94.99 0 Jun/14/15 Jun/17/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $147.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $449.99 0 Jun/15/15 Jun/18/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $164.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $37.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $116.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $799.99 0 Jun/15/15 Jun/18/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
surplustek.inc Used - $195.00 0 Jun/16/15 Jul/16/15
Description: 2 AMAT Applied Materials RF Micro-Match network 8100 8300 0010-00799W 0090-01001
athomemarket Used - $59.39 0 Jun/16/15 Jul/16/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
svcstore NEW - $116.99 0 Jun/16/15 Jun/19/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $164.99 0 Jun/16/15 Jun/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Jun/16/15 Jun/19/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/16/15 Jun/19/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/16/15 Jun/19/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
convertechs3 NEW - $114.99 0 Jun/17/15 Jun/24/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
auctionrus NEW - $70.00 0 Jun/17/15 Jan/20/16
Description: 2 Novellus 22-028382-00 Lip Seal, Flange, 406161
svcstore Used - $94.99 0 Jun/17/15 Jun/20/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
onlinesmt Used - $53.20 0 Jun/18/15 Jul/18/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
svcompucycle Used - $499.00 0 Jun/18/15 Jul/18/15
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
athomemarket NEW - $100.99 0 Jun/18/15 Nov/15/15
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket Used - $49.99 1 Jun/18/15 Jun/09/16
Description: Novellus 02-266872-00 SESIOC 2 IXT WTS HV Digital Dynamics SOIC 2
svcstore NEW - $147.99 0 Jun/18/15 Jun/21/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $164.99 0 Jun/18/15 Jun/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $37.99 0 Jun/18/15 Jun/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
athomemarket Used - $302.99 1 Jun/19/15 Jul/30/15
Description: Applied Materials 0190-34624 Endura 2 Endura2 Electronics Tower CCT Power Supply
svcstore NEW - $116.99 0 Jun/19/15 Jun/22/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $164.99 0 Jun/19/15 Jun/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Jun/19/15 Jun/22/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/19/15 Jun/22/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/19/15 Jun/22/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket Used - $79.99 0 Jun/20/15 Jun/09/17
Description: NEW (Lot of 2) AMAT 0190-04979 Nomex Hose Assy Dome to Flow Switch 3/8, 1/2 TS
svcstore Used - $94.99 0 Jun/20/15 Jun/23/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $37.99 0 Jun/21/15 Jun/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $147.99 0 Jun/21/15 Jun/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $164.99 0 Jun/21/15 Jun/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $116.99 0 Jun/22/15 Jun/24/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $164.99 0 Jun/22/15 Jun/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Jun/22/15 Jun/24/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/22/15 Jun/25/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/22/15 Jun/24/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
gigabitpartsolutions NEW - $38.50 0 Jun/23/15 Jun/17/16
Description: O-Ring LAM RESEARCH (LAM) 734-092561-283 16.95 ID X .139 C/S, FSI PKG 2
svcstore NEW - $107.99 0 Jun/23/15 Jun/26/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $94.99 0 Jun/23/15 Jun/26/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $449.99 0 Jun/23/15 Jun/26/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jun/23/15 Jun/26/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
vipermn Used - $150.00 0 Jun/24/15 Jul/13/15
Description: AMAT APPLIED MATERIALS CDN115, 0660-01851 D.I.P. DEVICENET I/O BLOCK - LOT OF 2
gigabitpartsolutions NEW - $7.70 0 Jun/24/15 Jun/18/16
Description: OEM Part Applied Materails (AMAT) 0020-22313 SHIELD TERM BLOCK 2 POS
convertechs3 NEW - $99.99 0 Jun/24/15 Jul/01/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
nps NEW - $3.35 1 Jun/25/15 Jul/02/15
Description: Lot of 2 Applied Materials 0040-84390 300mm Slit Valve Door
svcstore NEW - $164.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $164.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $116.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $37.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $147.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
auctionrus NEW - $25.00 1 Jun/25/15 May/01/20
Description: 2 AMAT 3300-01958 FTGTBG Connectore, 3/8T, 9/16-18 SST, 406363
svcstore Scrap, for parts - $899.99 0 Jun/24/15 Jun/27/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/24/15 Jun/27/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/25/15 Jun/28/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
systasemi Used - $5,000.00 0 Jun/26/15 Jul/26/15
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
nps NEW - $13.05 1 Jun/26/15 Jul/03/15
Description: Lot of 2 Applied Materials 0040-84390 300mm Slit Valve Door
athomemarket NEW - $39.99 0 Jun/26/15 Jun/14/17
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
svcstore NEW - $107.99 0 Jun/26/15 Jun/29/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $799.99 0 Jun/26/15 Jun/29/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $94.99 0 Jun/26/15 Jun/29/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $449.99 0 Jun/26/15 Jun/29/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $147.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $37.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $899.99 0 Jun/27/15 Jun/30/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/27/15 Jun/30/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $116.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $164.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $164.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
athomemarket Used - $49.99 0 Jun/28/15 Jun/16/17
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
svcstore Scrap, for parts - $899.99 0 Jun/28/15 Jul/01/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 NEW - $95.00 0 Jun/29/15 Jul/29/15
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
svcompucycle NEW - $124.95 0 Jun/29/15 Jul/29/15
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
svcstore NEW - $107.99 0 Jun/29/15 Jul/02/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $94.99 0 Jun/29/15 Jul/02/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $449.99 0 Jun/29/15 Jul/02/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jun/29/15 Jul/02/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $116.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $37.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $147.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Jun/30/15 Jul/03/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jun/30/15 Jul/03/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $164.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $164.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcompucycle NEW - $249.00 0 Jul/01/15 Jul/31/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
convertechs3 NEW - $94.99 0 Jul/01/15 Jul/08/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore Scrap, for parts - $899.99 0 Jul/01/15 Jul/04/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 Used - $900.00 0 Jul/02/15 Aug/01/15
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
nps NEW - $15.52 1 Jul/02/15 Jul/09/15
Description: Lot of 2 Applied Materials 0040-84390 300mm Slit Valve Door
svcstore NEW - $107.99 0 Jul/02/15 Jul/05/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Jul/02/15 Jul/05/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jul/02/15 Jul/05/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $164.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $116.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $164.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $37.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $147.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Jul/03/15 Jul/06/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/03/15 Jul/06/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $94.99 0 Jul/03/15 Jul/06/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Scrap, for parts - $899.99 0 Jul/04/15 Jul/07/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $107.99 0 Jul/05/15 Jul/08/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Jul/05/15 Jul/08/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jul/05/15 Jul/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket NEW - $58.99 0 Jul/06/15 Jun/24/17
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
svcstore NEW - $164.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $116.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $37.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $147.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $94.99 0 Jul/06/15 Jul/09/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
used1eqsales NEW - $127.15 0 Jul/07/15 Nov/04/15
Description: Watlow A004932 MFC Heater Insulatn Novellus 04-403070-00 C3 Vector Lot of 2 new
auctionrus Used - $750.00 1 Jul/08/15 Dec/21/15
Description: 2 AMAT 0190-76098 Assembly Manifold, System, P5000 MKII-XT, 406583
usedeqsales Used - $157.15 1 Jul/08/15 May/09/19
Description: Novellus Systems 71-259666-00 Transfer Plate Clearance Gauge Lot of 2 New
convertechs3 NEW - $89.99 0 Jul/08/15 Jul/15/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore NEW - $107.99 0 Jul/08/15 Jul/11/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Jul/08/15 Jul/11/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jul/08/15 Jul/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 Used - $110.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $110.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $27.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 Used - $180.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $65.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $30.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $35.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 Used - $90.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $300.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $350.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $105.00 0 Jul/09/15 Aug/08/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
yayais2012 Used - $350.00 0 Jul/09/15 Aug/08/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
conquer_2011 NEW - $460.00 0 Jul/09/15 Aug/08/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore Scrap, for parts - $899.99 0 Jul/09/15 Jul/12/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/09/15 Jul/12/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/09/15 Jul/12/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $94.99 0 Jul/09/15 Jul/12/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
dvkelectronics Used - $350.00 0 Jul/09/15 Aug/08/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
svcstore NEW - $151.99 0 Jul/09/15 Jul/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
athomemarket NEW - $78.39 1 Jul/10/15 Mar/10/16
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
svcstore NEW - $34.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $151.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $107.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $135.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
conquer_2011 NEW - $124.99 0 Jul/11/15 Aug/10/15
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
yayais2012 Used - $350.00 0 Jul/11/15 Aug/10/15
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 NEW - $95.00 0 Jul/11/15 Aug/10/15
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
yayais2012 Used - $110.00 0 Jul/11/15 Aug/10/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $125.00 0 Jul/11/15 Aug/10/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
svcstore NEW - $107.99 0 Jul/11/15 Jul/14/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Jul/11/15 Jul/14/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jul/11/15 Jul/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket NEW - $88.99 0 Jul/12/15 Jun/30/17
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcstore Scrap, for parts - $899.99 0 Jul/12/15 Jul/15/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/12/15 Jul/15/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/12/15 Jul/15/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $94.99 0 Jul/12/15 Jul/15/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $151.99 0 Jul/12/15 Jul/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
usedeqsales NEW - $207.15 0 Jul/13/15 Nov/10/15
Description: AMAT Applied Materials 3300-05006 Helium Line FTG Hose Lot of 2 New
svcompucycle Used - $299.00 0 Jul/13/15 Aug/12/15
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
svcstore NEW - $151.99 0 Jul/13/15 Jul/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $34.99 0 Jul/13/15 Jul/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $107.99 0 Jul/13/15 Jul/16/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
usedeqsales Used - $207.15 5 Jul/14/15 Apr/05/16
Description: Parker Veriflo 3870-01307 Manual Valve 944MSFSFF AMAT Lot of 2 Used
svcstore NEW - $135.99 0 Jul/14/15 Jul/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $107.99 0 Jul/14/15 Jul/17/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Jul/14/15 Jul/17/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jul/14/15 Jul/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $899.99 0 Jul/15/15 Jul/18/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/15/15 Jul/18/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/15/15 Jul/18/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
convertechs3 NEW - $84.99 0 Jul/15/15 Jul/22/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore Used - $94.99 0 Jul/15/15 Jul/18/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $151.99 0 Jul/15/15 Jul/18/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
catalystparts Used - $100.00 0 Jul/16/15 May/26/17
Description: Applied Materials 0020-13909 , 119423-437 Shipping Window (2 parts)
athomemarket Used - $50.39 0 Jul/16/15 Nov/13/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
svcstore NEW - $34.99 0 Jul/16/15 Jul/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $151.99 0 Jul/16/15 Jul/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $107.99 0 Jul/16/15 Jul/19/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $135.99 0 Jul/17/15 Jul/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $107.99 0 Jul/17/15 Jul/20/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $151.99 0 Jul/18/15 Jul/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Used - $94.99 0 Jul/18/15 Jul/21/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Scrap, for parts - $899.99 0 Jul/18/15 Jul/21/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/18/15 Jul/21/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/18/15 Jul/21/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $449.99 0 Jul/18/15 Jul/21/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jul/18/15 Jul/21/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
y.t.r2011 Used - $260.00 1 Jul/19/15 Dec/18/15
Description: AMAT Applied Materials 0100-20454 Controller Backplane with CDN396 , 2 x CDN391R
svcstore NEW - $34.99 0 Jul/19/15 Jul/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $151.99 0 Jul/19/15 Jul/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $107.99 0 Jul/19/15 Jul/22/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
onlinesmt Used - $53.20 0 Jul/20/15 Aug/19/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
svcstore NEW - $135.99 0 Jul/20/15 Jul/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Jul/21/15 Jul/24/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/21/15 Jul/24/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $94.99 0 Jul/21/15 Jul/24/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Scrap, for parts - $899.99 0 Jul/21/15 Jul/24/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $151.99 0 Jul/21/15 Jul/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
usedeqsales NEW - $127.15 1 Jul/22/15 Jun/10/16
Description: AMAT Applied Materials 0015-01573 300mm PC XT Spring Lot of 2 New
svcstore NEW - $151.99 0 Jul/22/15 Jul/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $34.99 0 Jul/22/15 Jul/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $107.99 0 Jul/22/15 Jul/25/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
convertechs3 NEW - $79.99 0 Jul/22/15 Jul/29/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcompucycle Used - $299.00 0 Jul/23/15 Aug/22/15
Description: Lot 2 AMAT inficon BPG-400 Balzers 353-507 Pirani Gauge 0190-26769 / Warranty
svcstore NEW - $107.99 0 Jul/23/15 Jul/26/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Jul/23/15 Jul/26/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jul/23/15 Jul/26/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket NEW - $49.99 1 Jul/23/15 Jul/30/15
Description: NEW Lot of 2 Applied Materials 3400-01112 NW-25 Stainless Steel Flex Hose 1x18"
svcstore NEW - $135.99 0 Jul/23/15 Jul/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Jul/24/15 Jul/27/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/24/15 Jul/27/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/24/15 Jul/27/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $94.99 0 Jul/24/15 Jul/27/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $151.99 0 Jul/24/15 Jul/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $34.99 0 Jul/25/15 Jul/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $151.99 0 Jul/25/15 Jul/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $107.99 0 Jul/25/15 Jul/28/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $135.99 0 Jul/26/15 Jul/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
cjcollective2012 NEW - $100.00 0 Jul/27/15 Nov/24/15
Description: APPLIED MATERIALS 0150-18944 Cable EV MANIFOLD NO 2 GAS PANEL
usedeqsales Used - $107.15 0 Jul/27/15 Nov/24/15
Description: Fujikin 038838 Pneumatic Diaphragm Valve AMAT 3870-07767 Lot of 2 Used
gigabitpartsolutions NEW - $27.50 0 Jul/27/15 Nov/24/15
Description: OEM Part Applied Materails (AMAT) 0020-89545 BRAKET SHELF D 5.3 FI PKG 2
gigabitpartsolutions NEW - $71.50 0 Jul/27/15 Mar/24/16
Description: OEM Part Applied Materails (AMAT) 0270-18001 PRESS, LOCATING PIN PKG 2
usedeqsales Used - $157.15 1 Jul/27/15 Aug/27/16
Description: MKS Instruments 852B61PCH2GD Baratron Transducer AMAT 1350-01176 Lot of 2 Used
usedeqsales Used - $157.15 2 Jul/27/15 Nov/05/15
Description: MKS Instruments 852B-13384 Baratron Transducer AMAT 1350-01200 Lot of 2 Used
svcstore Scrap, for parts - $899.99 0 Jul/27/15 Jul/30/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/27/15 Jul/30/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/27/15 Jul/30/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Jul/27/15 Jul/30/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $98.99 0 Jul/27/15 Jul/30/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $94.99 0 Jul/27/15 Jul/30/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $449.99 0 Jul/27/15 Jul/30/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $151.99 0 Jul/27/15 Jul/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
gigabitpartsolutions NEW - $38.50 1 Jul/28/15 Jan/15/16
Description: Thermo Couple (TC) LAM RESEARCH (LAM) 853-034112-002 J Type Anafaze PKG 2
svcstore NEW - $151.99 0 Jul/28/15 Jul/31/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $34.99 0 Jul/28/15 Jul/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $107.99 0 Jul/28/15 Jul/31/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
powersell007 Used - $259.00 1 Jul/29/15 Jul/30/15
Description: APPLIED MATERIALS 0620-03165 RF COAXIAL CABLE 2 MHz MATCH AMAT 24m/ 79ft
auctionrus Used - $1,700.00 0 Jul/29/15 Nov/09/16
Description: 2 AMAT 0190-00720 SMC THERMAL FLUID OUTLET & RETURN 7499-12 407336
svcompucycle NEW - $124.95 0 Jul/29/15 Aug/28/15
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
svcstore NEW - $135.99 0 Jul/29/15 Aug/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
convertechs3 NEW - $79.99 1 Jul/29/15 Aug/01/15
Description: Aera / AE TC FC D980C 2 SLM /NH3 Novellus 22-185670-00 MFC Mass Flow Controller
svcstore Scrap, for parts - $899.99 0 Jul/30/15 Aug/02/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/30/15 Aug/02/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Jul/30/15 Aug/02/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Jul/30/15 Aug/02/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $151.99 0 Jul/30/15 Aug/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $98.99 0 Jul/30/15 Aug/02/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Jul/30/15 Aug/02/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
yayais2012 NEW - $95.00 0 Jul/31/15 Aug/30/15
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
svcstore Used - $86.99 0 Jul/31/15 Aug/03/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $34.99 0 Jul/31/15 Aug/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $151.99 0 Jul/31/15 Aug/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $107.99 0 Jul/31/15 Aug/03/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $135.99 0 Aug/01/15 Aug/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcompucycle NEW - $249.00 0 Aug/02/15 Sep/01/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
systasemi Used - $5,000.00 0 Aug/02/15 Sep/01/15
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
svcstore Scrap, for parts - $899.99 0 Aug/02/15 Aug/05/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Aug/02/15 Aug/05/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Aug/02/15 Aug/05/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Aug/02/15 Aug/05/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $98.99 0 Aug/02/15 Aug/05/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Aug/02/15 Aug/05/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $86.99 0 Aug/03/15 Aug/06/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $151.99 0 Aug/03/15 Aug/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Used - $199.99 0 Aug/03/15 Aug/06/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore NEW - $34.99 0 Aug/03/15 Aug/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $151.99 0 Aug/03/15 Aug/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
microwavetech Used - $44.99 0 Aug/03/15 Dec/01/15
Description: Lam Research Large Heatsink 718-800102-001 7 X 5.5 X 2
svcstore NEW - $107.99 0 Aug/03/15 Aug/06/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Used - $349.99 0 Aug/03/15 Aug/06/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore NEW - $135.99 0 Aug/04/15 Aug/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcompucycle Used - $499.00 0 Aug/04/15 Sep/03/15
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
svcstore Scrap, for parts - $799.99 0 Aug/05/15 Aug/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $98.99 0 Aug/05/15 Aug/08/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Aug/05/15 Aug/08/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
surplustek.inc Used - $195.00 1 Aug/06/15 Aug/13/15
Description: 2 AMAT Applied Materials RF Micro-Match network 8100 8300 0010-00799W 0090-01001
svcstore Used - $86.99 0 Aug/06/15 Aug/09/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $199.99 0 Aug/06/15 Aug/09/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $349.99 0 Aug/06/15 Aug/09/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
yayais2012 Used - $900.00 0 Aug/07/15 Sep/06/15
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
svcstore NEW - $151.99 0 Aug/07/15 Aug/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $107.99 3 Aug/07/15 Aug/10/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Scrap, for parts - $899.99 0 Aug/07/15 Aug/10/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Aug/07/15 Aug/10/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $151.99 0 Aug/07/15 Aug/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $34.99 0 Aug/07/15 Aug/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $899.99 0 Aug/07/15 Aug/10/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
conquer_2011 NEW - $460.00 0 Aug/08/15 Sep/07/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
dvkelectronics Used - $350.00 0 Aug/08/15 Sep/07/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
svcstore Scrap, for parts - $799.99 0 Aug/08/15 Aug/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $98.99 0 Aug/08/15 Aug/11/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $86.99 0 Aug/09/15 Aug/12/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $449.99 0 Aug/09/15 Aug/12/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $199.99 0 Aug/09/15 Aug/12/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $349.99 0 Aug/09/15 Aug/12/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
conquer_2011 NEW - $124.99 0 Aug/10/15 Sep/09/15
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svcstore NEW - $151.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Aug/10/15 Aug/13/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $34.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $121.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Aug/10/15 Aug/13/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Aug/10/15 Aug/13/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $151.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
yayais2012 NEW - $65.00 0 Aug/11/15 Sep/10/15
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $35.00 0 Aug/11/15 Sep/10/15
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $27.00 0 Aug/11/15 Sep/10/15
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
svcstore Scrap, for parts - $799.99 0 Aug/11/15 Aug/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 NEW - $125.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $110.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $110.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $95.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
yayais2012 Used - $90.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $105.00 0 Aug/12/15 Sep/11/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
gigabitpartsolutions NEW - $247.50 0 Aug/12/15 Jun/07/16
Description: OEM Part Applied Materails (AMAT) 3010-01021 ABRSV PAD WND PKG 2
svcompucycle Used - $299.00 0 Aug/12/15 Sep/11/15
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
svcstore NEW - $98.99 0 Aug/12/15 Aug/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
yayais2012 Used - $180.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
svcstore Used - $449.99 0 Aug/12/15 Aug/15/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $86.99 0 Aug/12/15 Aug/15/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $199.99 0 Aug/12/15 Aug/15/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $349.99 0 Aug/12/15 Aug/15/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore NEW - $151.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $121.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Aug/13/15 Aug/16/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Aug/13/15 Aug/16/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $34.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $899.99 0 Aug/13/15 Aug/16/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $151.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
kellansurplus Used - $45.00 1 Aug/14/15 Oct/13/16
Description: (2) Applied Materials 3320-01027 Copper Gasket 2.75" CFF OFHC CU
yayais2012 Used - $350.00 0 Aug/14/15 Sep/13/15
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 NEW - $350.00 0 Aug/14/15 Sep/13/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $300.00 0 Aug/14/15 Sep/13/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $350.00 0 Aug/14/15 Sep/13/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
yayais2012 NEW - $30.00 0 Aug/14/15 Sep/13/15
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
svcstore Scrap, for parts - $799.99 0 Aug/14/15 Aug/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $98.99 0 Aug/15/15 Aug/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Aug/15/15 Aug/18/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $86.99 0 Aug/15/15 Aug/18/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $199.99 0 Aug/15/15 Aug/18/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $349.99 0 Aug/16/15 Aug/19/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore NEW - $151.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $121.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Aug/16/15 Aug/19/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Aug/16/15 Aug/19/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $151.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $34.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $899.99 0 Aug/16/15 Aug/19/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
sparesllc09 NEW - $3,900.00 0 Aug/17/15 Sep/11/15
Description: 0040-02261 / BLADE SHUTTER 8" CU LT BESC 2 PIN / APPLIED MATERIALS
svcstore Scrap, for parts - $799.99 0 Aug/17/15 Aug/20/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $98.99 0 Aug/18/15 Aug/20/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $449.99 0 Aug/18/15 Aug/20/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $199.99 0 Aug/18/15 Aug/21/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $86.99 0 Aug/18/15 Aug/20/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
surplusssam NEW - $169.99 0 Aug/19/15 Aug/29/15
Description: LOT OF 2 AMAT 3780-02334 SUSPA C16-19963 GAS SPRING
svcstore NEW - $151.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Used - $349.99 0 Aug/19/15 Aug/22/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Scrap, for parts - $899.99 0 Aug/19/15 Aug/22/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $34.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $121.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Aug/19/15 Aug/22/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Aug/19/15 Aug/22/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $151.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $98.99 0 Aug/20/15 Aug/30/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $86.99 0 Aug/20/15 Aug/27/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Scrap, for parts - $799.99 0 Aug/20/15 Aug/27/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Aug/20/15 Aug/27/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
onlinesmt Used - $53.20 0 Aug/21/15 Sep/20/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
svcstore Used - $199.99 0 Aug/21/15 Aug/26/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore NEW - $151.99 0 Aug/22/15 Aug/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $121.99 0 Aug/22/15 Aug/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $349.99 0 Aug/22/15 Aug/27/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Scrap, for parts - $899.99 0 Aug/22/15 Aug/27/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Aug/22/15 Aug/27/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $34.99 0 Aug/22/15 Aug/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $899.99 0 Aug/22/15 Aug/27/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcompucycle Used - $299.00 0 Aug/23/15 Sep/22/15
Description: Lot 2 AMAT inficon BPG-400 Balzers 353-507 Pirani Gauge 0190-26769 / Warranty
svcstore NEW - $151.99 0 Aug/23/15 Aug/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $107.99 0 Aug/26/15 Aug/31/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Used - $199.99 0 Aug/26/15 Aug/31/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
gigabitpartsolutions NEW - $24.20 0 Aug/27/15 Nov/25/15
Description: O-Ring GREEN TWEED 9122-SSE38 LAM RESEARCH (LAM) 734-007524-122 Chemraz PKG 2 1
gigabitpartsolutions NEW - $17.60 0 Aug/27/15 Mar/25/16
Description: O-Ring GREEN TWEED 921-SSE38 LAM RESEARCH (LAM) 734-007524-121 Chemraz PKG 2 1.
svcstore Used - $86.99 0 Aug/27/15 Sep/01/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $449.99 0 Aug/27/15 Sep/01/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Aug/27/15 Sep/01/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $151.99 0 Aug/27/15 Sep/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Used - $349.99 0 Aug/27/15 Sep/01/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Scrap, for parts - $899.99 0 Aug/27/15 Sep/01/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $34.99 0 Aug/27/15 Sep/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $121.99 0 Aug/27/15 Sep/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Aug/27/15 Sep/01/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Aug/27/15 Sep/01/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcompucycle NEW - $124.95 0 Aug/28/15 Sep/27/15
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
svcstore NEW - $151.99 0 Aug/28/15 Sep/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $98.99 0 Aug/30/15 Sep/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $107.99 0 Aug/31/15 Sep/05/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Used - $199.99 0 Aug/31/15 Sep/05/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $86.99 0 Sep/01/15 Sep/06/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $151.99 0 Sep/01/15 Sep/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Used - $349.99 0 Sep/01/15 Sep/06/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Scrap, for parts - $899.99 0 Sep/01/15 Sep/06/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $34.99 0 Sep/01/15 Sep/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $121.99 0 Sep/01/15 Sep/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $899.99 0 Sep/01/15 Sep/06/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Sep/01/15 Sep/06/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $151.99 0 Sep/02/15 Sep/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Scrap, for parts - $799.99 0 Sep/02/15 Sep/07/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Sep/02/15 Sep/07/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
yayais2012 NEW - $95.00 0 Sep/03/15 Oct/03/15
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
systasemi Used - $5,000.00 0 Sep/03/15 Oct/03/15
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
surplusssam NEW - $169.99 0 Sep/04/15 Sep/14/15
Description: LOT OF 2 AMAT 3780-02334 SUSPA C16-19963 GAS SPRING
svcstore NEW - $107.99 0 Sep/05/15 Sep/10/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Used - $199.99 0 Sep/05/15 Sep/10/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
yayais2012 Used - $900.00 0 Sep/06/15 Oct/06/15
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
svcstore NEW - $151.99 0 Sep/06/15 Sep/11/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $121.99 0 Sep/06/15 Sep/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $349.99 0 Sep/06/15 Sep/11/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Scrap, for parts - $899.99 0 Sep/06/15 Sep/11/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Sep/06/15 Sep/11/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Sep/06/15 Sep/11/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
conquer_2011 NEW - $460.00 0 Sep/07/15 Oct/07/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore NEW - $151.99 0 Sep/07/15 Sep/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $34.99 0 Sep/07/15 Sep/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $799.99 0 Sep/07/15 Sep/12/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Sep/07/15 Sep/12/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
dvkelectronics Used - $350.00 0 Sep/07/15 Oct/07/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
svcstore NEW - $98.99 0 Sep/08/15 Sep/13/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $86.99 0 Sep/08/15 Sep/13/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
conquer_2011 NEW - $124.99 0 Sep/09/15 Oct/09/15
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svcompucycle Used - $499.00 0 Sep/09/15 Oct/09/15
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
gigabitpartsolutions NEW - $49.50 0 Sep/09/15 Jun/05/16
Description: O-Ring Applied Materails (AMAT) 3700-01877 PKG 2 ID 9.225 CSD .210 VITON 75 DUR
svcstore NEW - $107.99 0 Sep/10/15 Sep/15/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Used - $159.99 0 Sep/10/15 Sep/15/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
yayais2012 NEW - $65.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $35.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $27.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
svcstore NEW - $97.59 0 Sep/11/15 Sep/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
yayais2012 Used - $180.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $125.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $110.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $95.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
yayais2012 Used - $90.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $110.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
svcstore Used - $349.99 0 Sep/11/15 Sep/16/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
yayais2012 NEW - $105.00 0 Sep/11/15 Oct/11/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
svcompucycle Used - $299.00 0 Sep/12/15 Oct/12/15
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
svcstore Scrap, for parts - $699.99 0 Sep/12/15 Sep/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Sep/12/15 Sep/17/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $151.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $151.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $86.99 0 Sep/14/15 Sep/19/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $98.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $34.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $899.99 0 Sep/14/15 Sep/19/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Sep/14/15 Sep/19/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Sep/14/15 Sep/19/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 Used - $300.00 0 Sep/14/15 Oct/14/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $350.00 0 Sep/14/15 Oct/14/15
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 NEW - $350.00 0 Sep/14/15 Oct/14/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $30.00 0 Sep/14/15 Oct/14/15
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 Used - $350.00 0 Sep/14/15 Oct/14/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore NEW - $107.99 0 Sep/15/15 Sep/20/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Used - $199.99 0 Sep/16/15 Sep/21/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
surplusssam Used - $174.99 1 Sep/16/15 Apr/24/17
Description: LOT OF 2 AMAT 3780-02334 SUSPA C16-19963 GAS SPRING
gigabitpartsolutions NEW - $27.50 0 Sep/16/15 Nov/15/15
Description: Gasket Novellus 19-149275-00 Gasket PKG 2
svcstore Used - $349.99 0 Sep/16/15 Sep/21/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
gigabitpartsolutions NEW - $28.60 1 Sep/17/15 May/22/16
Description: OEM Part LAM RESEARCH (LAM) 839-039317-003 PKG 2 ASSY, RTNR, SPR TL, 300MM, FLEX
svcstore Scrap, for parts - $699.99 0 Sep/17/15 Sep/22/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $108.99 0 Sep/17/15 Sep/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $449.99 0 Sep/17/15 Sep/22/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $151.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $151.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $86.99 0 Sep/19/15 Sep/24/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $34.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $899.99 0 Sep/19/15 Sep/24/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Sep/19/15 Sep/24/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $98.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $899.99 0 Sep/19/15 Sep/24/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $349.99 0 Sep/21/15 Sep/26/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
exper-tech NEW - $90.00 0 Sep/21/15 Oct/30/18
Description: Applied Materials 3300-02936 NW50 Elbow, Lot of 2
svcstore Used - $199.99 0 Sep/21/15 Sep/26/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore NEW - $107.99 0 Sep/20/15 Sep/25/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
onlinesmt Used - $29.00 0 Sep/20/15 Oct/20/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
svcompucycle Used - $299.00 0 Sep/22/15 Oct/22/15
Description: Lot 2 AMAT inficon BPG-400 Balzers 353-507 Pirani Gauge 0190-26769 / Warranty
svcstore Scrap, for parts - $699.99 0 Sep/22/15 Sep/27/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $108.99 0 Sep/22/15 Sep/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $449.99 0 Sep/22/15 Sep/27/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $151.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $151.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $86.99 0 Sep/24/15 Sep/29/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
used1eqsales Used - $3,509.15 0 Sep/24/15 May/26/17
Description: AMAT 0010-14528 Magnetic Source 2 CPI-VMO Endura 404663 300mm used working
svcstore Scrap, for parts - $899.99 0 Sep/24/15 Sep/29/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $98.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $34.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $899.99 0 Sep/24/15 Sep/29/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Sep/24/15 Sep/29/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
usedparts-pk Used - $419.99 0 Sep/25/15 Oct/25/16
Description: MOTOROLA MVME2604 712 I/O 605-099141-003 FLASH VER 0.2 FROM LAM 2300 ETCHER (#2)
svcstore NEW - $107.99 0 Sep/25/15 Sep/30/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Used - $199.99 0 Sep/26/15 Oct/01/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $199.99 0 Sep/26/15 Oct/01/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Used - $349.99 0 Sep/26/15 Oct/01/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Scrap, for parts - $699.99 0 Sep/27/15 Oct/02/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $108.99 0 Sep/27/15 Oct/02/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $449.99 0 Sep/27/15 Oct/02/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcompucycle NEW - $124.95 0 Sep/28/15 Oct/28/15
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
ecomicron NEW - $1,700.00 0 Sep/28/15 Mar/28/16
Description: 0200-36727 SUSCEPTOR, R3 ROTATION, NO CENTER, EPI 2
svcstore NEW - $151.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $151.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $86.99 0 Sep/29/15 Oct/04/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $98.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $34.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $899.99 0 Sep/29/15 Oct/04/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Sep/29/15 Oct/04/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Sep/29/15 Oct/04/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $107.99 0 Sep/30/15 Oct/05/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Used - $199.99 0 Oct/01/15 Oct/06/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $199.99 0 Oct/01/15 Oct/06/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
usedparts-pk Used - $359.99 1 Oct/02/15 Feb/22/21
Description: LAM MOTHERBOARD NODE 2 PM ADP/DFC ASSY : 810-802902-003 REV.A
svcstore Used - $349.99 0 Oct/02/15 Oct/07/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Scrap, for parts - $699.99 0 Oct/02/15 Oct/07/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $108.99 0 Oct/02/15 Oct/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $449.99 0 Oct/02/15 Oct/07/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
yayais2012 NEW - $95.00 0 Oct/03/15 Nov/02/15
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
svcstore NEW - $151.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $86.99 0 Oct/04/15 Oct/09/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $151.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Oct/04/15 Oct/09/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $98.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $34.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $899.99 0 Oct/04/15 Oct/09/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Oct/04/15 Oct/09/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
systasemi Used - $5,000.00 0 Oct/04/15 Nov/03/15
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
svcstore Used - $199.99 0 Oct/06/15 Oct/11/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $199.99 0 Oct/06/15 Oct/11/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
yayais2012 Used - $900.00 0 Oct/06/15 Nov/05/15
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
auctionrus NEW - $150.00 0 Oct/07/15 Dec/06/15
Description: 2 AMAT 0020-34763 Insulator, Thermal, Gasket, POS A-D R2, SSGD, 410212
auctionrus Used - $100.00 1 Oct/07/15 Jul/13/23
Description: 2 AMAT 0020-34763 Insulator, Thermal, Gasket, POS A-D R2, SSGD, 410211
conquer_2011 NEW - $460.00 0 Oct/07/15 Nov/06/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
dvkelectronics Used - $325.00 0 Oct/07/15 Nov/06/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
svcstore Used - $349.99 0 Oct/07/15 Oct/12/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Scrap, for parts - $699.99 0 Oct/07/15 Oct/12/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $108.99 0 Oct/07/15 Oct/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $449.99 0 Oct/07/15 Oct/12/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
conquer_2011 NEW - $124.99 0 Oct/09/15 Nov/07/15
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svcstore NEW - $86.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $34.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $151.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $151.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $98.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $86.99 0 Oct/09/15 Oct/14/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Scrap, for parts - $899.99 0 Oct/09/15 Oct/14/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Oct/09/15 Oct/14/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Oct/09/15 Oct/14/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
frostliquidation NEW - $100.00 0 Oct/09/15 Nov/08/15
Description: AMAT KAYDON 0190-35194 4 PT. RADIAL BALL BEARING - LOT OF 2
yayais2012 NEW - $65.00 0 Oct/11/15 Nov/10/15
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $27.00 0 Oct/11/15 Nov/10/15
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $35.00 0 Oct/11/15 Nov/10/15
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
svcstore Used - $199.99 0 Oct/11/15 Oct/16/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $199.99 0 Oct/11/15 Oct/16/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
yayais2012 Used - $180.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $125.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $90.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $105.00 0 Oct/12/15 Nov/11/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
yayais2012 Used - $110.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $110.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $95.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
svcompucycle Used - $299.00 0 Oct/12/15 Nov/11/15
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
svcstore Used - $349.99 0 Oct/12/15 Oct/17/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Scrap, for parts - $699.99 0 Oct/12/15 Oct/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $108.99 0 Oct/12/15 Oct/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcompucycle Used - $499.00 0 Oct/12/15 Nov/11/15
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
svcstore Used - $449.99 0 Oct/13/15 Oct/18/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $86.99 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $34.99 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $113.99 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $113.99 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $86.99 0 Oct/14/15 Oct/19/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Scrap, for parts - $674.99 0 Oct/14/15 Oct/19/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $674.99 0 Oct/14/15 Oct/19/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $674.99 0 Oct/14/15 Oct/19/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $98.99 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
yayais2012 NEW - $30.00 0 Oct/15/15 Nov/14/15
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 Used - $350.00 0 Oct/15/15 Nov/14/15
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 NEW - $350.00 0 Oct/15/15 Nov/14/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
vizko2017 Used - $300.00 0 Oct/15/15 Nov/15/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $350.00 0 Oct/15/15 Nov/14/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore Used - $199.99 0 Oct/16/15 Oct/21/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $199.99 0 Oct/16/15 Oct/21/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Used - $349.99 0 Oct/17/15 Oct/22/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore NEW - $108.99 0 Oct/17/15 Oct/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $86.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $151.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $86.99 0 Oct/19/15 Oct/24/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $98.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $34.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $151.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Oct/19/15 Oct/24/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Oct/19/15 Oct/24/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Oct/19/15 Oct/24/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $449.99 0 Oct/19/15 Oct/24/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $699.99 0 Oct/19/15 Oct/24/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $199.99 0 Oct/21/15 Oct/26/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
onlinesmt Used - $29.00 0 Oct/21/15 Nov/20/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
svcstore Used - $199.99 0 Oct/21/15 Oct/26/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Used - $349.99 0 Oct/22/15 Oct/27/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore NEW - $108.99 0 Oct/23/15 Oct/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcompucycle Used - $299.00 0 Oct/23/15 Nov/22/15
Description: Lot 2 AMAT inficon BPG-400 Balzers 353-507 Pirani Gauge 0190-26769 / Warranty
svcstore NEW - $86.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
ssssayag NEW - $115.00 0 Oct/24/15 Nov/23/15
Description: Applied Materials 0040-82245 REV 2
svcstore NEW - $98.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $34.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $151.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $151.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $86.99 0 Oct/24/15 Oct/29/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Scrap, for parts - $899.99 0 Oct/24/15 Oct/29/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Oct/24/15 Oct/29/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Oct/24/15 Oct/29/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $699.99 0 Oct/24/15 Oct/29/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Oct/24/15 Oct/29/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
frostliquidation Used - $100.00 0 Oct/26/15 Nov/24/15
Description: APPLIED MATERIALS AMAT 0050-10058 WELD VALVE MODIF. VCR1/2 - LOT OF 2
usedeqsales Used - $810.15 1 Oct/26/15 Jul/08/21
Description: Lam Research 810-017031-003 ADIO A0 PCB Card Rev. 2 Used Working
svcstore Used - $199.99 0 Oct/26/15 Oct/31/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $199.99 0 Oct/26/15 Oct/31/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Used - $349.99 0 Oct/27/15 Nov/01/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore NEW - $108.99 0 Oct/28/15 Nov/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $86.99 0 Oct/29/15 Nov/02/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Used - $349.99 0 Nov/01/15 Nov/06/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $199.99 0 Oct/31/15 Nov/05/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $199.99 0 Oct/31/15 Nov/05/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore NEW - $30.99 0 Oct/31/15 Nov/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $135.99 0 Oct/31/15 Nov/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $77.99 0 Oct/31/15 Nov/04/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Scrap, for parts - $899.99 0 Oct/31/15 Nov/04/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Oct/30/15 Nov/04/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $449.99 0 Oct/29/15 Nov/03/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $699.99 0 Oct/29/15 Nov/03/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $135.99 0 Oct/30/15 Nov/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Oct/30/15 Nov/04/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $88.99 0 Oct/30/15 Nov/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $699.99 0 Nov/03/15 Nov/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
systasemi Used - $5,000.00 0 Nov/04/15 Dec/04/15
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
svcstore Scrap, for parts - $899.99 0 Nov/04/15 Nov/09/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Nov/04/15 Nov/09/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Nov/04/15 Nov/09/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $199.99 0 Nov/05/15 Nov/10/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
conquer_2011 NEW - $460.00 0 Nov/06/15 Dec/06/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore NEW - $30.99 0 Nov/04/15 Nov/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $135.99 0 Nov/04/15 Nov/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
usedeqsales Used - $111.15 0 Nov/06/15 Dec/06/15
Description: AMAT Applied Materials 0150-35488 Monitor Cable Lot of 2 Used Working
svcstore Used - $199.99 0 Nov/05/15 Nov/10/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $349.99 0 Nov/06/15 Nov/11/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
conquer_2011 NEW - $124.99 0 Nov/07/15 Dec/07/15
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svcstore NEW - $86.99 0 Nov/08/15 Nov/13/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $97.99 0 Nov/08/15 Nov/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $699.99 0 Nov/08/15 Nov/13/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Nov/08/15 Nov/13/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
texassemicontech NEW - $335.00 0 Nov/09/15 Jun/29/18
Description: Lam Research OnTrak 713-801951-001 - WDO ENCL LONWORKS - Lot of 2
texassemicontech NEW - $305.00 0 Nov/09/15 Jun/29/18
Description: Lam Research OnTrak 715-223055-001 - Nut Pull Encl ULPA FLTR - Lot of 2
bobsgoodies NEW - $225.00 1 Nov/09/15 Jun/23/19
Description: Lake Monitors M3S7WB05-LPM2 Water Switch Sensor AMAT 1270-01776 1/2" NPT ( 2 )
svcstore NEW - $135.99 0 Nov/09/15 Nov/14/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $899.99 0 Nov/09/15 Nov/14/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $899.99 0 Nov/09/15 Nov/14/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $88.99 0 Nov/09/15 Nov/14/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $899.99 0 Nov/09/15 Nov/14/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $135.99 0 Nov/09/15 Nov/14/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $77.99 0 Nov/09/15 Nov/14/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $30.99 0 Nov/10/15 Nov/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
texassemicontech NEW - $185.00 0 Nov/10/15 Jul/01/18
Description: Lam Research OnTrak 921-005477-023 - FTG CONN M 3/8 NPT X 1/2 T SST - LOT OF 2
texassemicontech NEW - $165.00 0 Nov/10/15 Jul/01/18
Description: Lam Research OnTrak 920-900483-002 - FTG 1/4" R (PT) T 03/8" TUBE ELB - Lot of 2
svcstore Used - $199.99 0 Nov/10/15 Nov/15/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
yayais2012 Used - $900.00 0 Nov/10/15 Dec/10/15
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
yayais2012 NEW - $35.00 0 Nov/10/15 Dec/10/15
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $27.00 0 Nov/10/15 Dec/10/15
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $65.00 0 Nov/10/15 Dec/10/15
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
svcstore Used - $199.99 0 Nov/11/15 Nov/16/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
yayais2012 NEW - $105.00 0 Nov/12/15 Dec/12/15
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
svcstore Used - $349.99 0 Nov/11/15 Nov/16/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
yayais2012 Used - $110.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $90.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $95.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
yayais2012 NEW - $125.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $180.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $110.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
svliquidate Used - $299.00 0 Nov/12/15 Dec/12/15
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
dvkelectronics Used - $300.00 0 Nov/12/15 Dec/12/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
svcstore NEW - $86.99 0 Nov/13/15 Nov/18/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $97.99 0 Nov/13/15 Nov/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $699.99 0 Nov/13/15 Nov/18/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Nov/13/15 Nov/18/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $899.99 0 Nov/14/15 Nov/19/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
yayais2012 Used - $350.00 0 Nov/14/15 Dec/14/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
yayais2012 Used - $300.00 0 Nov/14/15 Dec/14/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $350.00 0 Nov/14/15 Dec/14/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $350.00 0 Nov/14/15 Dec/14/15
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 NEW - $30.00 0 Nov/14/15 Dec/14/15
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
svcstore NEW - $135.99 0 Nov/14/15 Nov/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $88.99 0 Nov/14/15 Nov/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $899.99 0 Nov/14/15 Nov/19/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $30.99 0 Nov/15/15 Nov/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $135.99 0 Nov/14/15 Nov/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $77.99 0 Nov/14/15 Nov/19/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Scrap, for parts - $899.99 0 Nov/14/15 Nov/19/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $199.99 0 Nov/15/15 Nov/20/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
supertechshop NEW - $74.95 0 Nov/16/15 Dec/16/15
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
svcstore Used - $199.99 0 Nov/16/15 Nov/21/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore NEW - $86.99 0 Nov/18/15 Nov/23/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Used - $349.99 0 Nov/18/15 Nov/23/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore NEW - $97.99 0 Nov/18/15 Nov/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $699.99 0 Nov/18/15 Nov/23/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Nov/18/15 Nov/23/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $899.99 0 Nov/19/15 Nov/24/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $135.99 0 Nov/19/15 Nov/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $88.99 0 Nov/19/15 Nov/24/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $899.99 0 Nov/19/15 Nov/24/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $77.99 0 Nov/20/15 Nov/25/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Scrap, for parts - $899.99 0 Nov/20/15 Nov/25/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $30.99 0 Nov/20/15 Nov/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $135.99 0 Nov/19/15 Nov/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
surplusssam NEW - $9.99 1 Nov/20/15 Apr/21/19
Description: 2 NEW PARKER BRASS 1/2" MALE NPT X 3/8" BARB AMAT 3300-01223 0242-76753-SUB
svcstore Used - $199.99 0 Nov/21/15 Nov/26/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
bobsgoodies Used - $1,800.00 0 Nov/21/15 Nov/24/15
Description: AMAT MIRRA 0240-77490 Assy Yaskawa Servo Motor SGMS-50A6AB SH10VA-S50A6 #2
supertechshop NEW - $99.00 0 Nov/22/15 Dec/22/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
ssssayag NEW - $95.00 0 Nov/23/15 Dec/23/15
Description: Applied Materials 0040-82245 REV 2
svcstore NEW - $97.99 0 Nov/23/15 Nov/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $349.99 0 Nov/23/15 Nov/28/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $178.99 0 Nov/23/15 Nov/28/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Scrap, for parts - $699.99 0 Nov/23/15 Nov/28/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $77.99 0 Nov/23/15 Nov/28/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Used - $449.99 0 Nov/23/15 Nov/28/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Nov/24/15 Nov/29/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $135.99 0 Nov/24/15 Nov/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $799.99 0 Nov/24/15 Nov/29/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $88.99 0 Nov/24/15 Nov/29/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $799.99 0 Nov/25/15 Nov/30/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $135.99 0 Nov/25/15 Nov/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $77.99 0 Nov/25/15 Nov/30/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $30.99 0 Nov/25/15 Nov/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $199.99 0 Nov/26/15 Dec/01/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
supertechshop Used - $199.00 0 Nov/28/15 Dec/28/15
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
svcstore Used - $349.99 0 Nov/28/15 Dec/03/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore NEW - $97.99 0 Nov/28/15 Dec/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $178.99 0 Nov/28/15 Dec/03/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Scrap, for parts - $699.99 0 Nov/28/15 Dec/03/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $77.99 0 Nov/28/15 Dec/03/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore Used - $449.99 0 Nov/28/15 Dec/03/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Nov/29/15 Dec/04/15
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $135.99 0 Nov/29/15 Dec/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $799.99 0 Nov/29/15 Dec/04/15
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $88.99 0 Nov/29/15 Dec/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $135.99 0 Nov/30/15 Dec/05/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $77.99 0 Nov/30/15 Dec/05/15
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $30.99 0 Nov/30/15 Dec/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Scrap, for parts - $799.99 0 Nov/30/15 Dec/05/15
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
capitolareatech Used - $17.50 0 Nov/30/15 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0870-01022 SMC ZHI07B 2 Port Vacuum Enjector 26"HG Sile
svliquidate Used - $299.00 0 Nov/30/15 Dec/30/15
Description: Lot 2 AMAT inficon BPG-400 Balzers 353-507 Pirani Gauge 0190-26769 / Warranty
svcstore Used - $199.99 0 Dec/01/15 Dec/06/15
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Used - $349.99 0 Dec/03/15 Jan/02/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore NEW - $97.99 0 Dec/03/15 Jan/02/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $178.99 0 Dec/03/15 Jan/02/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore NEW - $77.99 0 Dec/03/15 Jan/02/16
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
yayais2012 NEW - $95.00 0 Dec/04/15 Jan/03/16
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
svcstore Scrap, for parts - $799.99 0 Dec/04/15 Jan/03/16
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $135.99 0 Dec/04/15 Jan/03/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $799.99 0 Dec/04/15 Jan/03/16
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $88.99 0 Dec/04/15 Jan/03/16
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Scrap, for parts - $799.99 0 Dec/05/15 Jan/04/16
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $77.99 0 Dec/05/15 Jan/04/16
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore NEW - $135.99 0 Dec/05/15 Jan/04/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $30.99 0 Dec/05/15 Jan/04/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
conquer_2011 NEW - $460.00 0 Dec/06/15 Jan/05/16
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore Used - $199.99 0 Dec/06/15 Jan/05/16
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
onlinesemi NEW - $85.00 1 Oct/08/15 Dec/07/15
Description: B&B Interface Modules Usb To Serial 1-Port RS-485 2 Wire, 485USBTB-2W-LS #127Z
supertechshop Used - $199.00 0 Dec/28/15 Jan/27/16
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $99.00 0 Dec/23/15 Jan/22/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
yayais2012 Used - $350.00 0 Dec/14/15 Jan/13/16
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore Scrap, for parts - $699.99 0 Dec/12/15 Jan/11/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 Used - $900.00 0 Dec/11/15 Jan/10/16
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
systasemi Used - $5,000.00 0 Dec/08/15 Jan/07/16
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
new_life_electronics Scrap, for parts - $19.00 1 Dec/15/15 Dec/22/15
Description: S18 Hitachi V-1050F (R) Analog Oscilloscope 100 MHz Two (2) Channel
bigshawhawki NEW - $17.00 4 Dec/29/15 Dec/29/15
Description: Eaton 100 AMP Circuit Breaker Type BR2100 Type C2100 120/240V 2 Pole Brand New
texassemicontech NEW - $165.00 0 Dec/30/15 Jun/29/18
Description: Lam Research OnTrak 714-059084-001 - Cover Full EMO - Lot of 2
svliquidate Used - $149.00 0 Nov/30/15 Dec/30/15
Description: Lot 2 AMAT Digmesa CH-2563 Flowmeter / NT 4100 Electronic Pressure Transducer
svliquidate Used - $149.00 0 Nov/30/15 Dec/30/15
Description: Lot 2 AMAT / Agilent E7080-665 System Controller Interface PCI Card & Cables
svcstore Used - $349.99 0 Jan/02/16 Feb/01/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore NEW - $97.99 0 Jan/02/16 Feb/01/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $178.99 0 Jan/02/16 Feb/01/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore NEW - $77.99 0 Jan/02/16 Feb/01/16
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
tdindustrial NEW - $21.95 13 Nov/25/14 Dec/30/15
Description: SMC One-Touch Male Elbow, Rotary, KSL03-32, Lot of 2, New
athomemarket Scrap, for parts - $49.99 1 Aug/21/15 Dec/28/15
Description: Lot: 2 Hamamatsu C6080-55 Light Power Meters w/ 248nm Sensors & Cables
vizko2017 Used - $95.00 0 Jan/03/16 Oct/18/23
Description: AMAT 0051-12673 WELDMENT FOR FACILITY WO6225-2 LOT OF 2
lizbaby042253 NEW - $49.00 1 Jan/03/16 Jan/14/16
Description: AllenAir Corporation Air Clamp Model ACL-205 2 Inch Bore Spring Return NEW!
svcstore NEW - $135.99 0 Jan/03/16 Feb/02/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Scrap, for parts - $799.99 0 Jan/03/16 Feb/02/16
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Jan/03/16 Feb/02/16
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $135.99 0 Jan/04/16 Feb/03/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $88.99 0 Jan/03/16 Feb/02/16
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore Used - $77.99 0 Jan/04/16 Feb/03/16
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Scrap, for parts - $799.99 0 Jan/04/16 Feb/03/16
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
jesasocoli NEW - $60.00 0 Jan/04/16 Jan/11/16
Description: SKS 3061-48B 66 x 18 PVC Heat Shrink Band w/ Single Vertical Perforation 2 mil
tdindustrial NEW - $19.95 8 Jan/04/16 Apr/03/17
Description: SMC One-Touch Male Elbow Fitting, KSL03-32, New (Lot of 2 per package)
svcstore NEW - $30.99 0 Jan/04/16 Feb/03/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
conquer_2011 NEW - $460.00 0 Jan/05/16 Feb/04/16
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore Used - $199.99 0 Jan/06/16 Feb/05/16
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
bms-semicon Used - $359.99 0 Jan/06/16 Feb/22/23
Description: LAM MOTHERBOARD NODE 2 810-802902-207 REV.B
conquer_2011 NEW - $124.99 0 Jan/07/16 Feb/06/16
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svliquidate Used - $299.00 0 Jan/07/16 Feb/06/16
Description: Lot 2 AMAT inficon BPG-400 Balzers 353-507 Pirani Gauge 0190-26769 / Warranty
y.t.r2011 NEW - $700.00 0 Jan/09/16 Jun/07/16
Description: AMAT 0190-10734 LOWER ELECTRONIC BOX BB1 FOR 2 CHEM
svcstore Used - $349.99 0 Jan/11/16 Feb/10/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $699.99 0 Jan/11/16 Feb/10/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 NEW - $35.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $65.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 Used - $90.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $27.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $95.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
yayais2012 Used - $180.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $110.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $110.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $125.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $105.00 0 Jan/12/16 Feb/11/16
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
yayais2012 Used - $900.00 0 Jan/12/16 Feb/11/16
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
axmansurplusstores Used - $75.00 5 Jun/16/15 Jan/05/16
Description: Vexta Stepping Motor PX243G01-01A 2 Phase 0.1 Degree 4VDC 0.6A (12V)
ssssayag Used - $95.00 0 Jan/12/16 Feb/11/16
Description: Aera FC-D980C Cl 2, 200sccm, Applied Materials 3030-07346
ntc_tech Used - $1,000.00 1 Jan/12/16 Jul/27/16
Description: Trust Automation C-2027-D01 2 Axis Vector Controller Novellus 63-370393-00 Used
systasemi Used - $5,000.00 0 Jan/13/16 Feb/12/16
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
dvkelectronics Used - $300.00 0 Jan/13/16 Feb/12/16
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
yayais2012 Used - $350.00 0 Jan/14/16 Jul/12/16
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 Used - $255.00 1 Jan/14/16 Jun/02/18
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $350.00 0 Jan/14/16 Jul/12/16
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $30.00 0 Jan/14/16 Jul/12/16
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 Used - $280.00 0 Jan/14/16 Aug/09/18
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
tech-tonica NEW - $300.00 0 Jan/15/16 Jan/25/16
Description: NEW (Set of 2) Applied Materials AMAT Flex Hose Assembly: 0240-03809 0050-41415
bobsgoodies2 Used - $125.00 0 Jan/18/16 Mar/31/22
Description: AMAT 0040-00976 BLANK OFF PLATE 21114PMT (Lot of 2)
bobsgoodies2 Used - $125.00 0 Jan/18/16 Mar/31/22
Description: AMAT 0020-07431 Rev PA EMO BLANK OFF CENTURA SKINS (Lot of 2)
ecomicron NEW - $950.00 0 Jan/21/16 Apr/11/18
Description: 0100-00181, Applied Materials, ISOAMP 2 ASSY, NONLINEAR APPLICATION
farmoninc Used - $3,900.00 0 Jan/22/16 Feb/19/16
Description: Verity SD1024-2-S Spectrometer, 100533AT, AMAT 1400-00204, 24VDC, 2 Amp, 409761
capitolareatech NEW - $130.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03767 SMC VM130-01-34R VALVE, MECH TWIST, 2 POSIT
capitolareatech NEW - $45.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03250 Parker SPN400B Needle Valve, 1/4FNPT X 1, 2
capitolareatech NEW - $37.52 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01285 SMC VZ2250 Valve Directional Air 2 POS 24VD
capitolareatech NEW - $389.84 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3310-90027 GAUGE,2 STAGE,CGA580 INLET...
capitolareatech NEW - $247.86 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-91655 CONNECTOR (2) IS0-100, (1) KF-40, (1) KF-
capitolareatech NEW - $85.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3510-01056 MOTT (HPS) 5140-1/4-SS-1SLM-CLS100-10RA,2 F
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01439 Heater Jacket 30 MIL B Layer Upper Zone 2
capitolareatech NEW - $130.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01429 Heater Jacket 30 MIL B Layer Upper Zone 2
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01405 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01393 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $441.42 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00716 HEATER JACKET, UPPER ZONE 2, CH.C, ITEM
capitolareatech NEW - $238.45 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00715 HEATER JACKET, UPPER ZONE 2, CH.C, ITEM
capitolareatech NEW - $225.00 0 Jan/23/16 Feb/24/16
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-00353 RELAY, 10A, 2 N.O. CONTACTS, 24VDC COIL
capitolareatech NEW - $156.98 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 1140-01097 Cosel MMB50A-6 Power Supply, 100-120VAC, 2
capitolareatech NEW - $275.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 1120-00229 Filter Optic Bandpass, 777NM-CW/L FWHM 2.
capitolareatech NEW - $530.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-01244 BL SUPER P50B05010DXS00M MOTOR SERVO 100W 2
capitolareatech NEW - $75.00 0 Jan/24/16 Feb/24/16
Description: NOVELLUS 10-155965-00 TUBE ASSY,V46A&V48A,STA 2
capitolareatech NEW - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-46414 WELDMENT, CHAMBER LINE B, PORT 2
capitolareatech NEW - $657.76 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-46412 Weldment, Chamber Line A, Port 2
ssssayag NEW - $50.00 0 Jan/24/16 Feb/23/16
Description: AMAT 0040-52131, Cover, S-Probe Triton- 1 Lot of 2 items
ssssayag NEW - $35.00 0 Jan/24/16 Feb/23/16
Description: AMAT APPLIED MATERIALS 0020-18459, Insulator, Top Coil, B2 MK2, Set of 2
capitolareatech NEW - $490.01 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01851 D.I.P. CDN115 CARD ANALOG I/O DNET MODULE 2
capitolareatech NEW - $70.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01407 APC SPX-D-082-3 Card APC Digital I/O 082 2
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01176 SQUARE-D 096-097 CARD APC DIGITAL I/O 096 2
capitolareatech NEW - $66.68 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0660-01175 APC SPX-D-094-1 Digital APC Card, I/O 094 2
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01173 SQUARE-D 090-091 CARD APC DIGITAL I/O 090 2
capitolareatech NEW - $131.98 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-90089 CUTLER-HAMMER HQP3010H QUICKLAG P 3-POLE; 2
capitolareatech NEW - $80.34 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-70065 CBL ASSY MAIN FEEDER WIRES 2
capitolareatech Used - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-41703 door, slit valve, DPS 2
capitolareatech NEW - $251.63 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-22858 BRACKET, LEFT GAMMA 2 PROCESS GAS LINE
capitolareatech NEW - $650.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09963 Pedestal, 150mm Flat, IS, NI Lift 2, HVCE
capitolareatech NEW - $101.21 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-08275 CONNECTOR EXTRACTION LEAP 2
capitolareatech NEW - $142.84 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-08247 CLAMP PLATE,LEAP 2
capitolareatech NEW - $44.10 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82238 TOOL 2, SUPPRESSION ELECTRODE
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0020-75428 Bracket, 2 Phase Driver
supertechshop NEW - $74.95 0 Jan/25/16 Feb/24/16
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.00 0 Jan/25/16 Feb/24/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svliquidate Used - $299.00 0 Jan/25/16 Feb/24/16
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
auctionrus NEW - $110.00 1 Jan/27/16 Oct/12/16
Description: AMAT 0190-13914, UCN00241F1, Mechatrolink, Cable, Harness, Version 2. 413930
supertechshop Used - $199.00 0 Jan/27/16 Feb/26/16
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
tech-tonica NEW - $234.00 0 Jan/28/16 Feb/07/16
Description: NEW (Set of 2) Applied Materials AMAT Flex Hose Assembly: 0240-03809 0050-41415
usedeqsales Scrap, for parts - $25,001.20 1 Jan/29/16 May/11/16
Description: AMAT Applied Materials 0010-29880 Endura 2 Preclean/Anneal Chamber C Used As-Is
usedeqsales Scrap, for parts - $25,001.20 1 Jan/29/16 May/11/16
Description: AMAT Applied Materials 0010-29880 Endura 2 Preclean/Anneal Chamber D Used As-Is
ecomicron NEW - $1,400.00 0 Feb/01/16 Sep/17/18
Description: 0200-36696, AMAT, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
svcstore NEW - $121.99 0 Feb/03/16 Mar/04/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Used - $349.99 0 Feb/03/16 Mar/04/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore NEW - $121.99 0 Feb/03/16 Mar/04/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $69.99 0 Feb/03/16 Feb/08/16
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $87.99 0 Feb/03/16 Mar/04/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Scrap, for parts - $799.99 0 Feb/03/16 Mar/04/16
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $160.99 0 Feb/03/16 Mar/04/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $69.99 0 Feb/03/16 Mar/04/16
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Scrap, for parts - $799.99 0 Feb/03/16 Mar/04/16
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Feb/03/16 Mar/04/16
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore NEW - $79.99 0 Feb/04/16 Feb/05/16
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
conquer_2011 NEW - $460.00 0 Feb/04/16 Mar/05/16
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore NEW - $26.99 0 Feb/05/16 Feb/05/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
conquer_2011 NEW - $124.99 0 Feb/06/16 Mar/07/16
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svliquidate Used - $299.00 0 Feb/06/16 Mar/07/16
Description: Lot 2 AMAT inficon BPG-400 Balzers 353-507 Pirani Gauge 0190-26769 / Warranty
tech-tonica NEW - $300.00 0 Feb/08/16 Mar/09/16
Description: NEW (Set of 2) Applied Materials AMAT Flex Hose Assembly: 0240-03809 0050-41415
usedeqsales Used - $202.16 4 Feb/09/16 Feb/11/16
Description: AMAT Applied Materials 0015-01864 Polishing Head Clamp Lot of 2 Used Working
us-dealway NEW - $199.00 1 Feb/09/16 Feb/25/16
Description: NVX2-144NC 2 Way NVX Pneumatic NC, 1/4" FNPT ports. AMAT 3870-02375
svcstore Used - $178.99 0 Feb/09/16 Mar/10/16
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Used - $349.99 0 Feb/11/16 Mar/12/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $699.99 0 Feb/11/16 Mar/12/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
ypspare NEW - $27.00 1 Feb/11/16 Oct/03/17
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
ypspare NEW - $95.00 0 Feb/11/16 Nov/13/19
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
ypspare Used - $90.00 0 Feb/11/16 Dec/02/18
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
ypspare NEW - $35.00 0 Feb/11/16 Nov/15/19
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
vizko2017 NEW - $105.00 0 Feb/11/16 Jul/11/22
Description: AMAT 0140-23916 ASSY LIFT & ROTATION SENSOR EPI LOT OF 2
ypspare Used - $900.00 0 Feb/11/16 Jun/21/16
Description: NOVELLUS ASSY,PEDESTAL LIFT 02-800470-05 LOT OF 2
ypspare NEW - $65.00 0 Feb/11/16 Feb/16/16
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
ssssayag Used - $95.00 0 Feb/11/16 Mar/12/16
Description: Aera FC-D980C Cl 2, 200sccm, Applied Materials 3030-07346
systasemi Used - $5,000.00 0 Feb/18/16 Mar/19/16
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
asset_asset Used - $4,865.41 0 Feb/16/16 Oct/24/18
Description: NOVELLUS 02-267699-00 ASSY,SIOC,2,V4.72.VECTOR
asset_asset Used - $3,486.75 0 Feb/16/16 Oct/24/18
Description: NOVELLUS 02-168109-00 CU,CI.ASSY,SESIOC 2,PLATING
ssssayag NEW - $35.00 0 Feb/23/16 Mar/24/16
Description: AMAT APPLIED MATERIALS 0020-18459, Insulator, Top Coil, B2 MK2, Set of 2
ssssayag NEW - $50.00 0 Feb/23/16 Mar/24/16
Description: AMAT 0040-52131, Cover, S-Probe Triton- 1 Lot of 2 items
be4049 NEW - $700.00 0 Feb/23/16 Feb/23/16
Description: AMAT 0200-09911 Quartz Cover 8", Stepped, Lift 2 Notched
moes_place_1983 NEW - $395.00 1 Feb/24/16 Mar/14/17
Description: AMAT Applied Materials 0190-02363 0130-02363 Mainframe Interlock 2 Relays NEW
offerandown NEW - $30.00 1 Feb/24/16 Mar/02/16
Description: 2 NEW LAMBDA JWS100-24/A 24volt 4.5amp POWER SUPPLIES AMAT P/N 1140-01347
capitolareatech NEW - $100.00 0 Feb/24/16 Mar/11/16
Description: LAM 770-009005-001 CYLINDER AIR STROKE 2 3/4" BORE 1 5/8"""
capitolareatech NEW - $88.29 0 Feb/24/16 Jun/23/16
Description: AMAT 0010-35537 Regulator, N2 SHO 2 Chamber System, Inlet 300 PSIG, 21 BAR MAX,
capitolareatech NEW - $110.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-25424 HOSE ASSEMBLY, SUPPLY 2 / RETURN 2, 78 I
capitolareatech NEW - $55.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-05496 HOSE ASSY, ASTRON RET., LINE #2, CH. C,
capitolareatech NEW - $227.79 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-02579 HOSE ASSY, CHAMBER #2,SUPPLY
capitolareatech NEW - $419.38 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-35958 Bracket 2, Platter, 5200HT
capitolareatech NEW - $120.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-12033 CABLE PLATEN 2, P2 GUTTER FLUSH FLOW SEN
capitolareatech NEW - $765.92 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-18035 COLLAR,BOTTOM,2 PIECE 200MM,JMF
capitolareatech NEW - $47.75 0 Feb/25/16 Jun/24/16
Description: AMAT 0680-00149 Allen Bradley 1492-CB1F020 Circuit Breaker MAG THERM 1P 277VAC 2
capitolareatech NEW - $70.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0660-01407 Card APC Digital I/O 082 2 DC Output Daughter Board, SERIPLEX
capitolareatech NEW - $66.68 0 Feb/25/16 Jun/24/16
Description: AMAT 0660-01175 Digital APC Card, I/O 094 2 In X 2 Out
capitolareatech NEW - $150.00 0 Feb/25/16 Jun/24/16
Description: AMAT 1410-01472 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 33V, 32W
capitolareatech NEW - $120.00 0 Feb/25/16 Jun/24/16
Description: AMAT 1410-01405 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $109.11 0 Feb/25/16 Jun/24/16
Description: AMAT 1270-01744 Wasco SP129-51W2B SWPRESS SPST 28PSI 9/16-18MVCR 1A 2 20AW
capitolareatech NEW - $225.00 0 Feb/25/16 Jun/24/16
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
capitolareatech NEW - $247.86 0 Feb/25/16 Jun/24/16
Description: AMAT 3300-91655 CONNECTOR (2) IS0-100, (1) KF-40, (1) KF-16
supertechshop NEW - $74.95 0 Feb/25/16 Mar/26/16
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.00 0 Feb/25/16 Mar/26/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
capitolareatech NEW - $125.00 0 Feb/25/16 Jun/24/16
Description: LAM RESEARCH 839-007173-001 GASFEED LINE 2
supertechshop Used - $199.00 0 Feb/28/16 Mar/29/16
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01480 Heater Jacket, 30 MIL B Layer Upper Zone 2 Chamber
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01465 Heater Jacket, 30 Mil B Layer Upper Zone 2 Chamber
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01459 Heater Jacket, 30 Mil B Layer Upper Zone 2 Chamber, 6V, 6W
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01439 Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 7V, 5W
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01393 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01461 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 2 Chamber, 43V, 4
capitolareatech NEW - $75.00 0 Feb/29/16 Jun/28/16
Description: NOVELLUS SYSTEMS 10-155965-00 TUBE ASSY,V46A&V48A,STA 2
capitolareatech NEW - $875.00 0 Feb/29/16 Jun/28/16
Description: AMAT CENTURA 512728 California Seal & Fast SIGE O-Ring Kit: 2) 3700-01156, 2
capitolareatech Used - $22.50 0 Feb/29/16 Jun/28/16
Description: AMAT 0140-76625 HARNESS ASSY CENTURA MSM PWR #2
bobsgoodies NEW - $215.00 0 Mar/01/16 Nov/20/20
Description: Proteus 150B24 Water Flow Switch used in Applied Materials 0090-20188 (Lot of 2)
capitolareatech NEW - $45.00 0 Mar/02/16 Jun/30/16
Description: AMAT 3080-90006 *BELT TIMING *** 2 PACK ***
capitolareatech Used - $65.00 0 Mar/02/16 Jun/30/16
Description: AMAT 0040-76286 EMO BRACKET SKINS CENTURA WITH 2 EMERGENCY STOP BUTTONS *** 4 PA
offerandown NEW - $23.50 1 Mar/02/16 Mar/09/16
Description: 2 NEW LAMBDA JWS100-24/A 24volt 4.5amp POWER SUPPLIES AMAT P/N 1140-01347
dr.dantom Used - $18,000.00 0 Mar/03/16 Feb/19/20
Description: AMAT Applied Materials 0010-42030 PEDESTAL, DT ESC MT, ENDURA 2, 300MM
conquer_2011 NEW - $490.00 0 Mar/05/16 Apr/04/16
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
conquer_2011 NEW - $144.99 0 Mar/07/16 Apr/06/16
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY
svliquidate Used - $299.00 0 Mar/07/16 Apr/06/16
Description: Lot 2 AMAT inficon BPG-400 Balzers 353-507 Pirani Gauge 0190-26769 / Warranty
svcstore Used - $160.99 0 Mar/09/16 Apr/08/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
offerandown NEW - $20.00 0 Mar/09/16 Mar/16/16
Description: 2 NEW LAMBDA JWS100-24/A 24volt 4.5amp POWER SUPPLIES AMAT P/N 1140-01347
ecomicron NEW - $30.00 0 Mar/09/16 Jun/14/18
Description: 3700-01414, AMAT, ORING ID 5.725 CSD .210 VITON 75 DURO BRN, Pack of 2
tech-tonica NEW - $300.00 0 Mar/10/16 Apr/09/16
Description: NEW (Set of 2) Applied Materials AMAT Flex Hose Assembly: 0240-03809 0050-41415
visionsemi NEW - $250.00 0 Mar/11/16 Dec/06/16
Description: AMAT 3030-11373 UNIT CELERITY 8565 MASS FLOW CONTROLLER NH3 2 SLM W/ SPEC SHEET
svcstore Scrap, for parts - $799.99 0 Mar/11/16 Apr/10/16
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Mar/11/16 Apr/10/16
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Mar/11/16 Apr/10/16
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $699.99 0 Mar/12/16 Apr/11/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
ssssayag Used - $95.00 0 Mar/13/16 Apr/12/16
Description: Aera FC-D980C Cl 2, 200sccm, Applied Materials 3030-07346
be4049 NEW - $650.00 0 Mar/15/16 Jun/23/16
Description: AMAT 0200-09911 Quartz Cover 8", Stepped, Lift 2 Notched
dvkelectronics Used - $300.00 0 Mar/15/16 Apr/14/16
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
vizko2017 NEW - $65.00 2 Mar/18/16 Oct/18/23
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
vipermn Used - $150.00 0 Mar/21/16 Mar/28/16
Description: APPLIED MATERIALS AMAT 0020-20558 BLANK SLIT VALVE - LOT OF 2
svcstore Used - $69.99 0 Mar/21/16 Apr/20/16
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $143.19 0 Mar/21/16 Apr/20/16
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Used - $279.99 0 Mar/21/16 Apr/20/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $69.99 0 Mar/21/16 Apr/20/16
Description: Applied Materials 0190-76252 DIP294 Device Net I/O Block Module #2
svcstore Used - $349.99 0 Mar/22/16 Apr/21/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
ecomicron NEW - $80.00 0 Mar/22/16 Jun/14/18
Description: 0020-09048, AMAT, CYLINDER STOP, pack of 2
ecomicron NEW - $60.00 0 Mar/22/16 Jun/14/18
Description: 0021-77588, AMAT, SPLICED O-RING 3.69ID V747-75, pack of 2
ssssayag NEW - $35.00 0 Mar/24/16 Apr/23/16
Description: AMAT 0040-52131, Cover, S-Probe Triton- 1 Lot of 2 items
ssssayag NEW - $35.00 0 Mar/24/16 Apr/23/16
Description: AMAT APPLIED MATERIALS 0020-18459, Insulator, Top Coil, B2 MK2, Set of 2
allbusindustrial NEW - $75.00 0 Mar/24/16 Mar/27/16
Description: APPLIED MATERIALS 0040-09340 TURBO SCREEN ANODIZED - LOT OF 2 NEW
ecomicron NEW - $180.00 0 Mar/25/16 Jul/18/17
Description: 3700-02581, AMAT, SEAL LIP 1.260SFT SPINDLE CHUCK-SHIELD EBR 200MM, Pack of 2
svcstore NEW - $121.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $87.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $121.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
gigabitpartsolutions NEW - $24.20 0 Mar/26/16 May/22/19
Description: O-Ring GREEN TWEED 9122-SSE38 LAM RESEARCH (LAM) 734-007524-122 Chemraz PKG 2 1
gigabitpartsolutions NEW - $17.60 1 Mar/26/16 Aug/12/23
Description: O-Ring Chemraz PKG 2 GREEN TWEED 921-SSE38 (LAM) 734-007524-121
svliquidate Used - $299.00 0 Mar/26/16 Apr/25/16
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
supertechshop NEW - $74.95 0 Mar/26/16 Apr/25/16
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.00 0 Mar/26/16 Apr/25/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
garzarosa Scrap, for parts - $75.00 0 Mar/29/16 Dec/14/16
Description: 2 /Applied Materials Universal Gauge/ 0.775-10.13V /PN 0190-26769 / UNTESTED
supertechshop Used - $199.00 0 Mar/30/16 Apr/29/16
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
bobsgoodies NEW - $100.00 0 Mar/31/16 Dec/02/17
Description: APPLIED MATERIALS 0190-76002 BEARING SUPER BALL BUSHING SUPER20-16498A (Lot of 2
motion_constrained NEW - $99.99 0 Apr/01/16 Aug/15/17
Description: 2 AMAT Stepper Distribution 19 Pin Comm. Cables 0100-20024 Unitronic-FD
offerandown NEW - $20.00 1 Apr/04/16 Apr/11/16
Description: 2 NEW LAMBDA JWS100-24/A 24volt 4.5amp POWER SUPPLIES AMAT P/N 1140-01347
conquer_2011 NEW - $490.00 0 Apr/04/16 May/04/16
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
capitolareatech NEW - $22.00 0 Apr/05/16 Jul/04/16
Description: AMAT 1370-90026 RCA TRANSISTOR 2N 6385 ***2 PACK***
capitolareatech NEW - $22.88 0 Apr/05/16 Jul/04/16
Description: AMAT 1200-00101 RLY AUX CONTACT CS 2 NO 2 NC FRONT MOUNT
capitolareatech NEW - $25.00 3 Apr/05/16 Jul/04/16
Description: AMAT 0600-00009 Ebmpapst 8414 NGR FAN SLIMELINE 24V DC, 85mA, 2,0W
capitolareatech NEW - $25.00 0 Apr/06/16 Jul/05/16
Description: AMAT 0720-02385 CONN RCPT CA MTG 2 POS 22AWG .1CTR W/PLZ TAB MTA ***1400 PACK **
capitolareatech NEW - $20.00 0 Apr/06/16 Jul/05/16
Description: AMAT 1370-90005 TRANSISTOR BDX 66A *** 2 PACK ***
svliquidate Used - $299.00 0 Apr/07/16 May/07/16
Description: Lot 2 AMAT inficon BPG-400 Balzers 353-507 Pirani Gauge 0190-26769 / Warranty
svcstore Used - $160.99 0 Apr/08/16 May/08/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Scrap, for parts - $799.99 0 Apr/10/16 May/10/16
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Apr/10/16 May/10/16
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Apr/10/16 May/10/16
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
systasemi Used - $5,000.00 0 Apr/11/16 May/11/16
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
ssssayag Used - $75.00 0 Apr/12/16 May/12/16
Description: Aera FC-D980C Cl 2, 200sccm, Applied Materials 3030-07346
svcstore Scrap, for parts - $699.99 0 Apr/11/16 May/11/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
bobsgoodies NEW - $105.00 1 Apr/14/16 May/05/20
Description: 2 AMAT 3400-01317, HOSE ASSY FLEX COND TFE/SST 3/8ID X 54"
dvkelectronics Used - $300.00 0 Apr/15/16 May/15/16
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
outback6stk NEW - $700.00 1 Apr/17/16 Jul/19/16
Description: Z126276 (Lot of 2) VEXTA PK569AHWM Stepping Motor AMAT P/N 0090-36452 - New
onlinesmt Refurbished - $24.99 0 Apr/19/16 May/19/16
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT) – Lot of 2
selectsurplus NEW - $99.00 1 Apr/20/16 Apr/23/19
Description: AMAT 3870-02290 VALVE, SOL 1/4NPT 2 WAY 115VAC CKD Corp. Japan AB31-2N-2 NEW
capitolareatech NEW - $10.14 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-32047 Post, Lower, 2 PC, EXT Pededtal, LIFT, E
capitolareatech NEW - $30.00 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-31976 BRACKET,RF MATCH MOUNTING PLATE #2
svcstore Used - $178.99 0 Apr/20/16 May/20/16
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Used - $349.99 0 Apr/20/16 May/20/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
capitolareatech NEW - $18.00 0 Apr/20/16 Sep/15/16
Description: AMAT 1370-90067 TRANSISTOR ZVN4206A ***2 PACK***
capitolareatech NEW - $15.00 0 Apr/20/16 Sep/15/16
Description: AMAT 1270-99006 SWITCH 12MM ILLUM ROUND SPST ***2 PACK***
capitolareatech NEW - $13.37 0 Apr/20/16 Sep/15/16
Description: AMAT 1270-90105 SWITCH SELECTOR 2 POSITION
capitolareatech NEW - $14.35 0 Apr/20/16 Sep/15/16
Description: AMAT 0720-03019 Twist Lock Receptacle, 30A, 125V, 2 Pole 3 Wire Grounding
capitolareatech NEW - $15.00 0 Apr/20/16 Sep/15/16
Description: AMAT 0720-02730 CONNector JUMPER 2 POS .1 CTR GOLD/NICKEL
capitolareatech NEW - $11.60 0 Apr/20/16 Sep/15/16
Description: AMAT 3700-02136 O-Ring, AS-568A-113 CPD 513, 0.549 ID X 2.62 CX IN, 13.94 ID X 2
svcstore Used - $349.99 0 Apr/21/16 May/21/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $69.99 0 Apr/21/16 May/21/16
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
capitolareatech NEW - $10.00 0 Apr/21/16 Sep/15/16
Description: AMAT 0700-01002 IDCTR RF 10UH .133MIN .335MAX AXIAL LEAD *** 2 pack ***
capitolareatech NEW - $15.00 0 Apr/21/16 Sep/15/16
Description: AMAT 1200-01090 Relay Low Profile 2 Form C Relay; (TQ) model, (2) 2 form C Conta
texassemicontech NEW - $2,850.00 0 Apr/22/16 Jun/28/18
Description: Lam Research Ontrak 810-017075-003 - PCB ORBITAL WELD GAS PNL INT 2
ssssayag NEW - $35.00 0 Apr/23/16 May/23/16
Description: AMAT APPLIED MATERIALS 0020-18459, Insulator, Top Coil, B2 MK2, Set of 2
ssssayag NEW - $35.00 0 Apr/23/16 May/23/16
Description: AMAT 0040-52131, Cover, S-Probe Triton- 1 Lot of 2 items
svcstore NEW - $121.99 0 Apr/24/16 May/24/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $87.99 0 Apr/24/16 May/24/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $121.99 0 Apr/24/16 May/24/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
spsglobal Used - $9,000.00 0 Apr/25/16 May/25/20
Description: AMAT APPLIED MATERIALS 0010-04065 ASSY, MAGNET, SIP REV 2 USED
svliquidate Used - $299.00 0 Apr/25/16 May/19/16
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
supertechshop NEW - $74.95 0 Apr/25/16 May/19/16
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.00 0 Apr/25/16 May/19/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
bobsgoodies NEW - $198.00 1 Apr/26/16 Jan/26/18
Description: APPLIED MATERIALS 3870-02579 VALVE, PNEUMATIC DIAPHRAGM N/C 2 WAY AMAT
usedeqsales Used - $1,504.16 1 Apr/27/16 Jun/03/16
Description: Trust Automation C-2027-D01 2 Axis Vector Controller Novellus 63-370393-00 Used
usedeqsales Used - $1,504.16 1 Apr/27/16 Aug/02/16
Description: Trust Automation C-2027-D01 2 Axis Vector Controller Novellus 27-327289-00 Used
bobsgoodies NEW - $85.00 0 Apr/28/16 Jul/26/16
Description: AMAT 0100-09042 PCB ASSY, OPTO SWITCH H21B1.0180K (Pkg of 2)
supertechshop Used - $199.00 0 Apr/29/16 May/19/16
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
houstonliquidator NEW - $27.25 0 Apr/30/16 May/03/16
Description: Lot of 2 PX34-09384-2 - Table Controller (Siemens)
capitolareatech NEW - $15.00 0 May/02/16 Jul/01/16
Description: AMAT 3240-01062 CND FTG FLEX MTLC 1.00" SCR-IN CONN CND T ***2 PACK***
capitolareatech NEW - $15.00 0 May/02/16 Jul/01/16
Description: AMAT 1290-01202 TERM BLK 2 TERM FEEDTHRU 20 A *** 3 PACK ***
capitolareatech NEW - $20.00 0 May/02/16 Jul/01/16
Description: AMAT 0620-00772 CABLE ASSY NULL MODEM 9.84FT DB9-F/F ***2 PACK***
cjcollective2012 NEW - $75.00 0 May/02/16 Jul/24/18
Description: APPLIED MATERIALS 0150-18944 Cable EV MANIFOLD NO 2 GAS PANEL
bobsgoodies Used - $225.00 0 May/02/16 Jun/15/16
Description: AMAT 0020-29781 300mm PVD Chamber Contactor Bracket and 2 contractors CE15FN3Y1
bobsgoodies2 Used - $450.00 0 May/02/16 Mar/31/22
Description: AMAT 0100-76096 Power Supply Board 300MM PVD Chamber Controller 0130-76096 ( 2 )
capitolareatech NEW - $1.00 0 May/02/16 Jul/01/16
Description: AMAT 0720-91422 QIKMATE Plug Body+HOOD, 2 WAY
capitolareatech NEW - $1.55 0 May/02/16 Jul/01/16
Description: AMAT 0720-02502 Connector SKT HSG 2 POS
bobsgoodies2 Used - $350.00 0 May/04/16 Mar/31/22
Description: AMAT 0100-76106 0100-76106 Interlock Distribution MF Contorller #2 0130-76106
conquer_2011 NEW - $490.00 0 May/04/16 Jun/03/16
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
conquer_2011 NEW - $144.99 0 May/06/16 Jun/05/16
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, 54"L, Parker Push-Lok
svcstore Used - $160.99 0 May/08/16 Jun/07/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Scrap, for parts - $799.99 0 May/10/16 Jun/09/16
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 May/11/16 Jun/10/16
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 May/11/16 Jun/10/16
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
allbusindustrial Used - $100.00 0 May/11/16 Jun/13/16
Description: AMAT APPLIED MATERIALS CDN115, 0660-01851 D.I.P. DEVICENET I/O BLOCK - LOT OF 2
av-surplus1 Used - $151.19 1 May/11/16 Sep/08/16
Description: Lot of 2 Applied Materials 0020-23028 AMAT Magic Left Indexer Housing
svcstore Scrap, for parts - $699.99 0 May/11/16 Jun/10/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
ssssayag Used - $45.00 0 May/12/16 May/22/16
Description: Aera 5 RA FC-D980C,Applied Materials 3030-07346 , Cl 2, 200sccm,
dvkelectronics Used - $300.00 0 May/16/16 Jun/15/16
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
all-of-cpu NEW - $235.75 1 May/20/16 Jul/20/19
Description: Applied Materials 3870-01245 VALVE PNEU BLWS 2 WAY MINI NC-11
outback6stk NEW - $200.00 0 May/20/16 May/27/16
Description: Z127616 (2) Applied Materials 0630-01250 CAP FIX 15KV 200PF 35A Draloric PE 100
svcstore Used - $349.99 0 May/21/16 May/31/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $69.99 0 May/21/16 May/26/16
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $349.99 0 May/21/16 May/26/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $178.99 0 May/21/16 May/26/16
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
surplusseller13 Used - $689.98 1 May/22/16 Jun/03/16
Description: Novellus 02-252397-00 Digital Dynamics Vector HDSIOC 2 Rev. D Controller Used
surplusseller13 Used - $1,596.69 0 May/23/16 Dec/06/17
Description: Kollmorgen 1000-0121-01 Mag Driver AMAT 0190-03554 2 Channel Used
svcstore Used - $69.99 0 May/23/16 Jun/22/16
Description: Applied Materials 0190-76252 DIP294 Device Net I/O Block Module #2
bobsgoodies2 Used - $125.00 0 May/24/16 Mar/31/22
Description: AMAT 0100-76105 PCB Assy, I/O Interconnect BD, MF Controlle #2 0130-76105
bobsgoodies2 Used - $175.00 0 May/24/16 Mar/31/22
Description: AMAT 0100-76107 Power Supply MF Controller #2 0130-76105 Applied Materials
onlinesmt Refurbished - $24.99 0 May/25/16 Jun/09/16
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT) – Lot of 2
allforsale555 NEW - $99.00 1 May/25/16 Aug/20/16
Description: LOT OF 2 AMAT 0140-18118 HARNESS ASSY
powersell007 Used - $2,399.00 1 May/26/16 Oct/19/16
Description: ENI DOFBC2-075 RF MATCH NETWORK 2 & 27MHz 000-1103-088 660-002296-004 LAM 2300
svcstore NEW - $87.99 0 May/26/16 Jun/25/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $121.99 0 May/26/16 Jun/25/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $121.99 0 May/26/16 Jun/25/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
bobsgoodies NEW - $150.00 0 May/27/16 May/30/16
Description: AMAT 0010-70822 SMC Air Cylinder 2 1/2" Bore x .787 Stroke NCDQ2B63-U1A981030
systasemi Used - $5,000.00 0 May/31/16 Jun/30/16
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
outback6stk NEW - $125.00 1 May/31/16 Mar/27/17
Description: Z127616 (2) Applied Materials 0630-01250 CAP FIX 15KV 200PF 35A Draloric PE 100
outback6stk Scrap, for parts - $150.00 0 May/31/16 Jun/07/16
Description: Z127903 (Lot of 2) DRC Shaft Encoder H53H4E24-1250C18 AMAT 0090-77346 TRM Web En
usedeqsales Used - $206.16 8 Jun/01/16 Jun/03/16
Description: AMAT Applied Materials 0015-01864 Ploshing Head Clamp Lot of 2 Used Working
ace449parts2010 Refurbished - $750.00 0 Jun/02/16 Mar/19/19
Description: AMAT, 0021-09718 SLIT VALVE LINERS CLEANED AND REWORKED VOXTEK, PRICE FOR 2
usedeqsales Used - $206.16 3 Jun/02/16 Jul/14/16
Description: MKS Instruments 852B12PCJ2GC Baratron AMAT 1350-01200 Lot of 2 Used Working
usedeqsales Used - $206.16 4 Jun/02/16 Nov/09/16
Description: MKS Instruments 852B-13384 Baratron AMAT 1350-01200 Lot of 2 Used Working
conquer_2011 NEW - $490.00 0 Jun/03/16 Jul/03/16
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
svcstore Used - $61.99 0 Jun/03/16 Jul/03/16
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $349.99 0 Jun/03/16 Jul/03/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $349.99 0 Jun/04/16 Jul/04/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $160.99 0 Jun/04/16 Jul/04/16
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
conquer_2011 NEW - $144.99 0 Jun/05/16 Jul/05/16
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, 54"L, Parker Push-Lok
usedeqsales Used - $206.16 1 Jun/07/16 Apr/02/23
Description: Mykrolis CBUG3L0P1 Optimizer DI-L Disposable Filter AMAT 4020-00008 Lot of 2 New
gigabitpartsolutions NEW - $71.50 0 Jun/08/16 Jul/03/17
Description: OEM Part Applied Materails (AMAT) 0270-18001 PRESS, LOCATING PIN PKG 2
gigabitpartsolutions NEW - $27.50 0 Jun/08/16 Jul/03/17
Description: OEM Part Applied Materails (AMAT) 0020-89545 BRAKET SHELF D 5.3 FI PKG 2
outback6stk Scrap, for parts - $100.00 1 Jun/08/16 Jan/23/17
Description: Z127903 (Lot of 2) DRC Shaft Encoder H53H4E24-1250C18 AMAT 0090-77346 TRM Web En
y.t.r2011 NEW - $600.00 1 Jun/08/16 Jun/09/16
Description: AMAT 0190-10734 LOWER ELECTRONIC BOX BB1 FOR 2 CHEM
ecomicron NEW - $1,400.00 0 Jun/08/16 Jun/29/16
Description: 0190-21303, AMAT, CABLE ASSEMBLY, RF MATCH, PRECLEAN 2
onlinesmt Refurbished - $9.99 0 Jun/09/16 Jun/16/16
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT) – Lot of 2
allbusindustrial Used - $50.00 0 Jun/13/16 Aug/12/16
Description: AMAT APPLIED MATERIALS CDN115, 0660-01851 D.I.P. DEVICENET I/O BLOCK - LOT OF 2
svcstore Scrap, for parts - $799.99 0 Jun/13/16 Jul/13/16
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Jun/13/16 Jul/13/16
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Jun/13/16 Jul/13/16
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $699.99 0 Jun/13/16 Jul/13/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $160.99 0 Jun/14/16 Jul/14/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
intek22 Used - $399.99 1 Jun/14/16 Dec/03/16
Description: Applied Materials AMAT Digital I/O Board Assy 0100-76124 Rev B #2
supertechshop Used - $99.00 0 Jun/14/16 Jul/14/16
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
katiil3 NEW - $399.00 1 Jun/14/16 Sep/14/19
Description: AMAT 0020-22844 Pedestal Shiled. Lot of 2
intek22 Used - $399.99 0 Jun/15/16 Feb/22/18
Description: Applied Materials MVME AMAT Pentium Interface Boards 0100-77042 Rev P3 #2
bobsgoodies Scrap, for parts - $45.00 1 Jun/15/16 Apr/14/17
Description: AMAT 0090-39195 Assy Elect 5-Phase Motor Vexta PK566AUHA (Lot of 2 Motors)
rolx1234 Used - $500.00 1 Jun/16/16 Aug/03/21
Description: LAM RESEARCH 810-017004-003 REV 2 SOLENOID INTERLOCK PCB
rolx1234 Used - $300.00 1 Jun/16/16 Dec/10/19
Description: LAM 810-017057-001 REV 2 AUTOTUNE PCB
dvkelectronics Used - $300.00 0 Jun/16/16 Jul/16/16
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
usedeqsales NEW - $106.16 0 Jun/17/16 Jul/20/20
Description: Turck WSC WKC 572-2M/C1126 DNET Cable 2 Meter AMAT 0620-02366 New
visionsemi NEW - $229.00 1 Jun/17/16 Jun/20/16
Description: AMAT STEC MASS FLOW CONTROLLER SEC-4400 HORIBA He 2 SLM 3030-04810
midwestsemi NEW - $297.32 0 Jun/18/16 Jun/22/23
Description: FREESHIPSAMEDAY AMAT 0620-04232 CABLE ASSY DNET DROP 2 METER 250V 4A SEALED BAG
gigabitpartsolutions NEW - $7.70 0 Jun/20/16 Feb/14/19
Description: OEM Part Applied Materails (AMAT) 0020-22313 SHIELD TERM BLOCK 2 POS
gigabitpartsolutions NEW - $27.50 0 Jun/20/16 Mar/04/22
Description: Hardware Leveling Foot Swivel PKG 2 SS 1/2-13X5-1/8L (AMAT) 3480-00317
exper-tech NEW - $40.00 0 Jun/21/16 Mar/01/19
Description: AMAT Applied Materials 0190-18172 Gate Valve Speed Control Fitting, Lot of 2,New
testeqe NEW - $399.99 0 Jun/22/16 Jul/17/17
Description: NEW AMAT PN: 0195-00041 110C Zone 2 Heated Gas Line Top Level Assembly
visionsemi NEW - $3,500.00 2 Jun/23/16 Dec/14/16
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
bobsgoodies Used - $145.00 11 Jun/23/16 Jan/30/20
Description: AMAT 0190-40019 Water Flow Switch Proteus 9100C24P2 Set: 1.0 GPM (Lot of 2)
visionsemi NEW - $5.00 0 Jun/23/16 Jan/19/17
Description: LAM RESEARCH 754-090359-015 SPRING (QUANTITY OF 2)
svcstore Used - $61.99 0 Jun/23/16 Jul/23/16
Description: Applied Materials 0190-76252 DIP294 Device Net I/O Block Module #2
bobsgoodies Used - $105.00 1 Jun/24/16 Jun/14/18
Description: AMAT 0190-09332 Water Flow Switch Proteus 0100C24 Set: 0.75 GPM (Lot of 2)
ssssayag Used - $75.00 0 Jun/26/16 Jul/26/16
Description: Aera 5 RA FC-D980C,Applied Materials 3030-07346 , Cl 2, 200sccm,
partskorea1 Used - $999.00 0 Jun/28/16 Dec/07/16
Description: LAM MOTHERBOARD NODE 2 PM ADP/DFC ASSY 810-802902-003 REV.A /810-800256-004
svcstore NEW - $78.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $108.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $108.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
tdindustrial NEW - $10.00 0 Jun/30/16 Nov/19/21
Description: AMAT O-Ring, 3700-03429, Lot of 2, New
tdindustrial NEW - $10.00 0 Jun/30/16 Nov/19/21
Description: AMAT O-Ring, 3700-02892, Lot of 2, New, Sealed
systasemi Used - $2,500.00 0 Jul/01/16 Oct/13/20
Description: NOVELLUS 02-143079-00 ASSY, SIOC 2 SIOC, Digital Dynamics 02-122156-00
conquer_2011 NEW - $490.00 0 Jul/03/16 Aug/02/16
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
conquer_2011 NEW - $144.99 0 Jul/05/16 Aug/04/16
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, 54"L, Parker Push-Lok
svcstore Used - $54.99 0 Jul/05/16 Aug/04/16
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $349.99 0 Jul/05/16 Aug/04/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $143.99 0 Jul/05/16 Aug/04/16
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Used - $349.99 2 Jul/05/16 Aug/01/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
athomemarket Scrap, for parts - $299.99 0 Jul/07/16 Jul/14/16
Description: (2) IN USA OPTI-Sense 5000 NDIR Infrared Endpoint Detectors AMAT 0190-08344
capitolareatech NEW - $25.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0600-00009 Ebmpapst 8414 NGR FAN SLIMELINE 24V DC, 85mA, 2,0W
capitolareatech NEW - $88.29 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-35537 Regulator, N2 SHO 2 Chamber System, Inlet 300 PSIG, 21 BAR MAX,
capitolareatech NEW - $110.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-25424 HOSE ASSEMBLY, SUPPLY 2 / RETURN 2, 78 I
capitolareatech NEW - $93.77 0 Jul/08/16 Sep/15/16
Description: AMAT 0100-00125 PCB ASSY 2 CHAR OPTN
capitolareatech NEW - $85.64 0 Jul/08/16 Sep/15/16
Description: AMAT 0100-00106 PCB, OPTO Switch 2 Assembly
capitolareatech NEW - $163.34 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-00741 Cable Assembly., SRD Pneumatic #2
capitolareatech NEW - $155.52 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-00184 Cable, PCB, Stepper, CH. 2, ANNEAL
capitolareatech NEW - $120.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0140-77940 CABLE, HEAD SWEEP 1,2 PWR, CNTRL BKHD TO
sparesllc09 NEW - $675.00 0 Jul/08/16 Jul/19/16
Description: 796-094747-001 /VLV,2 STG,L PF,NW 25 / LAM
capitolareatech NEW - $526.50 0 Jul/08/16 Sep/15/16
Description: AMAT 0190-03148 SWITCH, WATER 3/8" HOSE/W ANALOG OUT 2 G
capitolareatech NEW - $282.97 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-97203 CA 3X2K.P3/3X2K.MSW1,2,SL/RING
capitolareatech NEW - $115.34 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-95223 CFA X13A.P3/SMIF ARM 2
capitolareatech NEW - $1,800.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0227-04585 RGLTR, VERIFLO SQ60 MM 100PSI 2 PORT 0
capitolareatech NEW - $45.03 0 Jul/08/16 Sep/15/16
Description: AMAT 0226-30522 SWITCH, DOOR, 15A 2 X SPDT
capitolareatech NEW - $225.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0820-00089 Flame Detector UV/IR with 2 LED, Input: 24VDC, Relays: 1.0 Amp a
capitolareatech NEW - $75.00 0 Jul/08/16 Sep/15/16
Description: NOVELLUS SYSTEMS 10-155965-00 TUBE ASSY,V46A&V48A,STA 2
capitolareatech NEW - $22.88 0 Jul/08/16 Sep/15/16
Description: AMAT 1200-00101 RLY AUX CONTACT CS 2 NO 2 NC FRONT MOUNT
capitolareatech NEW - $156.98 0 Jul/08/16 Sep/15/16
Description: AMAT 1140-01097 Power Supply, 100-120VAC, 2 X 15VDC 1.7Amp
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01480 Heater Jacket, 30 MIL B Layer Upper Zone 2 Chamber
capitolareatech NEW - $150.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01472 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 33V, 32W
capitolareatech NEW - $150.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01467 WATLOW, Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 12V, 11W
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01465 Heater Jacket, 30 Mil B Layer Upper Zone 2 Chamber
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01461 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 2 Chamber, 43V, 4
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01459 Heater Jacket, 30 Mil B Layer Upper Zone 2 Chamber, 6V, 6W
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01439 Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 7V, 5W
capitolareatech NEW - $130.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01429 Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 7V, 5W
capitolareatech NEW - $175.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01407 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $120.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01405 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01393 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $441.42 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-00716 HEATER JACKET, UPPER ZONE 2, CH.C, ITEM
capitolareatech NEW - $238.45 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-00715 HEATER JACKET, UPPER ZONE 2, CH.C, ITEM
capitolareatech NEW - $20.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1370-90068 TRANSISTOR IRF820P ***2 PACK***
capitolareatech NEW - $22.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1370-90026 RCA TRANSISTOR 2N 6385 ***2 PACK***
capitolareatech NEW - $225.00 0 Jul/08/16 Sep/15/16
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
capitolareatech NEW - $350.00 0 Jul/09/16 Sep/15/16
Description: AMAT 3800-01102 43800906, Pressure Regulator; Air-0-100PSI 2 Port 1/4" FCE SL Ma
capitolareatech NEW - $875.00 0 Jul/09/16 Sep/15/16
Description: AMAT CENTURA 512728 California Seal & Fast SIGE O-Ring Kit: 2) 3700-01156, 2)002
capitolareatech NEW - $125.00 0 Jul/09/16 Sep/15/16
Description: LAM RESEARCH 839-007173-001 GASFEED LINE 2
capitolareatech Used - $22.50 0 Jul/09/16 Sep/15/16
Description: AMAT 0140-76625 HARNESS ASSY CENTURA MSM PWR #2
capitolareatech NEW - $15.00 0 Jul/09/16 Sep/15/16
Description: LAM 713-013486-001 Plate, 2 3/4" X 1 1/8"
capitolareatech NEW - $15.00 0 Jul/09/16 Sep/15/16
Description: AMAT 3240-01062 CND FTG FLEX MTLC 1.00" SCR-IN CONN CND T ***2 PACK***
capitolareatech NEW - $25.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0720-02385 CONN RCPT CA MTG 2 POS 22AWG .1CTR W/PLZ TAB MTA ***1400 PACK **
capitolareatech NEW - $15.00 0 Jul/09/16 Sep/15/16
Description: AMAT 1290-01202 TERM BLK 2 TERM FEEDTHRU 20 A *** 3 PACK ***
capitolareatech NEW - $20.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0620-00772 CABLE ASSY NULL MODEM 9.84FT DB9-F/F ***2 PACK***
capitolareatech NEW - $20.00 0 Jul/09/16 Sep/15/16
Description: AMAT 1370-90005 TRANSISTOR BDX 66A *** 2 PACK ***
capitolareatech NEW - $45.00 0 Jul/09/16 Sep/15/16
Description: AMAT 3080-90006 *BELT TIMING *** 2 PACK ***
capitolareatech Used - $65.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0040-76286 EMO BRACKET SKINS CENTURA WITH 2 EMERGENCY STOP BUTTONS *** 4 PA
visionsemi NEW - $2,100.00 0 Jul/12/16 Jul/19/16
Description: APPLIED MATERIALS AMAT 0242-45499 KIT PEDESTAL DRPII ENDURA 2 SWLL 0010-28090
ypspare NEW - $30.00 2 Jul/13/16 Oct/13/19
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
vizko2017 Used - $297.50 0 Jul/13/16 Sep/15/18
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
vizko2017 Used - $197.50 1 Jul/13/16 Dec/12/22
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
ntsurplus302 Used - $280.00 0 Jul/13/16 Apr/12/18
Description: 2825 Set of 2 Applied Materials P/N: 0020-62770 & 0020-62771. Screens
bobsgoodies Scrap, for parts - $125.00 1 Jul/14/16 Sep/25/17
Description: Applied Materials 0090-70001 Vexta C5347-9212M BRAKE MOTOR W/Encoder (Lot of 2
athomemarket Scrap, for parts - $299.99 1 Jul/15/16 Dec/29/16
Description: (2) IN USA OPTI-Sense 5000 NDIR Infrared Endpoint Detectors AMAT 0190-08344
ace449parts2010 NEW - $500.00 0 Jul/15/16 Nov/15/19
Description: AMAT 0040-60925 CHAMBER PLUGS (2)
svcstore Scrap, for parts - $799.99 0 Jul/15/16 Aug/14/16
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Jul/15/16 Aug/14/16
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Jul/15/16 Aug/14/16
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $699.99 0 Jul/15/16 Aug/14/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $143.99 0 Jul/15/16 Aug/14/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
sparesllc09 NEW - $675.00 0 Jul/19/16 Aug/01/19
Description: 796-094747-001 / VLV,2 STG,L PF,NW 25 / LAM
visionsemi NEW - $2,000.00 0 Jul/19/16 Dec/27/16
Description: APPLIED MATERIALS AMAT 0242-45499 KIT PEDESTAL DRPII ENDURA 2 SWLL 0010-28090
ecomicron NEW - $2,500.00 1 Jul/21/16 Jul/07/22
Description: 0150-76205, AMAT, CABLE ASSY, GAS PANEL #2 UMBI
pro-transactions Used - $499.00 0 Jul/27/16 Aug/03/16
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
bornalliancecom NEW - $325.00 0 Jul/29/16 Jun/19/17
Description: Applied Materials 0040-20048 Feedthru Lamp AMAT PVD (Lot of 2)
sammy_etek NEW - $22,000.00 0 Jul/29/16 Mar/08/18
Description: 0010-31581, APPLIED MATERIALS, PUCK ASSY DTESC ENDURA 2, 300MM
svcstore NEW - $70.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $54.99 0 Jul/29/16 Aug/28/16
Description: Applied Materials 0190-76252 DIP294 Device Net I/O Block Module #2
svcstore NEW - $97.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $97.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
autoquip7 NEW - $1,100.00 0 Jul/31/16 Jul/25/22
Description: 0050-60944, APPLIED MATERIALS, WLDMT MANIFOLD, 2 VALVES LFT, LPCVD GAS PANEL
sammy_etek NEW - $290.00 2 Jul/31/16 Jul/20/19
Description: 3870-01245, APPLIED MATERIALS, VALVE PNEU BLWS 2 WAY MINI NC-11
outback6stk NEW - $700.00 1 Aug/02/16 Oct/21/16
Description: Z126276 (Lot of 2) VEXTA PK569AHWM Stepping Motor AMAT P/N 0090-36452 - New
conquer_2011 NEW - $490.00 0 Aug/02/16 Sep/01/16
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
benta09 NEW - $75.00 0 Aug/03/16 Sep/02/16
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
conquer_2011 NEW - $144.99 0 Aug/04/16 Sep/03/16
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, 54"L, Parker Push-Lok
pro-transactions Used - $3,000.00 0 Aug/04/16 Sep/03/16
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
svcstore Used - $349.99 0 Aug/05/16 Sep/04/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $48.99 0 Aug/05/16 Sep/04/16
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $128.99 0 Aug/05/16 Sep/04/16
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
y.t.r2011 NEW - $600.00 1 Aug/10/16 Sep/26/17
Description: AMAT 0190-10734 LOWER ELECTRONIC BOX BB1 FOR 2 CHEM
ntsurplus302 NEW - $50.00 0 Aug/10/16 Apr/12/18
Description: 2937 Lot of 2 Applied Materials P/N: 0040-86019 001
dvkelectronics Used - $375.00 1 Aug/10/16 Oct/11/16
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
surplusseller13 NEW - $65.45 0 Aug/11/16 Dec/06/17
Description: AMAT 3300-90434 ¾” FTG TBG Bulkhead Union ORFS Lot of 2 New
pohyh Used - $500.00 0 Aug/12/16 Jun/27/18
Description: 5604 APPLIED MATERIAL 8" 101 SHUTTER DISC (LOT OF 2) 0020-25256
ecomicron Used - $1,000.00 1 Aug/12/16 Nov/29/17
Description: 0050-18879, AMAT, WELDMENT MNF 2 FINAL VALVES FUJIKIN ULTIMA
autoquip7 NEW - $6,500.00 0 Aug/12/16 Jul/25/22
Description: 0010-37138, APPLIED MATERIALS, DXZ HEATER ASSY, 8" HI-TEMP ALUM, VER 2.
surplusseller13 NEW - $85.23 0 Aug/12/16 Dec/06/17
Description: AMAT 0020-82823 Aperture EPG2 Carbograf Lot of 2 New
nav39 Used - $99.00 0 Aug/14/16 Aug/21/16
Description: (2) (NEW) MCG SERVO MOTOR PN 2281-ME4065 AMAT P/N 1080-01311
pohyh Used - $400.00 0 Aug/15/16 Apr/24/17
Description: 5733 LAM RESEARCH PCB ADP/DFC MOTHERBOARD NODE 2 PM 810-802902-005
j316gallery Used - $300.00 0 Aug/15/16 Dec/08/20
Description: 5732 LAM RESEARCH PCB ADP/DFC MOTHERBOARD NODE 2 PM 810-802902-003
svcstore Scrap, for parts - $799.99 0 Aug/15/16 Sep/14/16
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Aug/15/16 Sep/14/16
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Aug/15/16 Sep/14/16
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $699.99 0 Aug/15/16 Sep/14/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $128.99 0 Aug/15/16 Sep/14/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
gti-semi Used - $60.00 0 Aug/19/16 Nov/26/19
Description: Applied Materials, AMAT, STUD BLOCK CLEAN GAS FEED, Set of 2, p/n 0020-12753
farmoninc NEW - $100.00 0 Aug/19/16 Oct/16/17
Description: 2 AMAT 0680-01218, Square D, MAG THERM 3P, 240VAC 40A, BOLT. 415421
nav39 Used - $79.00 0 Aug/21/16 Aug/28/16
Description: (2) (NEW) MCG SERVO MOTOR PN 2281-ME4065 AMAT P/N 1080-01311
gti-semi Used - $60.00 0 Aug/22/16 Nov/26/19
Description: Qty 2, Applied Materials, AMAT, CLAMP TOP COIL, p/n 0020-18569
j316gallery Used - $34.00 0 Aug/25/16 Jul/28/22
Description: 5957 APPLIED MATERIALS DB 25 FEMALE 2 POS DATASWITCH 1270-01496
partskorea1 Used - $399.00 1 Aug/27/16 Apr/17/21
Description: AMAT 0190-02363 / 0130-02363 001 Mainframe Interlock 2 Relays
nav39 NEW - $49.00 0 Aug/28/16 Sep/27/16
Description: (2) (NEW) MCG SERVO MOTOR PN 2281-ME4065 AMAT P/N 1080-01311
svcstore Used - $48.99 0 Aug/29/16 Sep/28/16
Description: Applied Materials 0190-76252 DIP294 Device Net I/O Block Module #2
svcstore NEW - $87.99 0 Aug/29/16 Sep/28/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $87.99 0 Aug/29/16 Sep/28/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
usedparts-pk Scrap, for parts - $1,599.90 1 Sep/01/16 Sep/05/16
Description: NOVELLUS 02-257935-00 REV.B Assy,MC3E Platform Controller with Ethernet #2
conquer_2011 NEW - $490.00 0 Sep/01/16 Oct/01/16
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
xl-t_com Used - $85.00 0 Sep/02/16 Jan/17/19
Description: UNIT UFC-1100A - MFC - AMAT 3030-01125 - Range: 2 SLM / Gas: H2
conquer_2011 NEW - $144.99 0 Sep/03/16 Oct/03/16
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, 54"L, Parker Push-Lok
benta09 NEW - $75.00 0 Sep/03/16 Oct/03/16
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
ytyc2014 Scrap, for parts - $1,400.00 1 Sep/04/16 Sep/07/16
Description: Lot of 2 AMAT Toyota Industries IPUP T100L Dry Pump V3.4 0190-28788
svcstore Used - $349.99 0 Sep/04/16 Oct/04/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
pro-transactions Used - $3,000.00 0 Sep/05/16 Oct/05/16
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
skus092 NEW - $350.00 0 Sep/06/16 Sep/16/16
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
kakkisung-6 Used - $9,999.00 0 Sep/07/16 Feb/09/22
Description: AMAT 0200-02814 CERAMIC PUCK,2 HE ZONE,JMF,200MM, DPS+
svcstore Used - $43.99 0 Sep/07/16 Oct/07/16
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $115.99 0 Sep/07/16 Oct/07/16
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
jericotagl Used - $75.00 1 Sep/08/16 Jan/12/17
Description: MKS HPS 99-1639 LAM 796-094022-001 PNEUMATIC VACUUM VALVE NW25 / BYPASS LOT 2
pohyh Used - $2,500.00 2 Mar/17/14 Nov/20/15
Description: 1833 (2) AMAT MEC POWER SUPPLY 50512840000
autoquip7 NEW - $1,500.00 0 Sep/12/16 Jul/25/22
Description: 0050-06360, APPLIED MATERIALS, MANIFOLD, 2 LINE, FUJIKIN, CVD TI GPLIS,
svcstore Scrap, for parts - $699.99 0 Sep/14/16 Oct/14/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $799.99 0 Sep/15/16 Oct/15/16
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Sep/15/16 Oct/15/16
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Sep/15/16 Oct/15/16
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $115.99 0 Sep/15/16 Oct/15/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
skus092 NEW - $260.00 0 Sep/19/16 Oct/19/16
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
skus092 NEW - $300.00 0 Sep/19/16 Oct/19/16
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
capitolareatech NEW - $93.77 0 Sep/19/16 Nov/18/16
Description: AMAT 0100-00125 PCB ASSY 2 CHAR OPTN
capitolareatech NEW - $85.64 0 Sep/19/16 Nov/18/16
Description: AMAT 0100-00106 PCB, OPTO Switch 2 Assembly
capitolareatech NEW - $66.82 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-05141 CABLE, ETHERNET 18" HUB 2 PORT 1 TO J10
capitolareatech NEW - $62.39 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-05139 CABLE, ETHERNET 12" HUB 2 PORT 8 TO J8 S
capitolareatech NEW - $62.39 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-05138 CABLE, ETHERNET 12" HUB 2 PORT 7 TO J6 P
capitolareatech NEW - $39.51 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-04395 CABLE, SHELF #2
capitolareatech NEW - $150.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-02783 CABLE ASSY,GAS PANEL EV CONTROL 2, CVD
capitolareatech NEW - $125.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-02577 Cable Assembly, Laser Cover 2, Interlock
capitolareatech NEW - $669.53 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-13922 External Encoder Cable, Version 2
capitolareatech NEW - $669.53 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-13921 DRIVER, SENSOR CABLE (5), VERSION 2
capitolareatech NEW - $522.38 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-13920 DRIVER/CONTROLLER, POWER CABLE (2), VERS
capitolareatech NEW - $345.80 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-13914 MECHATROLINK CABLE, VERSION 2
capitolareatech NEW - $25.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0600-00009 Ebmpapst 8414 NGR FAN SLIMELINE 24V DC, 85mA, 2,0W
capitolareatech NEW - $2.06 0 Sep/19/16 Nov/18/16
Description: AMAT 1290-00592 Terminal 2 IN/20UT, 1.5mm^2, 500V
capitolareatech NEW - $15.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-99006 SWITCH 12MM ILLUM ROUND SPST ***2 PACK***
capitolareatech NEW - $13.37 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-90105 SWITCH SELECTOR 2 POSITION
capitolareatech NEW - $109.11 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-01744 Wasco SP129-51W2B SWPRESS SPST 28PSI 9/16-18MVCR 1A 2 20AW
capitolareatech NEW - $28.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1210-90448 RESISTOR FIXED 50W 50R0 HSA50 ***2 PACK***
capitolareatech NEW - $50.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01480 Heater Jacket, 30 MIL B Layer Upper Zone 2 Chamber
capitolareatech NEW - $150.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01472 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 33V, 32W
capitolareatech NEW - $150.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01467 WATLOW, Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 12V, 11W
capitolareatech NEW - $50.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01465 Heater Jacket, 30 Mil B Layer Upper Zone 2 Chamber
capitolareatech NEW - $50.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01461 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 2 Chamber, 43V, 4
capitolareatech NEW - $50.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01459 Heater Jacket, 30 Mil B Layer Upper Zone 2 Chamber, 6V, 6W
capitolareatech NEW - $50.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01439 Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 7V, 5W
capitolareatech NEW - $130.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01429 Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 7V, 5W
capitolareatech NEW - $175.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01407 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $120.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01405 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $50.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01393 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $238.45 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-00715 HEATER JACKET, UPPER ZONE 2, CH.C, ITEM
capitolareatech NEW - $20.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1370-90068 TRANSISTOR IRF820P ***2 PACK***
capitolareatech NEW - $18.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1370-90067 TRANSISTOR ZVN4206A ***2 PACK***
capitolareatech NEW - $22.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1370-90026 RCA TRANSISTOR 2N 6385 ***2 PACK***
capitolareatech NEW - $389.84 0 Sep/20/16 Nov/19/16
Description: AMAT 3310-90027 GAUGE,2 STAGE,CGA580 INLET...
capitolareatech NEW - $247.86 0 Sep/20/16 Nov/19/16
Description: AMAT 3300-91655 CONNECTOR (2) IS0-100, (1) KF-40, (1) KF-16
capitolareatech NEW - $130.00 0 Sep/20/16 Nov/19/16
Description: AMAT 3870-03767 VALVE, MECH TWIST, 2 POSITION
capitolareatech NEW - $146.56 0 Sep/20/16 Nov/19/16
Description: AMAT 3870-02580 Pneumatic Valve Diaphragm N/O 2 WAY 100PSI 1/4NPT-F/F PFA
capitolareatech NEW - $125.00 0 Sep/20/16 Nov/19/16
Description: LAM RESEARCH 839-007173-001 GASFEED LINE 2
capitolareatech NEW - $15.00 0 Sep/20/16 Nov/19/16
Description: LAM 713-013486-001 Plate, 2 3/4" X 1 1/8"
capitolareatech NEW - $225.00 0 Sep/20/16 Nov/19/16
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
capitolareatech NEW - $3.40 0 Sep/20/16 Nov/19/16
Description: LAM 749-009569-001 Type 20 NW 2,7 Female Hose Coupler Quick Disconnect
capitolareatech NEW - $5.95 0 Sep/22/16 Nov/21/16
Description: AMAT 0020-22313 SHIELD TERM BLOCK 2 POS
spsglobal Used - $20.00 2 Sep/23/16 Feb/09/17
Description: AMAT APPLIED MATERIALS 3370-01036 HDL RND 3/8 DIA 2 HIGH 4L OFFSET 10- USED
nav39 NEW - $49.00 0 Sep/27/16 Oct/27/16
Description: (2) (NEW) MCG SERVO MOTOR PN 2281-ME4065 AMAT P/N 1080-01311
farmoninc Used - $95.00 1 Sep/29/16 Nov/01/17
Description: 2 Brooks 1350EPC9CCG1A, Sho-Rate Flow Meter, AMAT 1040-01065, 0-70 L/N. 416528
farmoninc Used - $275.00 0 Sep/29/16 Feb/21/18
Description: 2 AMAT 0020-22170, SST Cover/Shield, 0020-31695 Spacer Wedge HRTV. 416532
svcstore NEW - $87.99 0 Sep/29/16 Oct/29/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $62.99 0 Sep/29/16 Oct/29/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $87.99 0 Sep/29/16 Oct/29/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore Used - $48.99 0 Sep/29/16 Oct/29/16
Description: Applied Materials 0190-76252 DIP294 Device Net I/O Block Module #2
conquer_2011 NEW - $490.00 0 Oct/01/16 Oct/31/16
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
conquer_2011 NEW - $144.99 0 Oct/03/16 Nov/02/16
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, 54"L, Parker Push-Lok
svtsurplus Used - $160.00 2 Oct/04/16 Oct/10/17
Description: Vexta PH265-05B-C12 AMAT 0090-70003 Stepper Motor for Endura 5500 HP - Lot of 2
svtsurplus Used - $160.00 1 Oct/04/16 Oct/10/17
Description: Vexta PH265-05B-C12 AMAT 0090-70002 Stepper Motor for Endura 5500 HP - Lot of 2
svcstore Used - $249.99 0 Oct/05/16 Nov/04/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
benta09 NEW - $75.00 0 Oct/06/16 Nov/05/16
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
farmoninc Used - $75.00 0 Oct/07/16 Mar/16/23
Description: 2 AMAT 3300-09811 FTG, Flare Tee Reducer, 1/2SS x 1/4 x 1/2, PFA, 416844
pro-transactions Used - $3,000.00 0 Oct/07/16 Nov/06/16
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
farmoninc NEW - $200.00 0 Oct/07/16 Nov/28/16
Description: 2 Novellus 16-259395-00 Holder, Tube, NF3 Swirl, SPD-S, 417030
svcstore Used - $38.99 0 Oct/10/16 Nov/09/16
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $103.99 0 Oct/10/16 Nov/09/16
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
farmoninc Used - $40.00 0 Oct/12/16 Mar/16/23
Description: 2 AMAT 3700-01287, O-Ring ID 987 ID. 417191
prism_electronics11 Used - $699.99 0 Oct/12/16 Oct/08/21
Description: Lam Research 839-042354-002 Rev. A SHAFT ASSY EDGWHL DRV V2 Lot Of 2
farmoninc Used - $200.00 0 Oct/14/16 Dec/24/18
Description: 2 AMAT 0021-36900, Cover, Probes, 300mm RTP 417222
svcstore Scrap, for parts - $599.99 0 Oct/14/16 Nov/13/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
farmoninc Used - $3,000.00 1 Oct/17/16 Nov/28/16
Description: 2 AMAT 0010-70264 Assy HP Robot Driver, Upper/Lower, Endura Centura, PVD, 417259
partskorea1 Used - $799.00 0 Oct/18/16 Dec/23/20
Description: AMAT 0021-24184 /LINER, BOTTOM SIDE 2, 300MM SE
farmoninc NEW - $1,450.00 0 Oct/18/16 Mar/16/23
Description: AMAT 0200-18036, Collar, Bottom, 2 Piece 200mm, JMF. 417385
svcstore Scrap, for parts - $799.99 0 Oct/18/16 Nov/17/16
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Oct/18/16 Nov/17/16
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $799.99 0 Oct/18/16 Nov/17/16
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $103.99 0 Oct/18/16 Nov/17/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
farmoninc Used - $1,700.00 0 Oct/19/16 Mar/16/23
Description: AMAT 0090-09298, ESC Assy, 200mm, Notch (2), SHWR, 5200, 0010-30723. 417358
farmoninc Used - $700.00 0 Oct/19/16 Dec/22/22
Description: 2 AMAT 0200-10507, Cover, Quartz, 8", Ext Poly, 7.6mm. 417399
skus092 NEW - $230.00 0 Oct/20/16 Nov/19/16
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
skus092 NEW - $280.00 0 Oct/20/16 Nov/19/16
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
prism_electronics11 NEW - $49.99 0 Oct/21/16 Jan/16/19
Description: Lot Of 2 Tape Kapton 35"Thick 955-090021-035 4500550424 Lam Research FraLock 1RL
usedparts-pk Used - $2,499.99 0 Oct/26/16 Oct/27/16
Description: IN USA APPLIED MATERIALS GFFOZ 3030-06231 FULL FLOW OZONE SENSOR (#2)
tdindustrial NEW - $7.50 0 Oct/27/16 Nov/19/21
Description: AMAT FTG TBG ELBOW 1/8T X 1/8MNPT 1-TOUCH QK-DISCON, 3300-02279, LOT OF 2, New
nav39 NEW - $39.00 0 Oct/27/16 Nov/26/16
Description: (2) (NEW) MCG SERVO MOTOR PN 2281-ME4065 AMAT P/N 1080-01311
be4049 NEW - $10,250.00 0 Oct/27/16 Nov/06/19
Description: 0010-30723 AMAT PEDESTAL ASSY, 200MM, NOTCH(2), GEN 2
ypspare Used - $400.00 0 Oct/29/16 Feb/21/18
Description: AMAT APPLIED MATERIALS 0020-19258 REV. 005 LOT OF 2 SOLD AS-IS
svcstore NEW - $62.99 0 Oct/30/16 Nov/29/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $87.99 0 Oct/30/16 Nov/29/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $87.99 0 Oct/30/16 Nov/29/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
austieiscute Used - $129.99 1 Oct/31/16 Nov/03/20
Description: Lot of 2 Banner Q23SP6RQ Photoelectric Sensors AMAT 853-810458-001 Rev. C DB9
farmoninc NEW - $50.00 0 Oct/31/16 Feb/23/18
Description: 2 AMAT 0090-16033 Assy, Monitor Light Pen Select, 417910
conquer_2011 NEW - $490.00 0 Oct/31/16 Nov/30/16
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
svcstore Used - $43.99 0 Oct/31/16 Nov/30/16
Description: Applied Materials 0190-76252 DIP294 Device Net I/O Block Module #2
conquer_2011 NEW - $101.49 0 Nov/02/16 Dec/02/16
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, 54"L, Parker Push-Lok
farmoninc NEW - $2,500.00 0 Nov/04/16 Apr/24/17
Description: AMAT 0100-90255 PWB Assy Serial Comm I/F DRV 2, 418116
farmoninc NEW - $2,500.00 0 Nov/04/16 Apr/24/17
Description: AMAT 0100-90255 PWB Assy Serial Comm I/F DRV 2, 418115
farmoninc NEW - $2,500.00 0 Nov/04/16 Apr/24/17
Description: AMAT 0100-90255 PWB Assy Serial Comm I/F DRV 2, 418114
katsu9202408 Used - $1,999.95 0 Nov/04/16 Sep/30/17
Description: LAM 685-069171-002 RFRB, SPEC. OES2, ENDPOINT, VS70-S98 Lots oF 2
ypspare Refurbished - $210.00 0 Nov/05/16 Nov/29/18
Description: AMAT APPLIED MATERIALS CHAMBER cleaned 0050-86832 REV. 001 #2
spsglobal Used - $300.00 0 Nov/05/16 Oct/27/22
Description: 347-0401// AMAT APPLIED 0050-10047 WELDMENT, 2 MANOMETRS, 1/2VCR,ASP USED
ypspare Refurbished - $1,990.00 0 Nov/06/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0041-31335 REV. 04 SHOWER HEAD TXZ CVD 300MM #2
usedparts-pk Used - $2,499.99 1 Nov/06/16 Oct/13/17
Description: IN USA APPLIED MATERIALS GFFOZ 3030-06231 FULL FLOW OZONE SENSOR (#2)
ypspare Refurbished - $1,200.00 0 Nov/08/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0021-16781 SHIEL UPPER 300MM REV. 2 SIP C
pro-transactions Used - $3,000.00 0 Nov/08/16 Dec/08/16
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
pro-transactions Used - $499.00 0 Nov/08/16 Nov/15/16
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
benta09 NEW - $75.00 0 Nov/09/16 Dec/09/16
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
svcstore Used - $223.99 0 Nov/10/16 Dec/10/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $34.99 0 Nov/10/16 Dec/10/16
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $92.99 0 Nov/10/16 Dec/10/16
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
farmoninc Used - $150.00 1 Nov/14/16 Jun/12/22
Description: 2 LAM 796-220745-001, Flange, CF, 1.33, Blank, Non-Rotatable, MKS HPS. 418542
farmoninc NEW - $585.00 0 Nov/16/16 Oct/22/18
Description: AMAT 0040-18113 Rev.B, Arm #2, MW Support, Ultima MDP-CVD. 418609
farmoninc Used - $250.00 0 Nov/22/16 Sep/10/21
Description: 2 AMAT 0050-09789, Weldment, Valve, Ver 4 TEOS, Swagelok 6LV-BNBW4-C. 419138
skus092 NEW - $220.00 0 Nov/19/16 Dec/19/16
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
skus092 NEW - $260.00 0 Nov/19/16 Dec/19/16
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
svcstore Scrap, for parts - $599.99 0 Nov/18/16 Dec/18/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
farmoninc NEW - $1,275.00 1 Nov/23/16 Mar/10/23
Description: 2 AMAT 0010-35522, Assembly, Status Lamp, RYGB Metch, Centura. 419220
spsglobal Used - $80.00 0 Nov/26/16 Oct/27/22
Description: 348-0401// AMAT APPLIED 0020-24412 CATHODE SWITCH COVER PRECLEAN 2 USED
spsglobal Used - $80.00 0 Nov/26/16 Oct/27/22
Description: 348-0401// AMAT APPLIED 0020-24411 CATHODE INTLK COVER PRECLEAN 2 USED
nav39 NEW - $39.00 1 Nov/26/16 Dec/01/16
Description: (2) (NEW) MCG SERVO MOTOR PN 2281-ME4065 AMAT P/N 1080-01311
allforsale555 Used - $249.00 1 Nov/27/16 Sep/12/17
Description: AMAT, Applied Materials, plasma detect ultima clean PCB 0100-18035 LOT OF (2)
dr.dantom Scrap, for parts - $300.00 1 Nov/28/16 Jan/07/18
Description: Lot of 2 Lam Research 853-001983-005 REV R 714-002602-001 Detector For Parts!
farmoninc NEW - $450.00 0 Nov/28/16 May/10/22
Description: AMAT 0040-76504 Rev.P1, JL 2 01, Cooler Weldment, 300mm Degas. 419350
ace449parts2010 Used - $750.00 1 Nov/28/16 Apr/03/17
Description: AMAT 0200-36649 PLATE, FINGER LIFT RING, 200MM PRODUCER price for 1, we have 2
pohyh Used - $3,000.00 0 Nov/29/16 Jun/05/17
Description: 7387 APPLIED MATERIAL ASSEMBLY H.O.T DETECTOR MODULE 0010-10973 REV 2
fa-parts Used - $539.99 1 Nov/29/16 May/30/17
Description: LAM NODE BOARD 810-800256-005 REV: A & MB NODE 2 PM HE 810-802902-019 REV:A
fa-parts Used - $599.99 1 Nov/29/16 Jun/12/17
Description: LAM NODE BOARD 810-800256-004 REV: F & MB NODE 2 PM HE 810-802902-017 REV:B
conquer_2011 NEW - $490.00 0 Nov/30/16 Dec/30/16
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
svcstore NEW - $78.99 0 Nov/30/16 Dec/30/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $55.99 0 Nov/30/16 Dec/30/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $78.99 0 Nov/30/16 Dec/30/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $38.99 0 Dec/01/16 Dec/31/16
Description: Applied Materials 0190-76252 DIP294 Device Net I/O Block Module #2
conquer_2011 NEW - $144.99 0 Dec/02/16 Jan/01/17
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, 54"L, Parker Push-Lok
bobsgoodies2 Used - $98.00 0 Dec/05/16 Mar/31/22
Description: AMAT 0020-38903 Support #2, Coil Source Applied Materials
fluidpowershop NEW - $103.54 3 Feb/26/16 Jan/13/17
Description: (2) QTY 2 PALL Filter UE209AS03H New Replacement Made in USA
svcstore Scrap, for parts - $679.99 0 Dec/06/16 Jan/05/17
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Dec/06/16 Jan/05/17
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Dec/06/16 Jan/05/17
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
visionsemi NEW - $325.00 1 Dec/08/16 Sep/08/21
Description: APPLIED MATERIALS AMAT VERIFLO REGULATOR 3800-01171 SQ MICRO 0-30PSI 2 PORT
partskorea1 Used - $360.00 5 Dec/08/16 Oct/12/21
Description: LAM RESEARCH MOTHERBOARD NODE 2 PM ADP/DFC 810-802902-003
pro-transactions Used - $3,000.00 0 Dec/12/16 Jan/11/17
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
pohyh Used - $300.00 0 Dec/13/16 Jan/23/19
Description: 7613 LAM RESEARCH QUARTZ PLATE 37.1CMX20.06MM /W 2 HOLDER(PARALLE 716-028454-012
pohyh Used - $300.00 0 Dec/13/16 Jan/23/19
Description: 7612 LAM RESEARCH QUARTZ PLATE 37.2CMX20.03MM /W 2 HOLDER(PARALLE 716-028454-012
pohyh Used - $300.00 0 Dec/13/16 Jan/23/19
Description: 7609 LAM RESEARCH QUARTZ PLATE 37.2CMX19.8MM W/ 2 HOLDER(PARALLEL 716-028454-012
svcstore Used - $200.99 0 Dec/13/16 Jan/12/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $30.99 0 Dec/13/16 Jan/12/17
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $82.99 0 Dec/13/16 Jan/12/17
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
visionsemi NEW - $3,500.00 1 Dec/15/16 Dec/27/16
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
betllc NEW - $25.00 0 Dec/16/16 Dec/23/16
Description: Lot (2) 1/8" Swagelok SS-2C-KZ-10 Poppet Check Valve SS 10 PSIG NEW L26 (2124)
vizko2017 Used - $220.00 1 Dec/17/16 Jan/17/23
Description: APPLIED MATERIALS QMD 0021-21840 LOT OF 2
pohyh Used - $300.00 0 Dec/18/16 Jan/23/19
Description: 7632 LAM RESEARCH QUARTZ PLATE 37.1CMX20.06MM W/ 2 HOLDER(PARALLE 716-028454-012
pohyh Used - $300.00 0 Dec/18/16 Jan/23/19
Description: 7631 LAM RESEARCH QUARTZ PLATE 37.1CMX20.05MM W/ 2 HOLDER(PARALLE 716-028454-012
pohyh Used - $300.00 0 Dec/18/16 Jan/23/19
Description: 7630 LAM RESEARCH QUARTZ PLATE 37.1CMX20.04MM W/ 2 HOLDER(PARALLE 716-028454-012
pohyh Used - $300.00 0 Dec/18/16 Jan/23/19
Description: 7629 LAM RESEARCH QUARTZ PLATE 37.2CMX20.05MM W/ 2 HOLDER(PARALLE 716-028454-012
svcstore Used - $92.99 0 Dec/18/16 Jan/17/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Scrap, for parts - $599.99 0 Dec/18/16 Jan/17/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
capitolareatech NEW - $101.21 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-08275 CONNECTOR EXTRACTION LEAP 2
capitolareatech NEW - $142.84 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-08247 CLAMP PLATE,LEAP 2
capitolareatech NEW - $35.00 0 Dec/19/16 Nov/10/18
Description: AMAT 0020-75428 Bracket, 2 Phase Driver
capitolareatech NEW - $527.53 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-76233 GAS LINE CHAM 2 WIDE BODY (HEATER)
capitolareatech NEW - $32.23 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-70090 GAS LINE #2 CH A PCII
capitolareatech NEW - $25.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-14426 HARNESS, ASSY SIDE 2 RF FILTER INITERFAC
capitolareatech NEW - $120.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-12033 CABLE PLATEN 2, P2 GUTTER FLUSH FLOW SEN
capitolareatech NEW - $175.95 1 Dec/19/16 May/04/18
Description: NOVELLUS 05-255710-00 PM KIT,GATE VALVE,6 MONTH, VAT DN 2
capitolareatech NEW - $225.00 0 Dec/19/16 Mar/14/18
Description: AMAT 0820-00089 Flame Detector UV/IR with 2 LED, Input: 24VDC, Relays: 1.0 Amp a
capitolareatech NEW - $75.00 1 Dec/19/16 Aug/15/19
Description: NOVELLUS SYSTEMS 10-155965-00 TUBE ASSY,V46A&V48A,STA 2
capitolareatech NEW - $15.00 0 Dec/19/16 Jun/19/20
Description: AMAT 1270-99006 SWITCH 12MM ILLUM ROUND SPST ***2 PACK***
capitolareatech NEW - $13.37 0 Dec/19/16 Jun/19/20
Description: AMAT 1270-90105 SWITCH SELECTOR 2 POSITION
capitolareatech NEW - $109.11 0 Dec/19/16 Jun/19/20
Description: AMAT 1270-01744 Wasco SP129-51W2B SWPRESS SPST 28PSI 9/16-18MVCR 1A 2 20AW
capitolareatech NEW - $50.00 0 Dec/19/16 Mar/28/18
Description: AMAT 1410-01480 Heater Jacket, 30 MIL B Layer Upper Zone 2 Chamber
capitolareatech NEW - $150.00 0 Dec/19/16 Mar/28/18
Description: AMAT 1410-01472 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 33V, 32W
capitolareatech NEW - $150.00 0 Dec/19/16 Jun/19/20
Description: AMAT 1410-01467 WATLOW, Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 12V, 11W
capitolareatech NEW - $50.00 0 Dec/19/16 Jun/19/20
Description: AMAT 1410-01465 Heater Jacket, 30 Mil B Layer Upper Zone 2 Chamber
capitolareatech NEW - $50.00 0 Dec/19/16 Mar/28/18
Description: AMAT 1410-01461 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 2 Chamber, 43V, 4
capitolareatech NEW - $50.00 0 Dec/19/16 Jun/19/20
Description: AMAT 1410-01459 Heater Jacket, 30 Mil B Layer Upper Zone 2 Chamber, 6V, 6W
capitolareatech NEW - $50.00 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-01439 Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 7V, 5W
capitolareatech NEW - $130.00 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-01429 Heater Jacket 30 MIL B Layer Upper Zone 2 CHAM, 7V, 5W
capitolareatech NEW - $175.00 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-01407 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $120.00 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-01405 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $50.00 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-01393 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $238.45 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-00715 HEATER JACKET, UPPER ZONE 2, CH.C, ITEM
capitolareatech NEW - $225.00 0 Dec/19/16 Jun/19/20
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
capitolareatech NEW - $11.60 0 Dec/20/16 Jun/20/20
Description: AMAT 3700-02136 O-Ring, AS-568A-113 CPD 513, 0.549 ID X 2.62 CX IN, 13.94 ID X 2
capitolareatech NEW - $875.00 0 Dec/20/16 Jun/20/20
Description: AMAT CENTURA 512728 California Seal & Fast SIGE O-Ring Kit: 2) 3700-01156, 2)002
capitolareatech NEW - $125.00 0 Dec/20/16 Jan/31/17
Description: LAM RESEARCH 839-007173-001 GASFEED LINE 2
capitolareatech Used - $22.50 0 Dec/20/16 Jun/06/17
Description: AMAT 0140-76625 HARNESS ASSY CENTURA MSM PWR #2
capitolareatech NEW - $15.00 0 Dec/20/16 Jun/20/20
Description: LAM 713-013486-001 Plate, 2 3/4" X 1 1/8"
skus092 NEW - $260.00 0 Dec/20/16 Jan/19/17
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
skus092 NEW - $220.00 0 Dec/20/16 Jan/19/17
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
capitolareatech NEW - $28.00 0 Dec/20/16 Jun/20/20
Description: AMAT 0020-94883 GRID MOUNTING BOTTOM ***2 PACK***
ntc_tech Used - $299.99 0 Dec/27/16 Jun/13/17
Description: Lam Research 810-802902-006 Motherboard Node 2 PM PCB 710-802902-006 New
benta09 NEW - $75.00 0 Dec/28/16 Jan/27/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
conquer_2011 NEW - $490.00 0 Dec/30/16 Jan/29/17
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
conquer_2011 NEW - $214.99 0 Jan/01/17 Jan/31/17
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, 54"L, Parker Push-Lok
svcstore NEW - $70.99 0 Jan/03/17 Feb/02/17
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $70.99 0 Jan/03/17 Feb/02/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $49.99 0 Jan/03/17 Feb/02/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $34.99 0 Jan/03/17 Feb/02/17
Description: Applied Materials 0190-76252 DIP294 Device Net I/O Block Module #2
alvin1462 NEW - $888.00 1 Jan/04/17 Nov/14/18
Description: APPLIED MATERIALS AMAT 0200-02408 PUMPING RING, CERAMIC C-CHANNEL, SIDE 2 NEW
alvin1462 NEW - $688.00 1 Jan/04/17 Jan/11/18
Description: APPLIED MATERIALS AMAT 0021-24184 LINER BOTTOM SIDE 2 300MM SE NEW
alvin1462 NEW - $488.00 1 Jan/04/17 Jan/11/18
Description: APPLIED MATERIALS AMAT 0021-24182 SPACER, CHAMBER 2, , PRODUCER SE NEW
intek22 Used - $199.99 1 Jan/09/17 Mar/14/17
Description: Applied Materials Interlock No. 2 0100-77038
ntc_tech Used - $1,250.00 1 Jan/09/17 Mar/07/18
Description: Novellus Digital Dynamics 02-252397-00 Vector HDSOIC 2
svcstore Scrap, for parts - $679.99 0 Jan/11/17 Feb/10/17
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Jan/11/17 Feb/10/17
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Jan/11/17 Feb/10/17
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $179.99 0 Jan/13/17 Feb/12/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $26.99 0 Jan/13/17 Feb/12/17
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $73.99 0 Jan/13/17 Feb/12/17
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
allforsale555 Used - $349.00 0 Jan/15/17 Jul/29/21
Description: AMAT, 0050-18879, WELDMENT MNF 2 FINAL VALVES FUJIKIN ULTIMA
keykorea NEW - $280.00 0 Jan/17/17 Sep/28/18
Description: AMAT 0021-79492 CKET 2 DI FLOW VALVE DDF3, NEW
pro-transactions Used - $3,000.00 0 Jan/16/17 Feb/15/17
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
svcstore Used - $92.99 0 Jan/19/17 Feb/18/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Scrap, for parts - $599.99 0 Jan/19/17 Feb/18/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
allforsale555 Refurbished - $699.00 1 Jan/19/17 Apr/06/20
Description: Applied materials 0050-06249, WELDMENT MNF 2 FINAL VALVES OFFSET FUJIKIN ULTIMA
allforsale555 NEW - $399.00 1 Jan/19/17 Dec/18/17
Description: APPLIED MATERIALS 0010-27600 2 PHASE STEPPER MOTOR
conquer_2011 NEW - $490.00 0 Jan/29/17 Feb/28/17
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
conquer_2011 NEW - $214.99 0 Jan/31/17 Mar/02/17
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, Parker Push-Lok, 316
csi.usa NEW - $100.00 0 Feb/02/17 Dec/29/17
Description: NOVELLUS 17-103987-00 STRAP, RF REV.A LOT OF 2
farmoninc NEW - $200.00 1 Feb/03/17 Sep/19/17
Description: 2 AMAT 3400-01468 Hose Assembly Flex Braid 1/4 ID x 24L, 1.4 VCR-M/F SST, 421550
skus092 NEW - $220.00 0 Feb/03/17 Mar/05/17
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
skus092 NEW - $260.00 0 Feb/03/17 Mar/05/17
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
svcstore NEW - $62.99 0 Feb/03/17 Mar/05/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $62.99 0 Feb/03/17 Mar/05/17
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $43.99 0 Feb/03/17 Mar/05/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $30.99 0 Feb/03/17 Mar/05/17
Description: Applied Materials 0190-76252 DIP294 Device Net I/O Block Module #2
bobsgoodies Used - $150.00 1 Feb/07/17 May/15/17
Description: AMAT 0040-02634 MANIFOLD, SHORT,CENTURA MMF (Lot of 2)
farmoninc NEW - $550.00 0 Feb/08/17 Jul/05/17
Description: 2 AMAT 3870-01767 Valve Pneu Diaphragm 140PSIG 1/4-F/F NC 1/8FIT VIM VAR, 421629
benta09 NEW - $75.00 0 Feb/08/17 Mar/10/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
texassemicontech Used - $296.00 0 Feb/10/17 Jun/29/18
Description: Lam Research OnTrak 413-240202-004 - RB 4520 AL A6 ILL PT ID CLN - Lot of 2 USED
surplusssam NEW - $17.99 0 Feb/14/17 Feb/24/17
Description: 2 NEW AMAT APPLIED MATERIALS 3300-04767 BULKHEAD UNION 1/8T 3/8-24THD
svcstore Scrap, for parts - $679.99 0 Feb/14/17 Mar/16/17
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Feb/14/17 Mar/16/17
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Feb/14/17 Mar/16/17
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $160.99 0 Feb/14/17 Mar/16/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $23.99 0 Feb/14/17 Mar/16/17
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $65.99 0 Feb/14/17 Mar/16/17
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
pro-transactions Used - $3,000.00 0 Feb/17/17 Mar/19/17
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
bornalliancecom Used - $990.00 0 Feb/17/17 Jun/15/23
Description: Applied Materials 0200-00221 Insulator, 200 MM PCII (LOT of 2)
usedeqsales NEW - $152.17 3 Feb/20/17 Dec/10/18
Description: Keyence PZ2-41 Mini Photoelectric Sensor AMAT 1400-01166 Reseller Lot of 2 New
j316gallery Used - $2,883.54 1 Feb/20/17 Aug/09/21
Description: 7784 NOVELLUS CONTROLLER HDSIOC 2 VECTOR FIRMWARE VERSION 5.0 02-321648-00
bobsgoodies2 Used - $55.00 2 Feb/22/17 Mar/31/22
Description: AMAT 0190-36126 LAMP ASSY D-BASE PLUG XE CHAMBER RTP (Pkg of 2 Lamps)
svcstore Scrap, for parts - $599.99 0 Feb/24/17 Mar/26/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $92.99 0 Feb/24/17 Mar/26/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
pohyh Used - $150.00 0 Feb/26/17 Feb/07/18
Description: 7662 APPLIED MATERIAL MANIFOLD ALUMINUM CH. 2, PRODUCER SE 0040-63107
surplusssam NEW - $17.99 0 Feb/28/17 Mar/10/17
Description: 2 NEW AMAT APPLIED MATERIALS 3300-04767 BULKHEAD UNION 1/8T 3/8-24THD
conquer_2011 NEW - $431.20 0 Feb/28/17 Mar/30/17
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
texassemicontech NEW - $2,142.80 0 Feb/16/17 Aug/15/17
Description: Lam Research OnTrak 13-8800-321 - Bridge Brush #1 Brush #2 ENTR - New
kakkisung-6 NEW - $6,000.00 0 Mar/02/17 Feb/09/22
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials #
conquer_2011 NEW - $214.99 0 Mar/02/17 Apr/01/17
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, Parker Push-Lok, 316
svcstore NEW - $55.99 0 Mar/06/17 Apr/05/17
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $38.99 0 Mar/06/17 Apr/05/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $55.99 0 Mar/06/17 Apr/05/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $26.99 0 Mar/06/17 Mar/22/17
Description: Applied Materials 0190-76252 DIP294 Device Net I/O Block Module #2
skus092 NEW - $260.00 0 Mar/07/17 Apr/06/17
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
skus092 NEW - $220.00 0 Mar/07/17 Apr/06/17
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
allforsale555 NEW - $99.00 1 Mar/07/17 May/02/18
Description: AMAT 0021-22123 BLANK-OFF, BACKSIDE PURGE, TIN TURBO ADA ( LOT OF 2)
katiil3 Used - $199.00 0 Mar/10/17 Oct/23/21
Description: AMAT 0020-31082 PRODUCER CH SHIPPING CLAMP LOT OF (2)
benta09 NEW - $75.00 0 Mar/11/17 Apr/10/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
bobsgoodies Used - $650.00 1 Mar/16/17 Mar/19/17
Description: AMAT 0010-76675 ASSY, PVD 3-WAY VALVE SUPPLY (POS 2,4,D), SST Applied Materials
bobsgoodies NEW - $40.00 1 Mar/16/17 May/11/17
Description: AMAT 0190-70044 Valve, Solenoid, 1/16" Orifice 24 VDC Predyne EH3112-MM (2)
svcstore Scrap, for parts - $679.99 0 Mar/17/17 Apr/16/17
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Mar/17/17 Apr/16/17
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Mar/17/17 Apr/16/17
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $160.99 0 Mar/17/17 Apr/16/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $23.99 0 Mar/17/17 Apr/16/17
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $65.99 0 Mar/17/17 Apr/16/17
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
cubit001 NEW - $199.00 0 Mar/20/17 Apr/19/17
Description: AMAT 1270-01786 SWDATA 2 POS 5 PIN DIN Keyboard
j316gallery Used - $2,500.00 0 Mar/20/17 Aug/20/17
Description: 7937 APPLIED MATERIAL SMC WAFER LIFT ASSEMBLY PRECLEAN 2 NCDQ2WBS63-G 0010-20753
grandbirdnet Used - $180.00 0 Mar/21/17 Jun/15/23
Description: AMAT 3870-03073 VALVE ASSY SOL 3/2WAY 2MMORF 6106 W/2506 LOT OF 2 , USED
grandbirdnet Used - $180.00 0 Mar/21/17 Mar/06/22
Description: AMAT 3870-03073 VALVE ASSY SOL 3/2WAY 2MMORF 6106 W/2506 LOT OF 2 , USED
grandbirdnet Used - $180.00 0 Mar/21/17 Jun/15/23
Description: AMAT 3870-03073 VALVE ASSY SOL 3/2WAY 2MMORF 6106 W/2506 LOT OF 2 , USED
j316gallery Used - $2,100.00 0 Mar/23/17 Nov/18/20
Description: 8266 APPLIED MATERIAL 8" HEATER ASSY WITH 2 TC 0040-20505 0020-20125
odysseystudios NEW - $2,400.00 0 Mar/24/17 Nov/17/21
Description: Applied Materials 0010-24684 Rev 2 Panasonic Drive Assembly Indexer + Warranty
katiil3 NEW - $299.00 1 Mar/25/17 Feb/13/19
Description: AMAT 0190-26728 BRG 4-POINT CONTACT SPECIAL 6" , NEW ( LOT OF 2 )
svcstore Scrap, for parts - $599.99 0 Mar/27/17 Apr/26/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $92.99 0 Mar/27/17 Apr/26/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
j316gallery Used - $218.45 0 Mar/27/17 Nov/27/21
Description: 7877 NOVELLUS PCB AUTOCAL INTERFACE CONCEPT 1 & 2 BD 03-145147-00
j316gallery Used - $330.00 0 Mar/28/17 Oct/28/19
Description: 8063 LAM RESEARCH PCB MOTHERBOARD, NODE 2 PM, ADP/DFC (710-802902 810-802902-003
bobsgoodies NEW - $75.00 1 Mar/28/17 May/31/18
Description: AMAT 1010-01406 USHIO JIHZ 75V-750WB LAMP BULB (Lot of 2 Bulbs)
pro-transactions Used - $3,000.00 0 Mar/28/17 Apr/27/17
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
conquer_2011 NEW - $490.00 0 Mar/30/17 Apr/29/17
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
katiil3 Used - $150.00 0 Mar/31/17 Oct/23/21
Description: AMAT Applied Materials, COVER, GAS TRANCH - CLEANED, p/n 0020-26967 ( LOT OF 2 )
conquer_2011 NEW - $214.99 0 Apr/01/17 May/01/17
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, Parker Push-Lok, 316
biggbsurplus NEW - $499.50 0 Apr/02/17 May/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
katiil3 Used - $449.00 0 Apr/03/17 Nov/27/18
Description: APPLIED MATERIAL KIT, CALIBRATION TOOL, 8'' ORIENTAL P/N 0270-20044 ( LOT OF 2 )
svcstore NEW - $49.99 0 Apr/06/17 May/06/17
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $34.99 0 Apr/06/17 May/06/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $49.99 0 Apr/06/17 May/06/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
20041014625pm Used - $1,180.00 1 Apr/07/17 Jun/14/17
Description: Lam Research 853-012100-003 Arm Housing Assembly (2) Lot
skus092 NEW - $220.00 0 Apr/07/17 May/07/17
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
skus092 NEW - $260.00 0 Apr/07/17 May/07/17
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
usedeqsales Used - $204.17 1 Apr/18/17 May/01/20
Description: AMAT Applied Materials 0150-35488 Monitor Cable Reseller Lot of 2 Used Working
svcstore Used - $143.99 0 Apr/17/17 May/17/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
randmgoods NEW - $299.99 0 Apr/19/17 Feb/28/20
Description: AMAT 0021-81247 FACEPLATE Sequoia APR CIP 2, 300MM
farmoninc NEW - $85.00 1 Apr/19/17 Aug/07/17
Description: 2 Banner MA3-4 Modulated Photoelectric Amplifier, Sensor AMAT 0540-01006, 423109
svcstore Used - $58.99 0 Apr/17/17 May/17/17
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Scrap, for parts - $679.99 0 Apr/17/17 May/17/17
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
usedeqsales Used - $154.17 0 Apr/21/17 Jun/15/23
Description: AMAT Applied Materials 0190-11512 Particle Filter Element Lot of 2 New Surplus
farmoninc NEW - $2,500.00 0 Apr/26/17 May/11/17
Description: AMAT 0100-90255 PWB Assembly Serial Communication I/F Drive 2, PCB, 418117
farmoninc NEW - $2,500.00 0 Apr/26/17 Dec/20/17
Description: AMAT 0100-90255 PWB Assembly Serial Communication I/F Drive 2, PCB, 418116
benta09 NEW - $75.00 0 Apr/29/17 May/29/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
conquer_2011 NEW - $214.99 0 May/01/17 May/31/17
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, Parker Push-Lok, 316
conquer_2011 NEW - $490.00 0 Apr/29/17 May/29/17
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
pro-transactions Used - $3,000.00 0 May/01/17 May/31/17
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
svcstore Scrap, for parts - $599.99 0 May/01/17 May/10/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $73.99 0 May/01/17 May/31/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
labstd Used - $249.00 1 May/02/17 Jun/30/21
Description: Novellus systems P/N: 03-320080-00 rev A E401544001100 (lot of 2)
alvin1462 Used - $1,188.00 1 May/02/17 Mar/31/23
Description: AMAT 0041-12149 Critical Pedestal Opera HTSLL Endura 2 NEW
biggbsurplus NEW - $499.50 0 May/03/17 Jun/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
katiil3 NEW - $49.00 1 May/07/17 Apr/07/21
Description: AMAT 3300-02240 FTG FLG 2-3/4 P.D X .5" SST BLK NON-RO ( LOT OF 2 )
j316gallery Used - $1,320.00 0 May/09/17 Oct/02/19
Description: 8548 NOVELLUS 20'' SHIELD ADAPTOR GEN 2 16-260355-00
katiil3 Used - $99.00 0 May/11/17 Oct/23/21
Description: AMAT 0020-51641 BRACKET, MAG SWITCH, 200MM BAKEOUT LAMPS ( QTY 2 )
katiil3 NEW - $449.00 1 May/11/17 Feb/22/19
Description: APPLIED MATERIALS 0150-18134 CABLE ASSY. SOURCE BOX TO AC POWER ( LOT OF 2 )
katiil3 NEW - $499.00 1 May/11/17 Feb/04/18
Description: AMAT 0150-03337 CABLE ASSY, DPS THROTTLE VALVE 75' MOTOR ( LOT OF 2 )
part_miner NEW - $1,200.00 0 May/12/17 Jun/11/17
Description: AMAT 0042-73402 WELDMENT TUBE HIGH INDUCTIVE IMPEDANCE 2
katiil3 Used - $150.00 1 May/15/17 Jun/15/20
Description: AMAT 0020-18797 BRCKET, RIGHT DUAL GAS SPRING RETROFIT U (2) 0020-18796(1) QYT 3
katiil3 Used - $349.00 0 May/17/17 Oct/23/21
Description: AMAT, 0050-18879, WELDMENT MNF 2 FINAL VALVES FUJIKIN ULTIMA
svcstore Used - $143.99 0 May/19/17 Jun/18/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $20.99 0 May/19/17 Jun/18/17
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $58.99 0 May/19/17 Jun/18/17
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Scrap, for parts - $679.99 0 May/19/17 Jun/18/17
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 May/19/17 Jun/18/17
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 May/19/17 Jun/18/17
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
testvue111 Used - $6,500.00 0 May/21/17 May/31/17
Description: AMAT Synergy V452 VME SBC 0090-76133, 2 year warranty!!!
j316gallery Used - $444.76 0 May/22/17 May/26/22
Description: 8604 APPLIED MATERIALS LINER, BOTTOM SIDE 2, 300MM SE 0021-24184
biggbsurplus NEW - $499.50 0 Jun/02/17 Jul/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
svcstore Used - $73.99 0 Jun/01/17 Jul/01/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
pro-transactions Used - $3,000.00 0 Jun/01/17 Jul/01/17
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
electronicpals Used - $90.00 0 Jun/04/17 Apr/13/19
Description: AMAT 0200-05358 And 0200-06143 Reflector Lot Of 2 Read Description
used1eqsales Used - $3,011.13 0 Jun/05/17 Mar/16/18
Description: AMAT Quantum Leap 2 Process Module Load Lock Door 9010-00299 300mm working
dr.dantom Used - $150.00 0 Jun/06/17 Jul/19/17
Description: Applied Materials 0021-78095 MANIFOLD 2 VALVE UPA ASSY W/Burkert US06046
used1eqsales Used - $3,003.12 0 Jun/06/17 Mar/28/18
Description: AMAT Source Assy Encore 2 Cu 300mm c 0010-27504 0190-13851 Vexta BXM6400-B1
benta09 NEW - $75.00 0 Jun/08/17 Jul/08/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
athomemarket NEW - $63.99 3 Jun/08/17 Oct/01/18
Description: NEW (Lot of 2) AMAT 0190-04979 Nomex Hose Assy Dome to Flow Switch 3/8, 1/2 TS
katiil3 Scrap, for parts - $449.00 0 Jun/09/17 Jul/04/17
Description: AMAT 0010-76317 VEXTA MOTOR ASSY, A3723-9215, 5 Phase Stepping Motor ( lot of 2)
spsglobal Used - $2,000.00 0 Jun/09/17 Apr/24/23
Description: 136-0501// AMAT APPLIED 0010-09331 (#2) (WITHOUT COVER) AMPULE/CHAMBER TEOS USED
j316gallery Used - $800.00 0 Jun/12/17 Dec/07/17
Description: 8766 APPLIED MATERIAL CABLE ASSY CONVECTRON 2 INTCNT 50FT (15.24M) 0150-21239
used1eqsales Used - $3,509.15 0 Jun/12/17 Nov/20/17
Description: AMAT 0010-14528 Magnetic Source 2 CPI-VMO Endura 404663 300mm used working
used1eqsales NEW - $127.15 0 Jun/12/17 Mar/21/18
Description: Watlow A004932 MFC Heater Insulatn Novellus 04-403070-00 C3 Vector Lot of 2 new
j316gallery Used - $1,000.00 0 Jun/14/17 Dec/07/17
Description: 8797 APPLIED MATERIAL CABLE ASSY CHAMBER 2 INTCNT 50FT (15.24M) 0150-21233
athomemarket NEW - $29.99 0 Jun/14/17 Jan/10/18
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $49.99 0 Jun/15/17 Jun/22/17
Description: (Lot of 2) NEW AMAT 0050-84375 Bellows 2"x20" Flex Hose NW50 Flange Stainless
athomemarket Used - $39.99 0 Jun/16/17 Oct/08/18
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
spsglobal Used - $4,750.00 1 Jun/19/17 Mar/18/24
Description: 108-0601// AMAT APPLIED 0010-20768 (#2) APPLIED MATRIALS COMPONENTS USED
spsglobal NEW - $5,000.00 1 Jun/19/17 Aug/12/18
Description: 108-0601// AMAT APPLIED 0010-20223 (#2) wMAGNET REM 11.3"TIN ASY USED
spsglobal NEW - $1,500.00 1 Jun/19/17 Jan/02/20
Description: 108-0401// AMAT APPLIED 0010-21403 (#2) APPLIED MATRIALS COMPONENTS ASIS
svcstore Used - $128.99 0 Jun/21/17 Jul/21/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $17.99 0 Jun/21/17 Jul/05/17
Description: AMAT/AKT VME Communication w/ PMC Cards PCA PCB Board Assembly 0100-71239 #2
svcstore Used - $52.99 0 Jun/21/17 Jul/21/17
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Scrap, for parts - $679.99 0 Jun/21/17 Jul/21/17
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Jun/21/17 Jul/21/17
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Jun/21/17 Jul/21/17
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
athomemarket NEW - $49.99 1 Jun/23/17 Aug/25/17
Description: (Lot of 2) NEW AMAT 0050-84375 Bellows 2"x20" Flex Hose NW50 Flange Stainless
j316gallery Used - $3,500.00 1 Jun/23/17 Aug/23/17
Description: 8892 APPLIED MATERIAL ADAPTER, CESC, 2 HE ZONE, 200MM, DPS+ 0040-64458
farmoninc Scrap, for parts - $7,125.00 1 Jun/27/17 Oct/17/17
Description: 2 Neslab Chiller, Heat Exchanger, AMAT 0190-76118, Endura, PVD, 423504
testeqe NEW - $399.99 0 Jun/28/17 Aug/27/17
Description: NEW AMAT PN: 0195-00041 110C Zone 2 Heated Gas Line Top Level Assembly
spsglobal Used - $1,000.00 2 Jun/28/17 Sep/24/22
Description: 140-0501// AMAT APPLIED 0020-24230 BASE PRECLEAN 2 USED
spsglobal NEW - $1,700.00 0 Jun/28/17 Dec/28/17
Description: 131-0701// AMAT APPLIED 0270-02024 LIFT FIXTURE, SERVICE, REV 2, NEW
conquer_2011 NEW - $490.00 0 Jun/28/17 Jul/28/17
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
conquer_2011 NEW - $214.99 0 Jun/30/17 Jul/30/17
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, Parker Push-Lok, 316
athomemarket NEW - $88.99 0 Jun/30/17 Oct/22/18
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
pro-transactions Used - $3,000.00 0 Jul/03/17 Aug/02/17
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
biggbsurplus NEW - $499.50 0 Jul/03/17 Aug/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
svcstore Used - $65.99 0 Jul/03/17 Aug/02/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
logansemi Scrap, for parts - $2,400.00 1 Jul/11/17 Nov/29/17
Description: ETO / AMAT HDP RF RACK, ETO Model 80-S09-UW, AMAT 0190-18181, LOT OF 2
j316gallery Used - $400.00 0 Jul/12/17 Dec/07/17
Description: 8669 APPLIED MATERIAL CABLE, CHAMBER TRAY ACCESS (LOT OF 2) 0150-76661
tdindustrial Used - $86.88 0 Jul/14/17 Nov/19/21
Description: AMAT Applied Materials APC#1,2, Personality PCB Board, 0660-01643 Rev A
exper-tech NEW - $75.00 0 Jul/20/17 Oct/30/18
Description: AMAT 0500-39752 Vacuum Tube, 90 Elbow 7.5"x10", NW50 & 2 Leak Check Ports, New
premiumplc NEW - $179.95 1 Jul/28/16 Sep/28/20
Description: WHITEY MS-142AC ELECTRONIC ACTUATED VALVE HEAD SWAGELOK 2 VALVES: B-63TF8
svcstore Used - $115.99 0 Jul/24/17 Aug/23/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $46.99 0 Jul/24/17 Aug/23/17
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Scrap, for parts - $679.99 0 Jul/24/17 Aug/23/17
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Jul/24/17 Aug/23/17
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Jul/24/17 Aug/23/17
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
benta09 NEW - $75.00 0 Jul/26/17 Aug/25/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
spsglobal Used - $450.00 0 Jul/28/17 Nov/28/18
Description: 145-0101// AMAT APPLIED 0050-13029 (#2) (TWO VALVE) COMPONENTS USED
qrecycle Used - $599.00 0 Jul/28/17 Jul/31/17
Description: APPLIED MATERIAL 0010-09340 Susceptor lift lot of 2
conquer_2011 NEW - $431.20 0 Jul/28/17 Aug/27/17
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
vizko2017 Used - $150.00 1 Jul/29/17 Jun/19/19
Description: Lam Research 715-130092-008 End Effector 200mm Wafer Rev. 2
conquer_2011 NEW - $189.19 0 Jul/30/17 Aug/29/17
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, Parker Push-Lok, 316
sparesllc09 NEW - $1,800.00 0 Jul/31/17 Jul/26/19
Description: 715-028087-005 / SHROUD UPPER ELECTRODE 2 MIL HA, 4600 / LAM
svcstore Used - $99.99 0 Jul/31/17 Aug/30/17
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
usedeqsales Used - $508.17 0 Aug/01/17 Sep/18/17
Description: MEI Motion Engineering T008-2001 eXMP Controller Rev. 2 AMAT 0190-13990 Used
exper-tech NEW - $80.00 0 Aug/01/17 Oct/30/18
Description: AMAT 0500-38128 Vacuum Tube, 90° Elbow 4.25" x 6.25", NW50, Lot of 2 NEW
biggbsurplus NEW - $499.50 0 Aug/02/17 Sep/01/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
svcstore Used - $58.99 0 Aug/03/17 Sep/02/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
plccenter Used - $1,771.00 1 Aug/07/17 Aug/23/17
Description: APPLIED MATERIAL 0100-20001 (Used, Cleaned, Tested 2 year warranty)
plccenter Used - $2,753.00 0 Aug/07/17 Jun/02/19
Description: APPLIED MATERIAL 0100-35227 (Used, Cleaned, Tested 2 year warranty)
mcarray NEW - $2,000.00 0 Aug/08/17 Sep/07/17
Description: AMAT 0021-21617 COVER, RING 8" 55 DEG, 2 TABS, MASK
pro-transactions Used - $499.00 0 Aug/10/17 Aug/15/17
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
svcstore NEW - $30.99 3 Aug/11/17 Aug/29/17
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
spsglobal Used - $600.00 0 Aug/16/17 Aug/28/19
Description: 129-0201// AMAT APPLIED 0100-09156 (#2) 0020-09074 OBS PCB ASSY, RF MATCH USED
pro-transactions Used - $3,000.00 0 Aug/17/17 Sep/16/17
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
katiil3 Used - $599.00 1 Aug/21/17 Dec/19/17
Description: Applied materials 0190-00009 pedestal return line ( lot of 2 )
j316gallery Used - $2,000.00 0 Aug/22/17 Sep/18/17
Description: 8099 APPLIED MATERIAL PCB STEPPER CONTROLLER 2 0100-00975
svcstore Used - $115.99 2 Aug/24/17 Aug/27/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #2
svcstore Used - $46.99 0 Aug/24/17 Sep/23/17
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Scrap, for parts - $679.99 0 Aug/24/17 Sep/23/17
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Aug/24/17 Sep/23/17
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Aug/24/17 Sep/23/17
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
conquer_2011 NEW - $254.80 0 Aug/27/17 Sep/18/17
Description: AMAT ASSY: 0020-35042 REV P1 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF, NEW
qrecycle Used - $599.00 1 Aug/28/17 Sep/07/17
Description: APPLIED MATERIAL 0010-09340 Susceptor lift lot of 2
conquer_2011 NEW - $111.79 0 Aug/29/17 Sep/18/17
Description: AMAT 0010-02579 HOSE ASSY, WxZ CHAMBER #2 SUPPLY, Parker Push-Lok, 316
phxinn Refurbished - $5,500.00 0 Aug/31/17 Sep/30/17
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
comwaysind NEW - $1.99 0 Sep/01/17 Apr/24/19
Description: New SMC TIUB11 Polyurethane O.D 3/8" clear 2 feet tiub11c AMAT 3860-01482
biggbsurplus NEW - $499.50 0 Sep/01/17 Oct/01/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
allforsale555 Scrap, for parts - $299.00 1 Sep/05/17 Sep/06/17
Description: AMAT P/N 0190-00318 RadiSys 486 PCB ( LOT OF 2 )
svcstore Used - $58.99 0 Sep/05/17 Oct/05/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore NEW - $30.99 0 Sep/05/17 Oct/05/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
allforsale555 Used - $399.00 1 Sep/05/17 Jan/26/18
Description: MKS TeNTA cPCI PWRS-0721 AS00721-06 Applied materials 0190-28493 ( LOT OF 2 )
allforsale555 Used - $299.00 0 Sep/06/17 Jun/22/18
Description: AMAT Applied Materials 0100-76124 Digital I/O PCB Board ( lot of 2 )
svcstore Used - $99.99 0 Sep/06/17 Oct/06/17
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
mcarray NEW - $2,000.00 0 Sep/07/17 Apr/05/18
Description: AMAT 0021-21617 COVER, RING 8" 55 DEG, 2 TABS, MASK
benta09 NEW - $75.00 0 Sep/08/17 Oct/08/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
randmgoods NEW - $199.99 1 Sep/11/17 Mar/05/19
Description: Applied Materials AMAT 0200-03397 001 302-174-003 Pumping Ring Side 2
midwestsemi Used - $280.00 2 Sep/14/17 Jun/15/22
Description: LOT 2 NIB 3700-03903 AMAT SEAL CENTER RING NW80 W/KALREZ 8575 ORING SHIPSAMEDAY
j316gallery Used - $42.90 7 Sep/19/17 May/17/21
Description: 9329 APPLIED MATERIAL HUB END LAMP COVER (LOT OF 2) 0020-20484
pro-transactions Used - $3,000.00 0 Sep/19/17 Oct/19/17
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
plccenter Used - $75.00 0 Sep/21/17 Dec/29/17
Description: APPLIED MATERIAL 0100-77050 (Used, Cleaned, Tested 2 year warranty)
sacramento_liquidators Used - $1,499.99 0 Sep/21/17 Oct/21/17
Description: Digital Dynamics IXT PVD SIOC 2 02-375806-00 Rev A Novellus 79-10098-00
svcstore Scrap, for parts - $679.99 0 Sep/24/17 Oct/24/17
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $46.99 0 Sep/24/17 Oct/24/17
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Scrap, for parts - $679.99 0 Sep/24/17 Oct/24/17
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Sep/24/17 Oct/24/17
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
bobsgoodies Used - $175.00 1 Sep/27/17 Mar/07/19
Description: AMAT 0190-01998 Braided SS Hose Assy SH4-62 quick coupling -6 ORFS 80" OAL (2 Ea
biggbsurplus NEW - $499.50 0 Oct/01/17 Oct/31/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
phxinn Refurbished - $5,250.00 0 Oct/04/17 Nov/03/17
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
dcdirecttech Used - $274.95 0 Oct/04/17 Oct/11/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
dcdirecttech Used - $199.95 0 Oct/04/17 Oct/11/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
dcdirecttech Used - $549.95 0 Oct/04/17 Oct/11/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
svcstore NEW - $26.99 0 Oct/06/17 Nov/05/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $52.99 0 Oct/06/17 Nov/05/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $88.99 0 Oct/07/17 Nov/05/17
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
athomemarket NEW - $149.99 0 Oct/10/17 Oct/17/17
Description: (Lot of 2) Applied Materials 0040-80830 Bellows Finger Lift 300mm For CVD System
comwaysind NEW - $4,987.00 2 Oct/10/17 Jun/28/18
Description: New 0190-32946 Rev 01 ISAC CP I/O Block 2 Top Applied Materials
dcdirecttech Used - $524.95 0 Oct/13/17 Oct/20/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
dcdirecttech Used - $259.95 0 Oct/14/17 Oct/21/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
dcdirecttech Used - $184.95 0 Oct/14/17 Oct/21/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
suffolksurplusexchange NEW - $220.00 0 Oct/16/17 Nov/15/17
Description: New - (2) IN STOCK - AMAT 3700-01715 O-Ring, Green Tweed 9264-SC513
athomemarket NEW - $134.99 1 Oct/18/17 Dec/13/17
Description: (Lot of 2) Applied Materials 0040-80830 Bellows Finger Lift 300mm For CVD System
berg_korea Used - $300.00 0 Oct/17/17 Nov/16/17
Description: AMAT 0190-21303 CABLE ASSEMBLY, RF MATCH, PRECLEAN 2
pro-transactions Used - $499.00 0 Oct/19/17 Oct/26/17
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
ssplasma NEW - $25.00 1 Oct/21/17 Dec/30/20
Description: Applied Materials Alarm Buzzer 0530-01011 Quantity 2
dcdirecttech Used - $499.95 0 Oct/21/17 Oct/28/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
dcdirecttech Used - $249.95 0 Oct/21/17 Oct/28/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
dcdirecttech Used - $174.95 0 Oct/21/17 Oct/28/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
sacramento_liquidators Used - $1,499.99 0 Oct/24/17 Nov/22/17
Description: Digital Dynamics IXT PVD SIOC 2 02-375806-00 Rev A Novellus 79-10098-00
bobsgoodies NEW - $9.00 0 Oct/24/17 Oct/04/18
Description: Masterlock 421 Steel Lockout Hasp Steel/Vinyl, 2 3/8'', Red AMAT 3920-01227
20041014625pm Used - $195.00 0 Oct/24/17 Nov/12/17
Description: Lam Research 2 PCB TEMP SENSOR AMP 810-17008-001 & 810-017008-001 Assembly
svcstore Scrap, for parts - $679.99 0 Oct/24/17 Nov/23/17
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Oct/24/17 Nov/23/17
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $679.99 0 Oct/24/17 Nov/23/17
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $46.99 0 Oct/24/17 Nov/23/17
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
allforsale555 Used - $999.00 1 Oct/25/17 Nov/30/17
Description: Granville-phillips 332134 Igc Pcb Card Amat 0660-01647 ( LOT OF 2 )
allforsale555 Used - $199.00 0 Oct/25/17 Jul/29/21
Description: APPLIED MATERIALS TC GAUGE P.W.B BOARD 0100-00008 REV K ( LOT OF 2 )
allforsale555 Used - $349.00 1 Oct/25/17 Nov/14/17
Description: Applied Materials Opto Detect Board 0100-00014 ( LOT OF 2 )
semipartsdeal NEW - $850.00 0 Oct/25/17 Jul/25/19
Description: 0190-23509 rev. 1 quad serial pcb set of 2 applied materials
spsglobal Used - $500.00 0 Oct/25/17 Nov/30/20
Description: 000-0001// AMAT APPLIED 0040-09595 (#2) HOOP, CHUCK,SUPPORT WAFE USED
spsglobal Used - $200.00 0 Oct/25/17 Dec/10/23
Description: 320-0101// AMAT APPLIED 1350-01026 (#2) wXDCR PRESS 1 TORR CA 127AA-00001B ASIS
spsglobal Used - $1,140.00 1 Oct/26/17 Jun/14/22
Description: 146-0701// AMAT APPLIED 0150-01411 CABLE ASSY, 2 MHZ COAXIAL, 50 FT, REACTI USED
pro-transactions Used - $3,000.00 0 Oct/27/17 Nov/26/17
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
qrecycle NEW - $699.00 0 Oct/30/17 Nov/02/17
Description: Applied Material PC II 8" pedestal 0020-22196 Lot of 2 pcs
spsglobal Used - $6,000.00 0 Oct/31/17 Mar/03/22
Description: 104-0101// AMAT APPLIED 0010-22930 FACILITY PLATE, POSITION 2, WI NEW
allforsale555 NEW - $399.00 1 Nov/01/17 May/08/18
Description: AMAT 0040-37724 BELT, 300MMPRODUCER ROBOT ( LOT OF 2 )
biggbsurplus NEW - $499.50 1 Nov/03/17 Nov/17/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
usedeqsales Used - $210.17 1 Nov/03/17 Apr/11/19
Description: AMAT Applied Materials 0090-20105 Wafer Sensor Assembly SM312CV2 Lot of 2 Used
dcdirecttech Used - $474.95 0 Nov/05/17 Nov/12/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
dcdirecttech Used - $159.95 0 Nov/05/17 Nov/12/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
dcdirecttech Used - $234.95 0 Nov/05/17 Nov/12/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
powersell007 Used - $399.00 0 Nov/06/17 Mar/03/22
Description: *NEW* AMAT/APPLIED MATERIALS 0041-53711 SIDE 2 BOTTOM LINER NANOCURE PRODUCER SE
benta09 NEW - $75.00 0 Nov/06/17 Dec/06/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
svcstore Used - $52.99 0 Nov/06/17 Dec/06/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore NEW - $26.99 0 Nov/06/17 Dec/06/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $88.99 0 Nov/07/17 Dec/07/17
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
phxinn Refurbished - $5,150.00 0 Nov/07/17 Dec/07/17
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
intek22 NEW - $50.00 0 Nov/07/17 Oct/17/18
Description: New Lot of 2 * AMAT Applied Materials 0150-04723 75ft Umbilical Pump Cables
20041014625pm Used - $1,395.00 0 Nov/12/17 Dec/12/17
Description: Lam Research 853-012100-001 Loadlock Arm Housing Assembly. 2 EA
dcdirecttech Used - $224.95 0 Nov/12/17 Nov/19/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
dcdirecttech Used - $149.95 0 Nov/12/17 Nov/19/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
dcdirecttech Used - $449.95 0 Nov/12/17 Nov/19/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
qrecycle NEW - $699.00 0 Nov/14/17 Nov/17/17
Description: Applied Material PC II 8" pedestal 0020-22196 Lot of 2 pcs
suffolksurplusexchange NEW - $215.00 0 Nov/15/17 Dec/15/17
Description: New - (2) IN STOCK - AMAT 3700-01715 O-Ring, Green Tweed 9264-SC513
skus092 NEW - $180.00 10 Nov/17/17 Nov/20/17
Description: 0200-10446 INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
skus092 NEW - $230.00 2 Nov/17/17 Dec/17/17
Description: 0200-36696 SHADOW RING,QUARTZ,200MM NOTCH (2),SUPER
atxdeals4u Scrap, for parts - $1,000.00 0 Nov/17/17 Dec/05/17
Description: CTI-Cryogenics ON-BOARD GEN 2 Cryopump 8F AMAT 0190-13369
noam-tech Used - $160.00 0 Nov/19/17 Jul/23/20
Description: Lam Cable, with 2 plugs 853-234939-005
allforsale555 Used - $349.00 1 Nov/20/17 May/16/18
Description: Applied Materials Opto Detect Board 0100-00014 ( LOT OF 2 )
dcdirecttech Used - $134.95 0 Nov/22/17 Nov/29/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
dcdirecttech Used - $209.95 0 Nov/22/17 Nov/29/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
dcdirecttech Used - $424.95 0 Nov/22/17 Nov/29/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
svcstore Used - $80.99 0 Dec/08/17 Jan/07/18
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
svcstore Used - $47.99 0 Dec/07/17 Jan/06/18
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
qrecycle NEW - $699.00 0 Dec/07/17 Dec/08/17
Description: Applied Material PC II 8" pedestal 0020-22196 Lot of 2 pcs
qrecycle NEW - $899.00 0 Dec/07/17 Dec/08/17
Description: Applied Material 0200-00242 Lot of 2 pcs
phxinn Refurbished - $5,000.00 0 Dec/07/17 Jan/06/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
spsglobal Used - $1,800.00 0 Dec/06/17 Jul/19/18
Description: 145-0101// AMAT APPLIED 0010-70058 (#2) STOR ELEV ASSY, 29 POSN USED
allforsale555 Used - $999.00 1 Dec/07/17 Dec/29/17
Description: Granville-phillips 332134 Igc Pcb Card Amat 0660-01647 ( LOT OF 2 )
sacramento_liquidators Used - $1,499.99 0 Nov/29/17 Dec/29/17
Description: Digital Dynamics IXT PVD SIOC 2 02-375806-00 Rev A Novellus 79-10098-00
pro-transactions Used - $3,000.00 0 Nov/29/17 Dec/29/17
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
dcdirecttech Used - $184.95 0 Dec/09/17 Dec/16/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
dcdirecttech Used - $114.95 0 Dec/09/17 Dec/16/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
dcdirecttech Used - $374.95 0 Dec/09/17 Dec/16/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
svtsurplus Used - $160.00 1 Dec/11/17 Feb/01/18
Description: Vexta PH265-05B-C12 AMAT 0090-70003 Stepper Motor for Endura 5500 HP - Lot of 2
20041014625pm Used - $1,295.00 0 Dec/12/17 Jan/11/18
Description: Lam Research 853-012100-001 Loadlock Arm Housing Assembly. 2 EA
bobsgoodies2 Used - $23.00 0 Dec/14/17 Mar/31/22
Description: AMAT 0190-00986 BALL BEARING, .875OD X .375ID X .281W FL B2-8-S (Lot of 2)
suffolksurplusexchange NEW - $215.00 0 Dec/15/17 Jan/14/18
Description: New - (2) IN STOCK - AMAT 3700-01715 O-Ring, Green Tweed 9264-SC513
bobsgoodies2 Used - $30.00 0 Dec/17/17 Mar/31/22
Description: AMAT 0910-01129 FUSE FAST ACTING 2 A 250V 5X20 MM GLASS (Lot of 5 fuses)
usedeqsales Used - $406.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0270-00249 ELECTROSTATIC CHUCK COVER Lot of 2 Used
powersell007 Used - $2,999.00 0 Dec/20/17 Mar/03/22
Description: APPLIED MATERIALS 0200-01119 SHADOW RING, QUARTZ 200MM NOTCH (2) AMAT *RECYCLED*
skus092 NEW - $230.00 0 Dec/22/17 Jan/21/18
Description: 0200-36696 SHADOW RING,QUARTZ,200MM NOTCH (2),SUPER
dcdirecttech Used - $174.95 0 Dec/26/17 Jan/02/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
dcdirecttech Used - $104.95 0 Dec/26/17 Jan/02/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
dcdirecttech Used - $359.95 0 Dec/26/17 Jan/02/18
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
spsglobal Used - $1,800.00 0 Dec/27/17 Mar/03/22
Description: 351-0501// AMAT APPLIED 0010-22162 (#2) ASSY, WATER BOX, BESC USED
spsglobal Used - $5,000.00 0 Dec/27/17 Apr/07/19
Description: AMAT APPLIED MATERIALS 0010-13927 0010-20754 OBS PEDESTAL LIFT PRECLEAN 2 USED
allforsale555 Scrap, for parts - $799.00 1 Dec/27/17 Jan/21/18
Description: APPLIED MATERIALS 0100-35124, ASSEMBLY PCB, SERIPLEX I/O DISTRIBUTION (LOT OF 2)
sacramento_liquidators Used - $1,299.99 0 Dec/30/17 Jan/29/18
Description: Digital Dynamics IXT PVD SIOC 2 02-375806-00 Rev A Novellus 79-10098-00
svcstore Used - $42.99 0 Dec/30/17 Jan/29/18
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Scrap, for parts - $611.99 0 Dec/30/17 Jan/29/18
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $611.99 0 Dec/30/17 Jan/29/18
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $611.99 0 Dec/30/17 Jan/29/18
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
dcdirecttech Used - $349.95 0 Jan/03/18 Jan/10/18
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
dcdirecttech Used - $159.95 0 Jan/04/18 Jan/11/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
dcdirecttech Used - $99.95 0 Jan/04/18 Jan/11/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
pro-transactions Used - $99.00 0 Jan/05/18 Jan/12/18
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
phxinn Refurbished - $4,950.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
svcstore Used - $47.99 0 Jan/09/18 Feb/08/18
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $80.99 0 Jan/09/18 Feb/08/18
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
asmtk Used - $30,000.00 0 Jan/10/18 Oct/01/21
Description: Applied Materials 0020-01075& 0020-28491 300mm ENDURA 2 Magnet AMAT
vizvik16 NEW - $550.00 0 Jan/12/18 Oct/28/19
Description: AMAT APPLIED MATERIALS 0051-06315 LINE CHAMBER EQUALIZATION WITH MV QTY. 2
20041014625pm Used - $1,295.00 0 Jan/11/18 Feb/10/18
Description: Lam Research 853-012100-001 Loadlock Arm Housing Assembly. 2 EA
dcdirecttech Used - $84.95 0 Jan/13/18 Jan/20/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
dcdirecttech Used - $324.95 0 Jan/13/18 Jan/20/18
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
dcdirecttech Used - $149.95 0 Jan/13/18 Jan/20/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
pro-transactions Used - $99.00 0 Jan/15/18 Jan/22/18
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
semi-spares NEW - $30.00 0 Jan/16/18 Mar/20/18
Description: AMAT Applied Materials 3690-01619 SCRCAPTIVE RTNR 10-32 7/8L SLOT SST - LOT OF 2
semi-spares NEW - $350.00 0 Jan/17/18 Mar/20/18
Description: AMAT Applied Materials 0040-32323 Rev. A Cover Direct Drive Motor SACVD Lot of 2
asmtk Used - $8,000.00 0 Jan/18/18 Jun/14/18
Description: Applied Materials 0010-03128 ASSY, MCA+, AC BOX 2 AMAT
dcdirecttech Used - $299.95 1 Jan/20/18 Jan/27/18
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
dcdirecttech Used - $74.95 0 Jan/20/18 Jan/27/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
dcdirecttech Used - $139.95 0 Jan/20/18 Jan/27/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
spsglobal Used - $300.00 0 Jan/21/18 Mar/03/22
Description: 129-0203// AMAT APPLIED 0100-09126 (#2) wPCB ASY, REMOTE WIRING USED
spsglobal Used - $1,500.00 0 Jan/21/18 Feb/07/20
Description: 101-0201// AMAT APPLIED 0090-09026 (#2) w RF GEN 800W OEM-12A-21041-51 ASIS
capitolareatech NEW - $14.95 141 Jan/22/18 Nov/07/18
Description: Applied Materials (AMAT) 0020-82135 TUNGSTEN FILAMENT--FIL., ARC CHAMBER, DIA. 2
skus092 NEW - $230.00 0 Jan/22/18 Feb/21/18
Description: 0200-36696 SHADOW RING,QUARTZ,200MM NOTCH (2),SUPER
allforsale555 Used - $99.00 1 Jan/24/18 Mar/21/21
Description: AMAT 0190-02506 REV001 DIP BOARD CDN491 ( LOT OF 2 )
allforsale555 Used - $499.00 0 Jan/24/18 Jul/29/21
Description: AMAT, Tenta AS00801-04 Octal Serial cPCI SCOM Board 0190-09290 ( LOT OF 2 )
allforsale555 Used - $339.00 1 Jan/24/18 Apr/08/18
Description: TeNTA cPCI PWRS-0720 AMAT 0190-06173 Applied Materials ( LOT OF 2 )
suffolksurplusexchange NEW - $215.00 0 Jan/25/18 Feb/24/18
Description: New - (2) IN STOCK - AMAT 3700-01715 O-Ring, Green Tweed 9264-SC513
allforsale555 Used - $699.00 0 Jan/26/18 Jul/29/21
Description: NOVELLUS 15-307812-00 SPINDLE FORK BOTTOM 200MM ( lot of 2 )
pro-transactions Used - $1,000.00 0 Jan/26/18 Feb/25/18
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
dcdirecttech Used - $64.95 0 Jan/28/18 Feb/04/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
dcdirecttech Used - $134.95 0 Jan/28/18 Feb/04/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
sacramento_liquidators Used - $1,299.99 0 Jan/29/18 Feb/28/18
Description: Digital Dynamics IXT PVD SIOC 2 02-375806-00 Rev A Novellus 79-10098-00
eisale1535 Used - $5,400.00 0 Jan/29/18 May/29/18
Description: AMAT 0190-24854 MKS G4 PLUS 4-PORT UPA 300MM REFL, AMAT 0190-15840 Rev 2
allforsale555 Used - $99.00 0 Jan/30/18 Jul/29/21
Description: AMAT APPLIED 0020-23836 PLATE ADAPTER CLAMP G-12 ( lot of 2 )
allforsale555 Used - $99.00 0 Jan/31/18 Jul/29/21
Description: AMAT/ Applied materials 3700-07739 ( lot of 2 )
allforsale555 Used - $49.00 0 Jan/31/18 Jul/29/21
Description: Applied materials 3860-01028 TBG PLSTC 3/8 OD .062 WALL POLYETHYLEN ( lot of 2 )
allforsale555 Used - $299.00 0 Jan/31/18 Jul/29/21
Description: AMAT 3800-01133 RGLTR GAS PRESS 1-60PSIG 1/4VCR-M/M SST ( LOT OF 2 )
allforsale555 Used - $299.00 1 Jan/31/18 Jul/30/19
Description: Appleid materials / AMAT 0100-02311 Rev 001 ( lot of 2 )
allforsale555 Used - $49.00 0 Jan/31/18 Jul/29/21
Description: AMAT 3700-02771 ORING ID 13.975 CSD .275 VITON 75 DURO B ( lot of 2 )
allforsale555 NEW - $49.00 1 Jan/31/18 May/21/20
Description: AMAT 3300-03169 FTG TBG ADPTR 3/8T SWGLK PUSHLOK 2.02" ( Qty 2 )
semiconductorsolution NEW - $1,250.00 0 Jan/31/18 Mar/02/18
Description: AMAT, Applied Materials, 0150-00559, CABLE ASSY, DOME UMBILICAL #2, AC POWER,
svcstore Used - $42.99 0 Jan/31/18 Mar/02/18
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Scrap, for parts - $611.99 0 Jan/31/18 Mar/02/18
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $611.99 0 Jan/31/18 Mar/02/18
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $611.99 0 Jan/31/18 Mar/02/18
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
allforsale555 Used - $99.00 0 Feb/02/18 Jul/29/21
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
allforsale555 Used - $299.00 0 Feb/02/18 Jul/29/21
Description: Applied Materials cPCI Carrier Board 0190-06182 Rev. 004 ( LOT OF 2 )
capitolareatech NEW - $47.50 1 Feb/02/18 Mar/25/18
Description: Applied Materials (AMAT) 3400-01151 HOSE ASSY LIQ 3/8T-ADPTR X 2 18.5"LG
allforsale555 Used - $449.00 1 Feb/02/18 Feb/26/21
Description: AMAT 0190-17952 Rev 003 ( LOT OF 2 )
dcdirecttech Used - $64.95 0 Feb/04/18 Feb/11/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
dcdirecttech Used - $124.95 0 Feb/04/18 Feb/11/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
capitolareatech NEW - $495.00 0 Feb/05/18 Mar/12/19
Description: Applied Materials (AMAT) 0200-01239 INSERT RING, SILICON, 200MM NOTCH (2), T
bobsgoodies2 NEW - $45.00 0 Feb/05/18 Mar/31/22
Description: AMAT 0050-77119 SLURRY SENSOR TBG (Lot of 2)
bobsgoodies2 NEW - $45.00 0 Feb/05/18 Mar/31/22
Description: AMAT 0050-77121 SLURRY SENSOR TBG (Lot of 2)
northbaycontact Used - $250.00 0 Feb/05/18 Mar/07/18
Description: AMAT 0150-09589 CABLE ASSY,REMOTE DIGITA L #2
northbaycontact Used - $485.00 0 Feb/05/18 Mar/07/18
Description: AMAT 0150-09588 CABLE ASSY,REMOTE ANALOG #2 50 FT(used)
spsglobal Used - $5,000.00 1 Feb/07/18 Mar/06/19
Description: 115-0101// AMAT APPLIED 0100-01815 (#2) REMOTE REM RACK CONTROLLER USED
phxinn Refurbished - $4,950.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
catalystparts NEW - $20.00 0 Feb/08/18 Mar/03/22
Description: AMAT Applied Materials 3300-02224 TBG Plug Hollow Hex 9/16-18 SAE/MS (Set of 2)
bobsgoodies NEW - $175.00 1 Feb/08/18 Jul/27/20
Description: AMAT 1080-01114 SAYAMA GEARED MOTOR RB-35GM-CA35-24 DC Gear Motor (Lot of 2)
bobsgoodies2 Used - $21.00 12 Feb/10/18 Mar/31/22
Description: AMAT 0910-01083 LITTLEFUSE 251002 PICO FUSE 2 AMP (Lot of 30)
20041014625pm Used - $1,195.00 1 Feb/11/18 Mar/04/18
Description: Lam Research 853-012100-003 Loadlock Arm Housing Assembly. 2 EA
dcdirecttech Used - $109.95 0 Feb/12/18 Feb/19/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
dcdirecttech Used - $54.95 0 Feb/12/18 Feb/19/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
svcstore Used - $80.99 0 Feb/15/18 Mar/18/18
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
svcstore Used - $47.99 0 Feb/15/18 Mar/17/18
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
vizko2017 NEW - $80.00 0 Feb/15/18 Mar/03/22
Description: APPLIED MATERIALS 3700-01519 O-RING ID 1.737 CSD .103 VITON 75 D, LOT OF 2
vizko2017 NEW - $80.00 0 Feb/15/18 Mar/03/22
Description: APPLIED MATERIALS 3700-01069 O-RING ID 1.925 CSD .103 VITON, LOT OF 2
farmoninc Used - $300.00 0 Feb/16/18 Mar/03/22
Description: 2 AMAT 3550-01102 Ball Lock T _ PIN 3/8D x 6.0" STL BL 424257
bobsgoodies Scrap, for parts - $225.00 1 Feb/19/18 Mar/06/18
Description: AMAT 0010-77264 ASSY INT ISRM MODULE (Lot of 2)
dcdirecttech Used - $49.95 0 Feb/19/18 Feb/26/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
dcdirecttech Used - $99.95 0 Feb/19/18 Feb/26/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
skus092 NEW - $230.00 2 Feb/21/18 Mar/23/18
Description: 0200-36696 SHADOW RING,QUARTZ,200MM NOTCH (2),SUPER
capitolareatech NEW - $795.00 0 Feb/24/18 Aug/09/19
Description: Applied Materials (AMAT) 0050-41614 WELDMT,LINE 2,BASIC PANEL VERIFLO
capitolareatech NEW - $795.00 0 Feb/24/18 Feb/24/18
Description: Applied Materials (AMAT) 0050-41614 WELDMT,LINE 2,BASIC PANEL VERIFLO
vizko2017 Used - $100.00 2 Feb/26/18 Feb/21/19
Description: APPLIED MATERIALS 0090-02857, SP3R1 LOT OF 2
pro-transactions Used - $1,000.00 0 Feb/26/18 Mar/28/18
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
spsglobal Used - $300.00 0 Feb/26/18 May/11/20
Description: 322-0201// AMAT APPLIED 0090-20314 (#2) 9100SS24P14 SW WATER FLOW B101 USED
suffolksurplusexchange NEW - $210.00 0 Feb/27/18 Mar/29/18
Description: New - (2) IN STOCK - AMAT 3700-01715 O-Ring, Green Tweed 9264-SC513
dcdirecttech Used - $84.95 0 Feb/28/18 Mar/07/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
dcdirecttech Used - $39.95 0 Feb/28/18 Mar/07/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
midwestsemi NEW - $188.00 6 Mar/01/18 Dec/13/19
Description: LOT 2 NEW 410873-TF AMAT 3700-01864 CTR RING ASSY NW160 W/VITON FREESHIPSAMEDAY
capitolareatech NEW - $195.00 0 Mar/01/18 Aug/13/20
Description: LAM RESEARCH (LAM) 715-130092-008 End Effector 200mm Wafer Rev. 2
capitolareatech NEW - $275.00 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-80385 Flange, Shaft w/ 2 Bore Seal
spsglobal Used - $2,500.00 0 Mar/01/18 Aug/23/18
Description: 129-0101// AMAT APPLIED 0190-01485 (#2) TESTED DRIVER,PVD LAMP/DUAL MODE USED
spsglobal Used - $2,000.00 0 Mar/01/18 Jul/15/18
Description: 124-0201// AMAT APPLIED 0010-20079 (#2) TESTED ASSY, PVD CHAMBER DRIVER USED
capitolareatech Used - $195.00 0 Mar/03/18 Jun/24/19
Description: Applied Materials (AMAT) 0200-09273 RING INNER 100MM 94MM 2 FLT 90 SHADOW RI
sacramento_liquidators Used - $199.99 2 Mar/03/18 Jan/29/20
Description: Digital Dynamics IXT PVD SIOC 2 02-375806-00 Rev A Novellus 79-10098-00
svcstore Scrap, for parts - $611.99 0 Mar/04/18 Apr/03/18
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $42.99 0 Mar/04/18 Apr/03/18
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Scrap, for parts - $611.99 0 Mar/04/18 Apr/03/18
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $611.99 0 Mar/04/18 Apr/03/18
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
j316gallery NEW - $77.00 1 Mar/06/18 Jul/21/19
Description: 3278 APPLIED MATERIAL VALVE PNEU BLWS 2 WAY MINI NC-11 (NEW) 3870-01245
semiconductorsolution NEW - $1,250.00 0 Mar/06/18 Apr/05/18
Description: AMAT, Applied Materials, 0150-00559, CABLE ASSY, DOME UMBILICAL #2, AC POWER,
northbaycontact Used - $485.00 0 Mar/07/18 Mar/26/18
Description: AMAT 0150-09588 CABLE ASSY,REMOTE ANALOG #2 50 FT(used)
northbaycontact Used - $250.00 0 Mar/07/18 Mar/26/18
Description: AMAT 0150-09589 CABLE ASSY,REMOTE DIGITA L #2
weihehuan Used - $2,500.00 0 Mar/08/18 Apr/07/18
Description: AMAT ISAC CP I/O BLOCK 2 TOP 0190-36787
dcdirecttech Used - $74.95 0 Mar/08/18 Mar/15/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
dcdirecttech Used - $34.95 0 Mar/08/18 Mar/15/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
ainevjb NEW - $74.00 0 Mar/09/18 Jan/14/20
Description: (2) AMAT 0200-09366 PLUG DC BIAS GRAPHITE POLY 150MM - NEW
phxinn Refurbished - $4,950.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
spsglobal Used - $60.00 0 Mar/11/18 Jun/04/18
Description: 202-0303// AMAT APPLIED 0020-20700 (#2) CLAMP END AR FLEX 8" GATE VALV USED
spsglobal Used - $6,000.00 0 Mar/12/18 Oct/31/19
Description: 327-0101// AMAT APPLIED 0010-20705 (#2) RF RESONATOR ASSY WITH INTERLOCKS USED
spsglobal Used - $4,000.00 0 Mar/12/18 Nov/07/22
Description: 349-0401// AMAT APPLIED 0010-13437 (#2) AC-BOX, HTESC USED
spsglobal Used - $700.00 0 Mar/16/18 May/27/19
Description: 320-0302// AMAT APPLIED 0100-09196 (#2) PCB ASSY,E CHUCK CONTROLLER USED
spsglobal Used - $6,000.00 0 Mar/16/18 May/29/19
Description: 313-0301// AMAT APPLIED 3620-01124 (#2) TURBOVAC 361C PUMP TURBO W/CERAMIC USED
spsglobal Used - $2,400.00 0 Mar/16/18 Mar/10/19
Description: 309-0301// AMAT APPLIED 0190-02703 (#2) MAGNET DRIVE ASSY 02 USED
dcdirecttech Used - $29.95 0 Mar/17/18 Mar/24/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
dcdirecttech Used - $59.95 0 Mar/17/18 Mar/24/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
usedeqsales Used - $3,003.12 0 Mar/19/18 Apr/27/21
Description: AMAT Applied Materials 0010-27504 Source Assembly Encore 2 Cu Used Working
spsglobal Used - $90.00 1 Mar/19/18 May/22/18
Description: 110-0202// AMAT APPLIED 0050-20099 (#2) MANIFOLD H20 SOURCE11.3 USED
svcstore Used - $47.99 1 Mar/19/18 Mar/26/18
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #2
svcstore Used - $80.99 0 Mar/19/18 Apr/18/18
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
spsglobal Used - $3,000.00 0 Mar/20/18 Apr/16/18
Description: 309-0301// AMAT APPLIED 0010-22156 (#2) ASSY, BESC MOTORIZED LIFT ASIS
usedeqsales NEW - $227.15 0 Mar/20/18 Jul/20/20
Description: Watlow A004932 MFC Heater Insulation Novellus 04-403070-00 C3 Lot of 2 New
capitolareatech NEW - $845.95 0 Mar/24/18 Mar/10/19
Description: Applied Materials (AMAT) 0200-36696 SHADOW RING,QUARTZ,200MM NOTCH (2),
dcdirecttech Used - $49.95 0 Mar/25/18 Apr/01/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
20041014625pm NEW - $3,495.00 0 Mar/26/18 Apr/25/18
Description: 853-013750-001 Lam Research APM Lifter Assembly ( 2 EA )
dcdirecttech Used - $19.95 0 Mar/26/18 Apr/02/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #2
intek22 Used - $299.99 0 Mar/27/18 Nov/16/18
Description: Novellus 02-055462-01 Rev 2 Pneumatic Cylinder Assembly * CVD-0052-09-2000
intek22 Used - $299.99 0 Mar/27/18 Nov/16/18
Description: Novellus 21-135749-01 Rev D Pneumatic Cylinder Housing Assembly #2
spsglobal Used - $2,800.00 0 Mar/27/18 Aug/07/18
Description: 323-0201// AMAT APPLIED 0010-00957 (#2) BRACKET MOUNT LASER SEE USED
dnd_surplus Used - $2,700.00 0 Mar/28/18 Apr/27/18
Description: AMAT Synergy V440 SBC SV21 SBC PCB 0190-20048 Fixes the exception 2 buss errors
plccenter Used - $695.00 0 Apr/02/18 Jun/02/19
Description: APPLIED MATERIAL 0190-76273 (Used, Cleaned, Tested 2 year warranty)
dcdirecttech Used - $39.95 0 Apr/02/18 Apr/09/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
capitolareatech NEW - $494.95 0 Apr/03/18 Nov/03/19
Description: Applied Materials (AMAT) 0150-09222 CABLE ASSY TEOS EXT 2
20041014625pm Scrap, for parts - $695.00 0 Apr/04/18 Apr/08/18
Description: Lam Research 853-017340-001 Resistor Heat Sink Assembly ( Lot Of 2 Ea )
svcstore Scrap, for parts - $611.99 0 Apr/04/18 May/04/18
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $611.99 0 Apr/04/18 May/04/18
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $611.99 0 Apr/04/18 May/04/18
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $42.99 0 Apr/04/18 May/04/18
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
pro-transactions Used - $1,000.00 0 Apr/05/18 May/05/18
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
semiconductorsolution NEW - $1,250.00 0 Apr/06/18 May/06/18
Description: AMAT, Applied Materials, 0150-00559, CABLE ASSY, DOME UMBILICAL #2, AC POWER,
capitolareatech NEW - $475.00 0 Apr/08/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-78437 PLUG 2, INTERPLATEN, REFLECTION CMP
20041014625pm Scrap, for parts - $299.00 0 Apr/08/18 Apr/15/18
Description: Lam Research 853-017340-001 Resistor Heat Sink Assembly ( Lot Of 2 Ea )
capitolareatech NEW - $95.00 0 Apr/10/18 Aug/13/20
Description: Applied Materials (AMAT) 3300-03461 FTG TBG ASSY 1/8WLDMNT .069ID FEM-NU 2.
dcdirecttech Used - $29.95 0 Apr/10/18 Apr/17/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #2
capitolareatech Used - $925.00 0 Apr/11/18 Mar/10/19
Description: Applied Materials (AMAT) 0040-75821 CH A.C CHILLED MNFLD, PHASE 2 FAC, GIGAF
phxinn Refurbished - $4,900.00 0 Apr/12/18 May/12/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
intek22 Used - $4,500.00 0 Apr/12/18 Jun/14/18
Description: AMAT Applied Materials 9500 Implant LEAP 2 Source ISO Transformer 1360-90118
ntsurplus302 Used - $280.00 0 Apr/12/18 Sep/01/19
Description: 2825 Set of 2 Applied Materials P/N: 0020-62770 & 0020-62771. Screens
vizvik16 Used - $200.00 1 Apr/13/18 Apr/02/20
Description: MKS CDN496R APPLIED MATERIALS 0190-34283 REV 2 MKS AS01496-0-3
ntsurplus302 NEW - $50.00 0 Apr/14/18 Sep/01/19
Description: 2937 Lot of 2 Applied Materials P/N: 0040-86019 001
20041014625pm Scrap, for parts - $99.00 0 Apr/15/18 Apr/22/18
Description: Lam Research 853-017340-001 Resistor Heat Sink Assembly ( Lot Of 2 Ea )
20041014625pm Refurbished - $2,895.00 0 Apr/16/18 May/16/18
Description: Lam Research Gap Motor 853-011142-001 QMC 41-M1683 & M2901 Assembly Lot Of 2
keykorea Used - $100.00 0 Apr/16/18 Jun/16/20
Description: AMAT 0140-01879 CABLE, SENSOR-CONTROL, BLKHD 2, SCRUBBER, USED
svcstore Used - $80.99 0 Apr/19/18 May/19/18
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
20041014625pm Scrap, for parts - $295.00 0 Apr/22/18 May/22/18
Description: Lam Research 853-017340-001 Resistor Heat Sink Assembly ( Lot Of 2 Ea )
gigabitpartsolutions NEW - $2,420.00 1 Apr/23/18 May/29/19
Description: Controller LAM-Novellus DIGITAL DYNAMICS 02-321648-00 Vector HDSIOC 2 Firmware
bobsgoodies NEW - $545.00 1 Apr/24/18 Sep/14/18
Description: AMAT 0010-76087 WAFER VALVE ASSY CH 2,4,& D Applied Materials 5500 PVD MAINFRAME
20041014625pm NEW - $3,295.00 0 Apr/25/18 May/25/18
Description: 853-013750-001 Lam Research APM Lifter Assembly ( 2 EA )
gigabitpartsolutions Refurbished - $2,420.00 0 Apr/26/18 Feb/14/19
Description: Robot (AMAT) 0040-21013 X 2 and 0020-25770 Dual Robot Arm Assembly
automotiveapple Used - $357.14 3 Apr/26/18 Jul/26/22
Description: As-Is Lam 685-801852-015 SPCTRMTR,DUAL FIBEROTPTIC LOW 2
dnd_surplus Used - $1,500.00 0 Apr/28/18 May/28/18
Description: AMAT Synergy V440 SBC SV21 SBC PCB 0190-20048 Fixes the exception 2 buss errors
gigabitpartsolutions NEW - $22.00 0 May/01/18 Feb/14/19
Description: OEM Part Applied Materials (AMAT) 0020-32047 POST, LOWER, 2 PC, EXT PEDESTAL, LI
northbaycontact Used - $485.00 0 May/02/18 Jun/01/18
Description: AMAT 0150-09588 CABLE ASSY,REMOTE ANALOG #2 50 FT(used)
northbaycontact Used - $250.00 0 May/02/18 Jun/01/18
Description: AMAT 0150-09589 CABLE ASSY,REMOTE DIGITA L #2
spsglobal NEW - $10.00 0 May/03/18 Jul/04/18
Description: 307-0202// AMAT APPLIED 0720-01243 CONN RCPT 2 POLE 3 WIRE AC BRN UL NEW
storemanager-2009 NEW - $150.00 0 Mar/07/18 Jun/18/18
Description: CONTROL CRYO 2 ( 50 FT )
storemanager-2009 NEW - $400.00 0 Mar/07/18 Jun/18/18
Description: LIFT PIN, TIP, 2 MM RADIANCE
storemanager-2009 NEW - $250.00 0 Mar/07/18 Jun/18/18
Description: CH 2 INTERCONNECT, 35'
storemanager-2009 NEW - $150.00 0 Mar/07/18 Jun/18/18
Description: CONTROL CRYO 2 ( 50 FT )
wedone NEW - $65.00 0 May/06/18 Jun/05/18
Description: AMAT 3870-02290 Valve, 1/4" NPT 2 WAY 115VAC CKD Corp. Japan AB31-2N-2 NEW
svcstore Used - $42.99 0 May/06/18 Jun/05/18
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Scrap, for parts - $611.99 0 May/06/18 Jun/05/18
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $611.99 0 May/06/18 Jun/05/18
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $611.99 0 May/06/18 Jun/05/18
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
semiconductorsolution NEW - $1,250.00 1 May/07/18 May/31/18
Description: AMAT, Applied Materials, 0150-00559, CABLE ASSY, DOME UMBILICAL #2, AC POWER,
bobsgoodies NEW - $127.00 0 May/08/18 May/31/18
Description: AMAT 5070-01029 Grs High Vacuum Krytox LVP Krytox 240 AC 2 Oz. Tube
usedeqsales NEW - $305.18 2 May/09/18 Jul/13/19
Description: Lam Research 716-021720-001 2 Piece Bell Jar Insulator Cap New Surplus
usedeqsales Used - $155.18 1 May/10/18 Feb/19/24
Description: AMAT Applied Materials 0200-01798 TxZ Heater Lift Pin Reseller Lot of 2 New
gigabitpartsolutions NEW - $24.20 1 May/10/18 Jan/24/19
Description: O-Ring AMAT) 3700-01746 PKG 2 ID 2.114 CSD .070 CHEMRAZ SC513
usedeqsales Used - $255.18 1 May/10/18 Jan/14/20
Description: AMAT Applied Materials 0020-40905 5000 Standard Interface Blank Lot of 2 Used
mcarray NEW - $2,000.00 0 May/10/18 Jun/09/18
Description: AMAT 0021-21617 COVER, RING 8" 55 DEG, 2 TABS, MASK - New
capitolareatech NEW - $144.75 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-23759 Interlock housing PC 2 RF Match
capitolareatech NEW - $155.00 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0140-21031 HARNESS ASSY, HTESC, STD BODY, POS 2 & 3
pro-transactions Used - $1,000.00 1 May/12/18 May/29/18
Description: Novellus HDSIOC EM Coil 02-310728-00 79-10098-00 Rev C IXT PVD SIOC 2
phxinn Refurbished - $4,950.00 0 May/14/18 Jun/13/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
gigabitpartsolutions NEW - $137.50 1 May/16/18 Dec/05/23
Description: LAM Reasearch (LAM) 734-007762-001 PKG 2 Quad Seal GAP assy.
capitolareatech NEW - $695.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-37382 WELDMENT,2 VERIFLO VALVES,1 LINE W/OFFST
capitolareatech NEW - $1,295.00 2 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-42313 FINGER ASSY, PEEK, LONG, WALKING BEAM, 2
20041014625pm Refurbished - $2,895.00 0 May/17/18 May/30/18
Description: Lam Research Gap Motor 853-011142-001 QMC 41-M1683 & M2901 Assembly Lot Of 2
katiil3 Used - $249.00 0 May/21/18 Oct/23/21
Description: AMAT Applied Materials GAS PANEL BD. 0100-76258 ( LOT OF 2 )
bobsgoodies NEW - $80.00 1 May/21/18 Apr/27/21
Description: 2 AMAT 3300-03754 FTG TBG RDCR UNION 1"TOD X 3/4" -16 TO -12 TUBE REDUCER UNION
adelrick123 Used - $765.00 1 May/21/18 Dec/05/21
Description: AMAT # 0040-64458 Adaptor cesc 2 HE Zone 200mm DPS+
svcstore Used - $80.99 0 May/22/18 Jun/21/18
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
austieiscute Used - $399.99 1 May/23/18 Nov/16/18
Description: Parker Veriflo Pneumatic Actuated High Purity Distribution AMAT 0050-27733 Rev 2
bobsgoodies Used - $625.00 2 May/24/18 May/25/18
Description: AMAT 0020-75428 Robot Drive Assy. 2 Phase Driver 3 - Vexta CSD2112-T Drives
sparesllc09 Used - $5,400.00 0 May/24/18 Jul/23/18
Description: 0010-20754 /OBS PEDESTAL LIFT ASSEMBLY PRECLEAN 2 /APPLIED MATERIALS AMAT
20041014625pm NEW - $3,295.00 0 May/26/18 Jun/25/18
Description: 853-013750-001 Lam Research APM Lifter Assembly ( 2 EA )
svcstore Used - $42.99 0 Jun/06/18 Jul/06/18
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Scrap, for parts - $611.99 0 Jun/06/18 Jul/06/18
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $611.99 0 Jun/06/18 Jul/06/18
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $611.99 0 Jun/06/18 Jul/06/18
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
usedhightechequipment Used - $350.00 0 Jun/07/18 Oct/12/18
Description: Bentek Novellus Concept 2 Control Unit 03-045239-00 Rev. F with Setra Datum 2000
adelrick123 NEW - $100.00 4 Jun/08/18 Jun/28/18
Description: Amat # 0020-22846 Cover Gas Trench Preclean 2
phxinn Refurbished - $4,900.00 0 Jun/15/18 Jul/15/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
usedeqsales Used - $356.18 0 Jun/21/18 Jun/30/22
Description: Lam Research 810-057038-002 Outer Arm PCB 810-057038-2 Lot of 2 Untested AS-IS
svcstore Used - $80.99 0 Jun/22/18 Jul/22/18
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
20041014625pm NEW - $3,295.00 0 Jun/25/18 Jul/06/18
Description: 853-013750-001 Lam Research APM Lifter Assembly ( 2 EA )
surpluskorea Used - $3,999.00 1 Jun/26/18 Jun/30/22
Description: LAM 02-380738-00 ASM E-HDSIOC ALTUS 2 CMPLT
spsglobal NEW - $250.00 1 Jun/26/18 Apr/13/21
Description: 323-0401// AMAT APPLIED 0090-16018 (#2) SENSOR ASSY., LOADLOCK CASSETTE NEW
dnd_surplus Used - $1,500.00 0 Jun/27/18 Jul/27/18
Description: AMAT Synergy V440 SBC SV21 SBC PCB 0190-20048 Fixes the exception 2 buss errors
liquiditech Used - $67.50 0 Jun/28/18 Dec/08/21
Description: Lot of 2 AMAT Applied Materials 3320-01026 CF 10'' G-1000-1 Gasket,Copper No Log
northbaycontact Used - $485.00 0 Jul/03/18 Aug/02/18
Description: AMAT 0150-09588 CABLE ASSY,REMOTE ANALOG #2 50 FT(used)
northbaycontact Used - $250.00 0 Jul/03/18 Aug/02/18
Description: AMAT 0150-09589 CABLE ASSY,REMOTE DIGITA L #2
katiil3 Used - $449.00 1 Jul/03/18 Oct/03/18
Description: TeNTA cPCI PWRS-0720 AMAT 0190-06173 Applied Materials ( LOT OF 2 )
katiil3 Used - $299.00 0 Jul/03/18 Oct/23/21
Description: AMAT 0190-07532 Battery Backup Board 3U TeNTA BCKP-0078 ( LOT OF 2 )
katiil3 Used - $499.00 1 Jul/04/18 Feb/22/19
Description: APPLIED MATERIALS TC FILTER BD. 0100-18039 REV.B ( LOT OF 2 )
20041014625pm NEW - $3,295.00 0 Jul/06/18 Jul/20/18
Description: 853-013750-001 Lam Research APM Lifter Assembly ( 2 EA )
intek22 Used - $50.00 0 Jul/06/18 May/11/20
Description: Lot of 2 * Novellus H2O ION Source & Return Cables 11-100285-06 11-100285-05
sparesllc09 Used - $15,000.00 0 Jul/06/18 Apr/16/19
Description: 0190-29586 / GENERATOR RF PLASMA ENI 2 MHZ 9KW MKS/ APPLIED MATERIALS AMAT
svcstore Scrap, for parts - $611.99 0 Jul/07/18 Aug/06/18
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $42.99 0 Jul/07/18 Aug/06/18
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Scrap, for parts - $611.99 1 Jul/07/18 Jul/27/18
Description: Lam Research 853-007859-223 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Scrap, for parts - $611.99 1 Jul/07/18 Jul/27/18
Description: Lam Research 853-007859-221 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
mcarray NEW - $2,000.00 0 Jul/09/18 Aug/08/18
Description: AMAT 0021-21617 COVER, RING 8" 55 DEG, 2 TABS, MASK - New
yericomfg NEW - $20.00 0 Jul/12/18 Aug/08/18
Description: AMAT 0690-01038 HINGED CLAMP NW-25 (lot of 2)
wedone NEW - $45.00 0 Jul/17/18 Aug/16/18
Description: AMAT 3870-02290 Valve, 1/4" NPT 2 WAY 115VAC CKD Corp. Japan AB31-2N-2 NEW
brad2000 NEW - $3,395.00 0 Jul/20/18 Aug/15/18
Description: 853-013750-001 Lam Research APM Lifter Assembly ( 2 EA )
brad2000 Refurbished - $3,095.00 0 Jul/20/18 Aug/15/18
Description: Lam Research Gap Motor 853-011142-001 QMC 41-M1683 & M2901 Assembly Lot Of 2
svcstore Used - $80.99 0 Jul/23/18 Aug/22/18
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
eisale1535 Used - $5,400.00 0 Jul/24/18 Sep/22/18
Description: AMAT 0190-24854 MKS G4 PLUS 4-PORT UPA 300MM REFL, AMAT 0190-15840 Rev 2
dnd_surplus Used - $1,500.00 0 Jul/28/18 Aug/27/18
Description: AMAT Synergy V440 SBC SV21 SBC PCB 0190-20048 Fixes the exception 2 buss errors
dgold32 Used - $79.99 1 Jul/31/18 Jul/09/19
Description: 2 AMAT 3870-07349 Norcal Isolation Valves Great Condition
20041014625pm Used - $795.00 1 Aug/01/18 Aug/09/18
Description: Lam Research 853-012525-001 Lifter-Spinner Assembly 2 ( EA )
usedeqsales Used - $408.18 1 Aug/02/18 Mar/20/20
Description: AMAT Applied Materials ULP-2-.350-N/S Low Pass Filter 0190-09186 Lot of 2 Used
phxinn Refurbished - $4,950.00 0 Aug/06/18 Sep/05/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
northbaycontact Used - $485.00 0 Aug/06/18 Sep/05/18
Description: AMAT 0150-09588 CABLE ASSY,REMOTE ANALOG #2 50 FT(used)
northbaycontact Used - $250.00 0 Aug/06/18 Sep/05/18
Description: AMAT 0150-09589 CABLE ASSY,REMOTE DIGITA L #2
usedeqsales Used - $508.18 0 Aug/06/18 Mar/03/22
Description: AMAT Applied Materials 0150-21025 CH 2 Bakeout Cable New
svcstore Scrap, for parts - $611.99 0 Aug/07/18 Sep/06/18
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $42.99 0 Aug/07/18 Sep/06/18
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
mcarray NEW - $2,000.00 0 Aug/08/18 Sep/07/18
Description: AMAT 0021-21617 COVER, RING 8" 55 DEG, 2 TABS, MASK - New
usedeqsales Used - $3,904.91 0 Aug/09/18 Nov/30/18
Description: AMAT Applied Materials 0010-76061 HP ENP Robot Upper/Lower Drive Set of 2 Used
storemanager-2009 Used - $1,136.00 0 Aug/09/18 Aug/09/18
Description: 0100-09204 CONTROLLER BD H 2 0 VDS.
storemanager-2009 NEW - $317.00 0 Aug/09/18 Aug/09/18
Description: 3870-01196 VALVE PNEU 3/4NPT 2 WAY BRS AI
maxisemi1349 NEW - $7,250.00 0 Aug/10/18 Sep/09/18
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
wyse_avenue NEW - $320.00 0 Aug/13/18 Sep/12/18
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
engin-15 NEW - $220.00 0 Aug/13/18 Sep/12/18
Description: PN 0200-10446 INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
bobsgoodies Used - $229.00 0 Aug/15/18 Aug/21/18
Description: AMAT 0090-20120 ELECT ASSY LIFT MOTOR Vexta PK268-01A-C13 STEPPING MOTOR 2 Phase
20041014625pm NEW - $3,295.00 0 Aug/15/18 Sep/14/18
Description: 853-013750-001 Lam Research APM Lifter Assembly ( 2 EA )
20041014625pm Refurbished - $2,995.00 0 Aug/15/18 Sep/03/18
Description: Lam Research Gap Motor 853-011142-001 QMC 41-M1683 & M2901 Assembly Lot Of 2
novusferro Used - $1,999.00 1 Aug/16/18 Sep/16/19
Description: Trust Automation TA-2027 C-2027-D01 Novellus 27-263160-00 2 Axis Controller
wedone NEW - $45.00 0 Aug/17/18 Sep/16/18
Description: AMAT 3870-02290 Valve, 1/4" NPT 2 WAY 115VAC CKD Corp. Japan AB31-2N-2 NEW
wyse_avenue NEW - $50.00 0 Aug/18/18 Sep/17/18
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
regin_kell Used - $95.00 0 Aug/18/18 Sep/17/18
Description: Lot of 2 AMAT Applied Materials 0190-01270 VME Boards | DIP CDN396 / DIP-213-014
dgold32 Used - $69.99 0 Aug/22/18 Jun/30/22
Description: 2 VAT Inline Valves 25128-XA14-ACS1/0005 A-1894731 AMAT 0041-91310 &0041-91285
svcstore Used - $80.99 0 Aug/23/18 Sep/22/18
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
falcor88 NEW - $9.99 0 Aug/24/18 Sep/23/18
Description: (2) Applied Materials 3300-02279 FTG TBG Elbow 1/8T X 1/8 MNPT 1 Touch QK Discon
falcor88 NEW - $14.99 0 Aug/24/18 Sep/23/18
Description: Lot of 2 Applied Materials 3700-02749 O'Rings ID 2.234 CSD .139 Viton 75Duro UMP
maxisemi1349 NEW - $425.00 0 Aug/24/18 Sep/23/18
Description: 0020-06815 INSULATOR, GROUND PLATE, lot of 2
bobsgoodies2 Used - $29.00 0 Aug/27/18 Mar/31/22
Description: AMAT 0140-35646 HARNESS ASSY,PWR,FACILITY COOLANT INTLK (Lot of 2)
ym3116-fmz6ox Used - $550.00 1 Aug/28/18 Aug/30/18
Description: AMAT Step Motor, p/n: 0010-00071 (Lot of 2)
systasemi Used - $700.00 1 Aug/28/18 Jun/08/23
Description: Novellus 15-112327-00, ADAPTER, MESC,2' THK
intek22 Used - $5,500.00 0 Aug/29/18 Apr/04/19
Description: Lot of 2 Applied Materials AMAT Centura Endura RPECISIO Chamber Frame 0040-84804
bobsgoodies Scrap, for parts - $75.00 1 Aug/30/18 Jul/23/20
Description: APPLIED MATERIALS 0010-00071 2.25 IN STEPPING MTR ASSY Atmosphere (Lot of 2)
atxdeals4u Scrap, for parts - $1,000.00 0 Aug/30/18 Oct/05/18
Description: AMAT 0010-42058 Endura 2 CBM Hybrid, Bosch Lift VAT 10846-UE28-AVN2/5668
dnd_surplus Used - $1,000.00 0 Aug/30/18 Sep/29/18
Description: AMAT Synergy V440 SBC SV21 SBC PCB 0190-20048 Fixes the exception 2 buss errors
falcor88 NEW - $75.00 0 Aug/30/18 Sep/29/18
Description: AMAT Applied Materials 0090-77180 2 Arm Gripper Sensor Harness
elacross1 NEW - $4,724.99 1 Aug/31/18 May/30/19
Description: AMAT 1080-00069 Quantum Leap 2 Process Module Direct Transfer Arm Hollow Gripper
wideerp01 NEW - $350.00 0 Sep/03/18 Sep/10/18
Description: Applied Material Lower Chamber Liner Dps 2. 0040-81155
northbaycontact Used - $485.00 0 Sep/06/18 Oct/06/18
Description: AMAT 0150-09588 CABLE ASSY,REMOTE ANALOG #2 50 FT(used)
northbaycontact Used - $250.00 0 Sep/06/18 Oct/06/18
Description: AMAT 0150-09589 CABLE ASSY,REMOTE DIGITA L #2
svcstore Used - $42.99 0 Sep/07/18 Oct/04/18
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
svcstore Scrap, for parts - $611.99 0 Sep/07/18 Sep/30/18
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
mcarray NEW - $2,000.00 0 Sep/07/18 Oct/07/18
Description: AMAT 0021-21617 COVER, RING 8" 55 DEG, 2 TABS, MASK - New
ym3116-fmz6ox NEW - $24.00 0 Sep/09/18 Oct/09/18
Description: 2 ea AMAT RING, TEFLON, 0020-18269
20041014625pm Used - $1,295.00 0 Sep/09/18 Oct/09/18
Description: Lam Research 853-012100-003 853-012100-001 Loadlock Arm Housing Assembly. 2 Ea
maxisemi1349 NEW - $7,250.00 0 Sep/10/18 Oct/10/18
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
falcor88 NEW - $8.00 0 Sep/10/18 Oct/10/18
Description: Lot of 2 AMAT Applied Materials 0060-02015 Warning Hazardous Voltage Labels
falcor88 NEW - $8.00 0 Sep/10/18 Oct/10/18
Description: Lot of 2 AMAT Applied Materials 0060-01976 Warning Magnetic Field Labels
falcor88 NEW - $8.00 0 Sep/10/18 Oct/10/18
Description: Lot of 2 AMAT Applied Materials 0060-02001 Warning Hazardous Power Labels
wideerp01 NEW - $350.00 0 Sep/10/18 Sep/17/18
Description: Applied Material Lower Chamber Liner Dps 2. 0040-81155
spsglobal Used - $280.00 0 Sep/10/18 Apr/21/21
Description: 203-0203// AMAT APPLIED 0020-24291 MOUNTING PLATE PC 2 RF MATCH USED
regin_kell Used - $70.00 0 Sep/17/18 Oct/07/18
Description: Lot of 2 AMAT Applied Materials 0190-01270 VME Boards | DIP CDN396 / DIP-213-014
wideerp01 NEW - $700.00 1 Sep/17/18 Sep/19/18
Description: Applied Material Lower Chamber Liner Dps 2. 0040-81155
testequipmentparts Used - $99.99 0 Sep/18/18 Apr/04/19
Description: AMAT 0190-01156 DeviceNetpro to 2 channel Compact PCI interface Card AS IS
20041014625pm NEW - $3,295.00 0 Sep/14/18 Oct/09/18
Description: 853-013750-001 Lam Research APM Lifter Assembly ( 2 EA )
j316gallery Used - $300.00 1 Sep/19/18 Feb/11/19
Description: 11870 APPLIED MATERIAL WLDMT MNF 2 FINAL VALVES FUJIKIN ULTIMA 0050-18879
powersell007 Used - $599.00 0 Sep/19/18 Dec/19/23
Description: APPLIED MATERIALS 0021-60948 2 ZONE LOWER PLATE OZ2Z AMAT *UNUSED*
plccenter Used - $1,500.00 0 Sep/19/18 Sep/20/18
Description: APPLIED MATERIAL 0100-20100 (Used, Cleaned, Tested 2 year warranty)
wyse_avenue NEW - $50.00 0 Sep/20/18 Oct/20/18
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
wyse_avenue NEW - $320.00 0 Sep/20/18 Oct/20/18
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
maxisemi1349 Used - $6,000.00 1 Sep/20/18 Aug/08/21
Description: 02-371966-00 ASSY,MANIF,COOLED,RMT CLN,C3VCTR, lot of 2
prism_electronics8 Used - $1,224.99 0 Sep/20/18 Aug/26/22
Description: APPLIED MATERIALS 0040-75819 300mm SBR Corrosion Resistant Link 2 Arm
wedone NEW - $40.00 0 Sep/20/18 Oct/20/18
Description: AMAT 3870-02290 Valve, 1/4" NPT 2 WAY 115VAC CKD Corp. Japan AB31-2N-2 NEW
prism_electronics5 Used - $212.50 0 Sep/21/18 Jul/29/22
Description: APPLIED MATERIALS AMAT 0040-05348 RF HEATER AC 2 MCA EMPTY BOX
engin-15 NEW - $220.00 2 Sep/21/18 Oct/21/18
Description: PN 0200-10446 INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
falcor88 NEW - $14.99 0 Sep/23/18 Oct/23/18
Description: Lot of 2 Applied Materials 3700-02749 O'Rings ID 2.234 CSD .139 Viton 75Duro UMP
falcor88 NEW - $9.99 0 Sep/23/18 Oct/23/18
Description: (2) Applied Materials 3300-02279 FTG TBG Elbow 1/8T X 1/8 MNPT 1 Touch QK Discon
svcstore Used - $80.99 0 Sep/23/18 Oct/04/18
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
plccenter Used - $1,500.00 0 Sep/25/18 Jun/02/19
Description: APPLIED MATERIAL 0100-20100 (Used, Cleaned, Tested 2 year warranty)
farmoninc NEW - $45.00 1 Sep/26/18 Jul/24/20
Description: 2 IDEC APW-199 Pilot Light, AMAT 1010-01063, 450204
prism_electronics5 Used - $425.00 1 Sep/26/18 Jul/25/22
Description: APPLIED MATERIALS AMAT 0150-20026 CABLE ASSY REMOTE 2 INTERCONNECT
farmoninc Used - $60.00 0 Sep/26/18 Jun/15/23
Description: 2 LAM 920-094661-034 Fitting, Connector, Male, 3/4", 450217
wideerp01 NEW - $350.00 1 Sep/29/18 Sep/29/18
Description: Applied Material Lower Chamber Liner Dps 2. 0040-81155
falcor88 NEW - $75.00 0 Sep/30/18 Oct/30/18
Description: AMAT Applied Materials 0090-77180 2 Arm Gripper Sensor Harness
dnd_surplus Used - $1,000.00 3 Sep/30/18 Sep/13/19
Description: AMAT Synergy V440 SBC SV21 SBC PCB 0190-20048 Fixes the exception 2 buss errors
svcstore Scrap, for parts - $305.99 0 Sep/30/18 Oct/30/18
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
systasemi Used - $1,500.00 0 Oct/01/18 Mar/10/19
Description: AMAT 0150-35204, C/A GAS PANEL UMBILICAL #2
prism_electronics5 Used - $425.00 0 Oct/02/18 Jul/25/22
Description: APPLIED MATERIALS AMAT 0050-07944 HOSE ASSY MICROWAVE 2 RETU 10MM X 1F
prism_electronics5 Used - $216.75 2 Oct/02/18 Dec/10/21
Description: APPLIED MATERIALS AMAT 0140-20540 HARNESS ASSY CH 1 & 2 I/C
prism_electronics5 Used - $255.00 1 Oct/02/18 Aug/26/21
Description: APPLIED MATERIALS AMAT 0040-05346 BASE RF HEATER AC 2 MCA BOX
prism_electronics5 Used - $255.00 2 Oct/02/18 Aug/26/21
Description: APPLIED MATERIALS AMAT 0040-05347 RF COVER HEATER BOX AC 2
phxinn Refurbished - $4,900.00 0 Oct/02/18 Nov/01/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
eisale1535 Used - $5,400.00 0 Oct/03/18 Dec/02/18
Description: AMAT 0190-24854 MKS G4 PLUS 4-PORT UPA 300MM REFL, AMAT 0190-15840 Rev 2
prism_electronics7 Used - $646.00 0 Oct/03/18 Aug/10/20
Description: APPLIED MATERIALS 0150-20158 AMAT CONTROL CRYO 2 50 FT
prism_electronics7 Used - $500.00 0 Oct/03/18 Sep/03/20
Description: APPLIED MATERIALS 0150-20015 CABLE ASSY CHAMBER 2 INTERCONNECT
prism_electronics5 Used - $1,500.00 1 Oct/03/18 Feb/25/19
Description: APPLIED MATERIALS AMAT 0150-35790 MULTIPLEXED I/O CONTROL BD, AUX 2
prism_electronics5 Used - $680.00 0 Oct/04/18 Aug/30/22
Description: APPLIED MATERIALS AMAT 0150-20159 CONTROL GYRO 2 (50ft)
svcstore Used - $65.99 0 Oct/05/18 Nov/04/18
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
regin_kell Used - $40.00 0 Oct/07/18 Oct/10/18
Description: Lot of 2 AMAT Applied Materials 0190-01270 VME Boards DIP CDN396 / DIP-213-014
mcarray NEW - $2,000.00 0 Oct/07/18 Nov/06/18
Description: AMAT 0021-21617 COVER, RING 8" 55 DEG, 2 TABS, MASK - New
athomemarket Used - $43.99 0 Oct/08/18 Jan/06/20
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
northbaycontact Used - $250.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0150-09589 CABLE ASSY,REMOTE DIGITA L #2
northbaycontact Used - $485.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0150-09588 CABLE ASSY,REMOTE ANALOG #2 50 FT(used)
20041014625pm Used - $1,095.00 0 Oct/09/18 Nov/08/18
Description: Lam Research 853-012100-003 853-012100-001 Loadlock Arm Housing Assembly. 2 Ea
prism_electronics5 Used - $425.00 0 Oct/09/18 Aug/20/22
Description: APPLIED MATERIALS AMAT 0040-05824 COVER AC HEATER BOX 2
20041014625pm NEW - $2,695.00 0 Oct/09/18 Nov/01/18
Description: 853-013750-001 Lam Research APM Lifter Assembly ( 2 EA )
maxisemi1349 NEW - $7,250.00 0 Oct/10/18 Nov/09/18
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
svcstore Used - $19.99 0 Oct/10/18 Nov/09/18
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
atxdeals4u Scrap, for parts - $2,000.00 1 Oct/11/18 Oct/14/18
Description: AMAT 0010-42058 Endura 2 CBM Hybrid, Bosch Lift VAT 10846-UE28-AVN2/5668
falcor88 NEW - $8.00 0 Oct/11/18 Nov/10/18
Description: Lot of 2 AMAT Applied Materials 0060-02015 Warning Hazardous Voltage Labels
falcor88 NEW - $8.00 0 Oct/11/18 Nov/10/18
Description: Lot of 2 AMAT Applied Materials 0060-02001 Warning Hazardous Power Labels
falcor88 NEW - $8.00 0 Oct/11/18 Nov/10/18
Description: Lot of 2 AMAT Applied Materials 0060-01976 Warning Magnetic Field Labels
spsglobal Used - $1,000.00 0 Oct/11/18 Mar/27/20
Description: 321-0402// AMAT APPLIED 0190-00544 (#2) APPLIED MATRIALS COMPONENTS USED
regin_kell Used - $65.00 0 Oct/12/18 Oct/18/18
Description: Lot of 2 AMAT Applied Materials 0190-01270 VME Boards DIP CDN396 / DIP-213-014
spsglobal Used - $600.00 0 Oct/15/18 Sep/08/21
Description: 147-0601// AMAT APPLIED 0150-20009 CABLE ASSY, CONVECTRON INTERCONNECT II 2 USED
spsglobal Used - $520.00 0 Oct/15/18 Sep/15/21
Description: 149-0401// AMAT APPLIED 0150-21351 CONVECTRON 2, (EMC COMPLIANT) USED
prism_electronics5 Used - $85.00 0 Oct/16/18 Aug/03/22
Description: APPLIED MATERIALS AMAT 0150-21161 CABLE ASSY WATER LEAK DET 2 G
athomemarket NEW - $41.29 0 Oct/16/18 Jan/14/20
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
intek22 NEW - $50.00 0 Oct/17/18 May/11/20
Description: New Lot of 2 * AMAT Applied Materials 0150-04723 75ft Umbilical Pump Cables
tanya.kub NEW - $90.00 1 Oct/18/18 Feb/19/19
Description: NOVELLUS SYSTEMS 22-028500-00 REV A LOT OF 2
wyse_avenue NEW - $50.00 0 Oct/20/18 Nov/19/18
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
wyse_avenue NEW - $320.00 0 Oct/20/18 Nov/19/18
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
wedone NEW - $40.00 0 Oct/21/18 Nov/20/18
Description: AMAT 3870-02290 Valve, 1/4" NPT 2 WAY 115VAC CKD Corp. Japan AB31-2N-2 NEW
bobsgoodies NEW - $75.00 2 Oct/22/18 Sep/30/20
Description: AMAT 3250-01008 CNTRDI-TANK 1/2MPT ADPTER W/TANK ADPTR & LOCKRNG (Lot of 2)
athomemarket Used - $119.99 0 Oct/22/18 Oct/29/18
Description: (Lot Of 2) Applied Materials 0010-75091 Endura System Assembly VGA Monitor Base
athomemarket NEW - $63.89 0 Oct/22/18 Jan/20/20
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
spsglobal Used - $180.00 0 Oct/23/18 Mar/16/20
Description: 142-0102// AMAT APPLIED 0150-76226 EMC COMP., CABLE, ANALOG #2 GA USED
spsglobal Used - $200.00 0 Oct/23/18 Mar/16/20
Description: 142-0102// AMAT APPLIED 0150-76223 EMC COMP., CABLE, DIGITAL #2 G USED
csi.usa NEW - $119.99 0 Oct/23/18 Jan/21/19
Description: NEW AMAT APPLIED MATERIALS 0021-08144 HEATER PLUG 408399-PJ CHAMBER 2
falcor88 NEW - $14.99 0 Oct/24/18 Nov/23/18
Description: Lot of 2 Applied Materials 3700-02749 O'Rings ID 2.234 CSD .139 Viton 75Duro UMP
falcor88 NEW - $9.99 0 Oct/24/18 Nov/23/18
Description: (2) Applied Materials 3300-02279 FTG TBG Elbow 1/8T X 1/8 MNPT 1 Touch QK Discon
bobsgoodies NEW - $225.00 1 Nov/01/18 Apr/16/19
Description: 2 AMAT 3870-02675 VALVE PLUG MNL 75PS1 1/4 Furon PV1-44 Furon Manually Actuated
20041014625pm NEW - $2,695.00 0 Nov/01/18 Dec/01/18
Description: 853-013750-001 Lam Research APM Lifter Assembly ( 2 EA )
svcstore Scrap, for parts - $260.99 0 Oct/31/18 Nov/30/18
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
svcstore Used - $56.99 0 Nov/05/18 Dec/05/18
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
mcarray NEW - $1,800.00 0 Nov/06/18 Dec/06/18
Description: AMAT 0021-21617 COVER, RING 8" 55 DEG, 2 TABS, MASK - New
northbaycontact Used - $485.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0150-09588 CABLE ASSY,REMOTE ANALOG #2 50 FT(used)
northbaycontact Used - $250.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0150-09589 CABLE ASSY,REMOTE DIGITA L #2
maxisemi1349 NEW - $6,950.00 0 Nov/09/18 Dec/09/18
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
20041014625pm Used - $1,095.00 0 Nov/09/18 Nov/28/18
Description: Lam Research 853-012100-003 853-012100-001 Loadlock Arm Housing Assembly. 2 Ea
engin-15 NEW - $220.00 0 Nov/09/18 Dec/09/18
Description: PN 0200-10446 INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
grandbirdnet Used - $4,500.00 0 Nov/15/18 Sep/15/19
Description: AMAT 0190-10926 LWR ELECTRONICS, P-RIZED BRUSH 1 OR 2 W/, USED
grandbirdnet Used - $2,200.00 0 Nov/14/18 Jan/21/24
Description: AMAT 0010-77773 ASSY, 2 PHASE DRIVER, USED
svcstore Used - $14.99 0 Nov/13/18 Dec/13/18
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
dgold32 Used - $199.00 1 Nov/16/18 Jul/08/19
Description: Lot of 2 SMC 766-083796-004 LLA5B-03-T19-X3 lam 853-167909-013
wyse_avenue NEW - $50.00 0 Nov/19/18 Dec/19/18
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
wyse_avenue NEW - $320.00 0 Nov/19/18 Dec/19/18
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
allforsale555 Used - $99.00 1 Nov/22/18 Aug/14/19
Description: Applied Materials 0040-82245 Rev 2 Center Gas Feed Block, Extended, top cle
falcor88 NEW - $14.99 0 Nov/26/18 Dec/26/18
Description: Lot of 2 Applied Materials 3700-02749 O'Rings ID 2.234 CSD .139 Viton 75Duro UMP
j316gallery Used - $2,479.40 1 Nov/26/18 Apr/22/21
Description: 8099 APPLIED MATERIAL PCB STEPPER CONTROLLER 2 0100-00975
falcor88 NEW - $9.99 0 Nov/26/18 Dec/26/18
Description: (2) Applied Materials 3300-02279 FTG TBG Elbow 1/8T X 1/8 MNPT 1 Touch QK Discon
pcexchange_sales Scrap, for parts - $499.99 1 Nov/28/18 Nov/30/18
Description: Lot of 2 Applied Materials AMAT 0010-35937 RF Match Assembly 2MHz VRB 300M
xichao_liu NEW - $600.00 0 Nov/29/18 Dec/29/18
Description: LOT OF 2 AMAT 3700-05868 ORING ID 4.294 CSD0.197 DUPONT KALREZ 8085 K#90630
xichao_liu NEW - $27.00 0 Nov/29/18 Dec/29/18
Description: LOT OF 2 AMAT 3700-06596 O-RING, ID .987 X CSW .103, CHEMRAZ XPE CLASS 1000 CLN
svcstore Scrap, for parts - $234.99 0 Dec/02/18 Jan/01/19
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
alvin1462 NEW - $888.00 0 Dec/04/18 Jul/02/20
Description: APPLIED MATERIALS AMAT 0200-02408 PUMPING RING, CERAMIC C-CHANNEL, SIDE 2 NEW
falcor88 NEW - $75.00 0 Dec/04/18 Jan/03/19
Description: AMAT Applied Materials 0090-77180 2 Arm Gripper Sensor Harness
tanya.kub NEW - $110.00 0 Dec/04/18 Dec/10/18
Description: NEW SMC AR20-DUM02500 REGULATOR PRESSURE 1.0MPA AMAT 3800-00573 LOT OF 2
maxisemi1349 NEW - $1,500.00 0 Dec/05/18 Jan/04/19
Description: 0020-06083 BASEPLATE, SHIELD, lot of 2 sets
svcstore Used - $50.99 0 Dec/06/18 Jan/05/19
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
mcarray NEW - $1,800.00 0 Dec/06/18 Jan/05/19
Description: AMAT 0021-21617 COVER, RING 8" 55 DEG, 2 TABS, MASK - New
20041014625pm NEW - $2,790.00 0 Dec/07/18 Dec/19/18
Description: 853-013750-001 Lam Research APM Lifter Assembly ( 2 EA )
maxisemi1349 NEW - $6,950.00 0 Dec/09/18 Jan/08/19
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
eisale1535 Used - $5,400.00 0 Dec/09/18 Jun/09/20
Description: AMAT 0190-24854 MKS G4 PLUS 4-PORT UPA 300MM REFL, AMAT 0190-15840 Rev 2
northbaycontact Used - $250.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0150-09589 CABLE ASSY,REMOTE DIGITA L #2
northbaycontact Used - $485.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0150-09588 CABLE ASSY,REMOTE ANALOG #2 50 FT(used)
svcheck NEW - $228.74 0 Dec/13/18 Oct/08/20
Description: AMAT APPLIED MATERIALS 3400-01069 Flex Helium 2FEM CPLF Pump Hose Lot of 2
falcor88 NEW - $8.00 0 Dec/14/18 Jan/13/19
Description: Lot of 2 AMAT Applied Materials 0060-01976 Warning Magnetic Field Labels
falcor88 NEW - $8.00 0 Dec/14/18 Jan/13/19
Description: Lot of 2 AMAT Applied Materials 0060-02015 Warning Hazardous Voltage Labels
falcor88 NEW - $8.00 0 Dec/14/18 Jan/13/19
Description: Lot of 2 AMAT Applied Materials 0060-02001 Warning Hazardous Power Labels
svcstore Used - $49.99 0 Dec/14/18 Jan/13/19
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
20041014625pm NEW - $2,790.00 0 Dec/19/18 Jan/01/19
Description: 853-013750-001 Lam Research APM Lifter Assembly ( 2 EA )
mjeffs Used - $3,850.00 0 Dec/26/18 Jan/25/19
Description: Lot of 2 -LAM RESEARCH ESC POWER SUPPLY ASSY 810-017086-700 Rev. C
xichao_liu NEW - $600.00 0 Dec/29/18 Jan/28/19
Description: LOT OF 2 AMAT 3700-05868 ORING ID 4.294 CSD0.197 DUPONT KALREZ 8085 K#90630
maxisemi1349 NEW - $550.00 0 Dec/29/18 Jan/28/19
Description: 0020-23811 8" COHERENT W/1.25:1 0.5" HEX, lot of 2
xichao_liu NEW - $27.00 0 Dec/29/18 Jan/28/19
Description: LOT OF 2 AMAT 3700-06596 O-RING, ID .987 X CSW .103, CHEMRAZ XPE CLASS 1000 CLN
falcor88 NEW - $9.99 0 Dec/30/18 Jan/29/19
Description: (2) Applied Materials 3300-02279 FTG TBG Elbow 1/8T X 1/8 MNPT 1 Touch QK Discon
falcor88 NEW - $14.99 0 Dec/30/18 Jan/29/19
Description: Lot of 2 Applied Materials 3700-02749 O'Rings ID 2.234 CSD .139 Viton 75Duro UMP
tdindustrial Used - $50.00 1 Dec/30/18 Nov/19/21
Description: AMAT Pipe Nipple Hex 1-1/4MNPT 2.41" LG, 408351-TC, 3300-00841, Lot of 2, New
phxinn Refurbished - $4,950.00 0 Dec/31/18 Jan/30/19
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
svcstore Scrap, for parts - $199.99 0 Jan/03/19 Feb/02/19
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
mcarray NEW - $1,800.00 0 Jan/05/19 Feb/04/19
Description: AMAT 0021-21617 COVER, RING 8" 55 DEG, 2 TABS, MASK - New
falcor88 NEW - $75.00 0 Jan/07/19 Feb/06/19
Description: AMAT Applied Materials 0090-77180 2 Arm Gripper Sensor Harness
dieseltdiguy NEW - $15.33 1 Jan/07/19 Apr/15/22
Description: Applied Materials x17 10-24 x 2 3690-00883 Hex Screws
getspares.com_sparesllc09 Used - $8,999.26 0 Jan/07/19 May/26/22
Description: 0195-10755 / CONTROL BOX 2 CHAMBER BMA ADVANTAGE GT-XP UPG101896-P1 / AMAT
maxisemi1349 NEW - $6,950.00 0 Jan/08/19 Feb/07/19
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
engin-15 NEW - $220.00 0 Jan/08/19 Feb/07/19
Description: PN 0200-10446 INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
svcstore Used - $43.99 0 Jan/09/19 Feb/08/19
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
zindchau15 NEW - $50.00 0 Jan/09/19 Oct/23/21
Description: SMC LQ3T3A-SB AMAT 3300-12664 FTG TBG UNION BRANCH TEE SPACE SAVER LOT OF 2
zindchau15 NEW - $90.00 0 Jan/09/19 Oct/23/21
Description: APPLIED MATERIALS 0020-08515 GRIP PLENUM LIFT, LOT OF 2
northbaycontact Used - $485.00 0 Jan/09/19 Jan/21/19
Description: AMAT 0150-09588 CABLE ASSY,REMOTE ANALOG #2 50 FT(used)
northbaycontact Used - $250.00 0 Jan/09/19 Jan/21/19
Description: AMAT 0150-09589 CABLE ASSY,REMOTE DIGITA L #2
katiil3 Scrap, for parts - $399.00 1 Jan/12/19 Feb/22/19
Description: AMAT/Applied materials 0100-01135 PCB ASSEMBLY, REMOTE SERIPLEX I/O ( lot of 2 )
falcor88 NEW - $8.00 0 Jan/14/19 Feb/13/19
Description: Lot of 2 AMAT Applied Materials 0060-02001 Warning Hazardous Power Labels
falcor88 NEW - $8.00 0 Jan/14/19 Feb/13/19
Description: Lot of 2 AMAT Applied Materials 0060-02015 Warning Hazardous Voltage Labels
falcor88 NEW - $8.00 0 Jan/14/19 Feb/13/19
Description: Lot of 2 AMAT Applied Materials 0060-01976 Warning Magnetic Field Labels
svcstore Used - $44.99 0 Jan/15/19 Feb/14/19
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
wyse_avenue NEW - $50.00 0 Jan/18/19 Feb/17/19
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
wyse_avenue NEW - $320.00 0 Jan/18/19 Feb/17/19
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
vizko2017 NEW - $40.00 1 Jan/19/19 Nov/19/23
Description: APPLIED MATERIALS 3300-04256 FTG PIPE PLUG 3/8MNPT 13/16HEX 0.84L LOT OF 2
vizko2017 NEW - $50.00 0 Jan/19/19 Dec/04/23
Description: 2 APPLIED MATERIALS 3300-02185 FTG TBG EL 90DEG NW25KF 1"OD X 1.97"RAD SST304
vizvik16 NEW - $70.00 1 Jan/21/19 Jul/09/19
Description: TRU FSCM-92180 COAXIAL CONNECTOR ADAPTER AMAT 0720-04376 LOT OF 2
northbaycontact Used - $0.99 0 Jan/21/19 Jan/31/19
Description: AMAT 0150-09588 CABLE ASSY,REMOTE ANALOG #2 50 FT(used)
northbaycontact Used - $0.99 0 Jan/21/19 Jan/31/19
Description: AMAT 0150-09589 CABLE ASSY,REMOTE DIGITA L #2
katiil3 NEW - $500.00 1 Jan/22/19 Sep/22/20
Description: Applied Materials Filter Weldment Assy, 0050-41313 ( LOT OF 2 )
bobsgoodies2 Used - $129.00 0 Jan/22/19 Mar/31/22
Description: AMAT Applied Materials 0021-39009 BRACKET BLOCK VALVE CAJON,GAS BOX (Lot of 2)
vizvik16 NEW - $40.00 0 Jan/22/19 Jan/22/24
Description: Applied Materials 3300-04819 FTG HOSE BARB 3/4H X 3/16-12 FEM SWVL SST LOT OF 2
maxisemi1349 NEW - $550.00 0 Jan/28/19 Feb/27/19
Description: 0020-23811 8" COHERENT W/1.25:1 0.5" HEX, lot of 2
getsmalls17 Used - $600.00 1 Feb/02/19 Jun/29/21
Description: Applied Materials (AMAT) 0020-17041 Inner Race, Bearing Rotation (LOT OF 2)
ntsurplus302 Used - $100.00 1 Jan/31/19 May/29/19
Description: 4411 Lot of 2 Applied Materials 0150-00223 Cables Assy. EMITTER
mjeffs Used - $2,750.00 1 Feb/04/19 Apr/10/20
Description: Lot of 2 -LAM RESEARCH ESC POWER SUPPLY ASSY 810-017086-700 Rev. C
mcarray NEW - $1,800.00 0 Feb/04/19 Mar/06/19
Description: AMAT 0021-21617 COVER, RING 8" 55 DEG, 2 TABS, MASK - New
svcstore Scrap, for parts - $169.99 0 Feb/04/19 Mar/06/19
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
intek22 Used - $1,000.00 1 Feb/07/19 Mar/11/19
Description: Lot of 2 * AMAT Applied Materials 0040-87219 & 0040-79912 EMax CT Wall Liners
sparesllc09 Used - $888,889.00 1 Feb/07/19 Apr/10/19
Description: 0020-75465 / HOOP UNIVERSAL, 300MM ENDURA 2 P5 / APPLIED MATERIALS / AMAT
intek22 NEW - $150.00 1 Feb/06/19 Feb/19/19
Description: AMAT Applied Materials 0021-07586 Isolator Ring TxZ ENDURA 2
intek22 NEW - $450.00 2 Feb/06/19 Feb/19/19
Description: New AMAT Applied Materials 0040-04586 Shield Inner TXZ CVD 300mm * for ENDURA 2
maxisemi1349 NEW - $6,950.00 0 Feb/07/19 Mar/09/19
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
svcstore Used - $37.99 0 Feb/09/19 Mar/11/19
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
logansemi NEW - $200.00 1 Feb/11/19 Apr/12/19
Description: Lam Research PLUNGER ROD, P/N 715-330746-002, LOT OF 2, NOS
getspares.com_sparesllc09 Used - $175.82 0 Feb/12/19 Aug/22/22
Description: 0041-11617 / BACKPLANE 2 SIDED DPSII MODULAR GP ETCH / APPLIED MATERIALS AMAT
dom0808 Used - $2,530.00 0 Feb/13/19 Feb/11/22
Description: Novellus SIOC 2 Module 03-143089-00
asmtk Used - $10,000.00 1 Feb/13/19 Feb/06/21
Description: Applied Materials 0010-03128 ASSY, MCA+, AC BOX 2 AMAT
falcor88 NEW - $8.00 0 Feb/15/19 Mar/17/19
Description: Lot of 2 AMAT Applied Materials 0060-01976 Warning Magnetic Field Labels
falcor88 NEW - $8.00 0 Feb/15/19 Mar/17/19
Description: Lot of 2 AMAT Applied Materials 0060-02001 Warning Hazardous Power Labels
falcor88 NEW - $8.00 0 Feb/15/19 Mar/17/19
Description: Lot of 2 AMAT Applied Materials 0060-02015 Warning Hazardous Voltage Labels
svcstore Used - $38.99 0 Feb/15/19 Mar/17/19
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
20041014625pm NEW - $3,495.00 0 Feb/16/19 Mar/12/19
Description: Lam Research 853-013750-001 APM Lifter Assembly Brand New 2 EA
wyse_avenue NEW - $50.00 0 Feb/17/19 Mar/19/19
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
wyse_avenue NEW - $320.00 0 Feb/17/19 Mar/19/19
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
brad2000 Used - $295.00 0 Feb/17/19 Mar/19/19
Description: Lam Research 810-017057-001 REV 2 Auto Tune Board Assembly
dom0808 Used - $523.60 0 Feb/19/19 Feb/11/22
Description: Novellus Digital Dynamics INC Altus Sioc 2 Interlock 02-109141-00 REV
maxisemi1349 NEW - $6,950.00 0 Mar/09/19 Apr/08/19
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
20041014625pm NEW - $3,495.00 0 Mar/12/19 Mar/19/19
Description: Lam Research 853-013750-001 APM Lifter Assembly Brand New 2 EA
prism_electronics12 Used - $999.99 0 Mar/12/19 Apr/30/22
Description: LAM RESEARCH 715-088515-102 FLEX FXP GROUND RING BRACKET 2
svcstore Used - $34.99 1 Mar/18/19 Jul/29/19
Description: Lot 2 SEALED Lam Research 716-013344-006 Rev. A Quartz Ring Semiconductor Part
wyse_avenue NEW - $320.00 0 Mar/19/19 May/03/19
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
wyse_avenue NEW - $50.00 0 Mar/19/19 May/03/19
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
brad2000 Used - $295.00 0 Mar/19/19 Mar/24/19
Description: Lam Research 810-017057-001 REV 2 Auto Tune Board Assembly
usedeqsales NEW - $253.19 1 Mar/20/19 Sep/21/20
Description: AMAT Applied Materials 3700-02355 Chemraz O-Ring Reseller Lot of 2 New
earthfriendlyrecyclingwa NEW - $375.00 0 Mar/20/19 Nov/13/19
Description: AMAT Door, Slit Valve, Waffle-Less, 200EMAX, P/N 0040-50414, LOT OF 2
falcor88 NEW - $8.00 1 Mar/20/19 Apr/22/19
Description: Lot of 2 AMAT Applied Materials 0060-02015 Warning Hazardous Voltage Labels
falcor88 NEW - $8.00 1 Mar/20/19 Oct/14/20
Description: Lot of 2 AMAT Applied Materials 0060-01976 Warning Magnetic Field Labels
falcor88 NEW - $8.00 0 Mar/20/19 Oct/15/20
Description: Lot of 2 AMAT Applied Materials 0060-02001 Warning Hazardous Power Labels
brad2000 Used - $99.00 0 Mar/24/19 Mar/31/19
Description: Lam Research 810-017057-001 REV 2 Auto Tune Board Assembly
prism_electronics12 Used - $45.00 0 Mar/26/19 Aug/09/22
Description: LAM RESEARCH 853-073557-012 CABLE, CA NODE/PRESS CONT ZONE 2
gemrkim1103 Used - $199.00 0 Mar/27/19 Jun/15/22
Description: LAM MOTHERBOARD. NODE 2. PM SERIAL NO. 810-802902-006 REV. C /Free Shipping
powersell007 Used - $599.00 0 Mar/27/19 Jul/13/22
Description: AMAT 3870-01306 VALVE PNEU DIAPH 125PSI N/C 1/4VCR-F/F SST 10RA *LOT OF 2 UNITS*
maxisemi1349 NEW - $300.00 0 Mar/27/19 Apr/14/21
Description: 0190-09062 ASSY TC PROBE (NEW), lot of 2 and feedthru
storemanager-2009 Used - $500.00 0 Mar/28/19 Jul/17/21
Description: SORENSEN DCS 40-25M37 POWER SUPPLY - AMAT 0010-40118 (QTY 2)
j316gallery Used - $2,903.60 1 Mar/29/19 Apr/12/20
Description: 13083 APPLIED MATERIAL ISAC CP I/O BLOCK 2 TOP 0190-36787
brad2000 Used - $99.00 1 Apr/01/19 Apr/08/19
Description: Lam Research 810-017057-001 REV 2 Auto Tune Board Assembly
mcarray NEW - $600.00 1 Apr/06/19 Nov/13/22
Description: AMAT 0021-21617 COVER, RING 8" 55 DEG, 2 TABS, MASK - New
getspares.com_sparesllc09 Used - $2,800.00 0 Apr/04/19 Dec/12/22
Description: 0010-24584 / VIEWPORT LID ENDURA 2 PRECLEAN CHAMBER / APPLIED MATERIALS AMAT
svcstore Scrap, for parts - $123.99 0 Apr/08/19 Sep/16/19
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
logansemi NEW - $200.00 1 Apr/12/19 Mar/08/21
Description: Lam Research PLUNGER ROD, P/N 715-330746-002, LOT OF 2, NOS
svcstore Used - $29.99 0 Apr/12/19 Sep/16/19
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
spsglobal Used - $60.00 2 Apr/11/19 Mar/09/22
Description: 344-0303// AMAT APPLIED 3300-01957 FTG QDISC BODY 3/8T SWAGELOK 2 NEW
falcor88 NEW - $9.99 2 Apr/11/19 Jan/09/20
Description: (2) Applied Materials 3300-02279 FTG TBG Elbow 1/8T X 1/8 MNPT 1 Touch QK Discon
austintxresale NEW - $14.99 1 Apr/11/19 Jul/13/23
Description: Lot of 2 Applied Materials 3700-02749 O'Rings ID 2.234 CSD .139 Viton 75Duro UMP
zindchau15 Used - $15,000.00 0 May/03/19 Oct/23/21
Description: TRUST C-2030 2 AXIS INDEXER NOVELLUS 02-397379-00, SPINDLE ASSY SERVO 303
j316gallery Used - $15,000.00 0 May/03/19 Apr/30/20
Description: C1100 NOVELLUS TRUST 2 AXIS SPINDLE ASSY 02-276537-00
usedeqsales Used - $1,605.19 0 May/02/19 Jun/29/23
Description: Lam Research 810-802902-006 TM Node 2 PCB Panel 810-707150-001 Continuum Spare
asmtk NEW - $1,000.00 0 Apr/30/19 Oct/01/21
Description: Applied Materials 3870-00873 VALVE CHECK 3/4" HG-100PSI 2' AMAT
bobsgoodies2 Used - $199.00 0 Apr/26/19 Mar/31/22
Description: AMAT 0190-01982 SWITCH, WATER 3/8" HOSE/W ANALOG OUT 2 G/Min 95027006S24P1
getspares.com_sparesllc09 NEW - $3,580.82 0 Apr/26/19 Feb/20/23
Description: 02-381649-02 / ASSY,PEM 2,300MM,POR,SR,SBR-XT(SABRE NON 3D) / LAM / NOVELLUS
spsglobal Used - $1,000.00 0 Apr/26/19 May/15/19
Description: 303-0301// AMAT APPLIED 0190-70060 (#2) wMAGNET DRIVE ASSY ASIS
usgera_gvbbcfgaa Used - $325.00 0 May/09/19 Aug/10/22
Description: Amat Lot Of (2) DIP CDN-491 PCB Cards 0190-04457 (1) DIP-379-250 (1) DIP-439-250
j316gallery NEW - $233.33 1 May/06/19 May/19/20
Description: 13141 APPLIED MATERIALS 3/8" 2 WAY DMD BALL VALVE (NEW) 3870-01230
atxdeals4u Used - $750.00 0 May/15/19 Oct/21/19
Description: LAM RESEARCH EIOC 2 PLATE FLEX SBR-XT P/N 61-420063-00
martiston69 NEW - $49.96 0 May/19/19 Dec/03/19
Description: NOVELLUS SYSTEMS 22-138903-05 REV V LOT OF 2
j316gallery Used - $171.11 1 May/22/19 Apr/29/20
Description: 9283 VEXTA 2Ø VEXTA STEPPING MTR, 0.8A, 6VDC, AMAT 0090-20036 PX245M-02AA
usedeqsales Used - $205.19 0 May/23/19 Nov/28/22
Description: Precision Sensors E36W-H55 Absolute Pressure Switch Lam 768-093959-003 Lot of 2
bt_store1 Used - $45.00 0 May/30/19 Jul/15/21
Description: AMAT 0020-39279 COVER MOD 2 DRIVER PROTECTIVE
bt_store1 Used - $250.00 0 May/30/19 Jun/30/20
Description: AMAT 0150-20994 CABLE ASSY 2 PHASE DRIVER SIGNAL I F65FT
bt_store1 Used - $790.00 0 May/30/19 Jul/15/21
Description: AMAT 0150-22629 CABLE ASSY WATER LEAK DET 2 GEN RACKS 40FT
bt_store1 Used - $490.00 0 May/30/19 Jul/15/21
Description: AMAT 0620-01092 CABLE ASSY CONTROLLER ONBOARD 2 L 9P CIRC CONN M F
electric*outlet Refurbished - $1,500.00 2 Jun/04/19 Jun/05/19
Description: Applied Materials 0920-00149 RFG TRUMPF SSM 3000 ETHER CAT. Only 2 left.
gigabitpartsolutions NEW - $2,090.00 1 Jun/09/19 Sep/26/19
Description: Controller Novellus 02-321648-00 DIGITAL DYNAMICS Vector HDSIOC 2 Firmware 5.
surplustechmart Used - $44.10 0 Jun/13/19 Dec/08/21
Description: AMAT CABLE ASSEMBLY, ER INTLK PCB TO PSYNC CONTROLLER, ENDURA 2 0150-28294
wyse_avenue NEW - $50.00 0 Jun/25/19 Jul/09/19
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
horizonairchappy Used - $100.00 1 Jun/26/19 May/24/20
Description: Lot Of 2 Horiba-Stec IV-2410AV-02H Injection Valve AMAT 0190-36237 Free Shipping
farmoninc Used - $80.00 1 Jun/26/19 Dec/02/21
Description: 2 Kalrez AS568 O-Ring K#204 Compound 4079 AMAT 3700-01445 ID.359 CSD.139, 451474
katiil3 Used - $199.00 0 Jul/01/19 Oct/23/21
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 lot of 2
katiil3 Used - $199.00 0 Jul/01/19 Oct/23/21
Description: Applied materials 0190-02506 Rev 003 DIP-240-020 CDN491 lot of 2
katiil3 Used - $249.00 1 Jul/01/19 Oct/23/21
Description: Applied Materials 0190-22967 MKS AS00700-08 Analog I/O board lot of 2
katiil3 Used - $249.00 2 Jul/01/19 Mar/01/20
Description: Applied materials 0190-06170 Rev 001 CDN491 DIP-071-220 Lot of 2
dom0808 Used - $1,494.90 0 Jul/01/19 Feb/11/22
Description: Lam Research NODE BOARD CONTROL TYPE 3 810-800256-106 PCB ASSY MB NODE 2 PM HE
katiil3 Used - $149.00 1 Jun/30/19 Nov/30/19
Description: Applied materials 0190-14284 CDN496 DIP-160-030 Lot of 2
katiil3 Used - $99.00 2 Jun/30/19 Mar/30/21
Description: Applied Materials CDN496 PCB I/O BOARD AMAT 0190-04736, DIP-480-020 lot of 2
dom0808 Used - $2,088.90 0 Jul/02/19 Feb/11/22
Description: Lam Research NODE BOARD CONTROL TYPE 3 810-800256-207 NODE 2 MB PM HE
zindchau15 NEW - $40.00 0 Jul/02/19 Oct/23/21
Description: Applied Materials (AMAT) 3700-01121 ORING LOT OF 2
zindchau15 NEW - $70.00 0 Jul/02/19 Oct/23/21
Description: Applied Materials 3700-01348 ORING ID 9.234 CSD .139 VITON 75 DURO BRN LOT OF 2
zindchau15 NEW - $90.00 0 Jul/02/19 Oct/23/21
Description: Applied Materials 3700-01399 ORING ID 7.984 CSD .139 VITON 75 DURO LOT OF 2
svcstore Used - $179.99 0 Jul/02/19 Sep/16/19
Description: LAM Research 810-707055-003 Rev.E1 TM Node 2 I/O Motherboard Assembly Unit
zindchau15 NEW - $40.00 0 Jul/02/19 Oct/23/21
Description: APPLIED MATERIALS 3700-01474 O-RING ID 10.475 CSD .210 VITON 75 DURO BLACK QTY 2
zindchau15 NEW - $120.00 2 Jul/03/19 Jun/02/20
Description: Applied Materials 0050-87953 GASLINE NITROGEN INTEGRATION ENDURA 2 CR
zindchau15 NEW - $140.00 0 Jul/03/19 Oct/23/21
Description: Applied Materials 4060-00080 K1S Modular MFC MALE BLOCK, LOT OF 2
zindchau15 NEW - $140.00 0 Jul/03/19 Oct/23/21
Description: Applied Materials 4060-00081 K1S Modular MFC FEMALE BLOCK, LOT OF 2
zindchau15 NEW - $100.00 0 Jul/03/19 Oct/23/21
Description: Applied Materials 4060-00073 K1S Modular 3 Port Female End Block, LOT OF 2
zindchau15 NEW - $90.00 2 Jul/03/19 Dec/04/19
Description: Applied Materials 3300-03828 FTG EL 1/4 SST 90 DEG DOUBLE FEMAL PARKER LOT OF 2
zindchau15 NEW - $95.00 0 Jul/03/19 Oct/23/21
Description: PARKER FITTING 2 INCH FEMALE 32-32CL-S Female Cam and Groove AMAT 3300-04678
maxisemi1349 NEW - $85.00 0 Jul/03/19 Jul/30/19
Description: 0150-09120 CABLE ASSY, HEAT EXCHANGER 2 NESLAB
maxisemi1349 Used - $330.00 2 Jul/08/19 Dec/20/21
Description: 0020-31425 MIXER GAS BOX LID BWCVD, lot of 2
zindchau15 NEW - $150.00 0 Jul/09/19 Oct/23/21
Description: APPLIED MATERIALS 0050-86772 GASLINE FLEXLINE PEDISTAL CVD ENDURA 2
zindchau15 NEW - $150.00 0 Jul/09/19 Oct/23/21
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
svcstore Used - $129.99 0 Jul/12/19 Sep/16/19
Description: LAM Research 810-707058-001 Rev.E3 Node 6 I/O Motherboard Assembly Unit #2
svcstore Used - $179.99 0 Jul/12/19 Sep/16/19
Description: LAM Research 810-707055-003 Rev.E1 TM Node 2 I/O Motherboard Assembly Unit #2
svcstore Used - $179.99 0 Jul/12/19 Sep/16/19
Description: LAM Research 810-707055-004 Rev.E1 TM Node 2 I/O Motherboard Assembly Unit
svcstore Used - $999.99 0 Jul/11/19 Sep/16/19
Description: LAM Research 810-707061-003 Rev.E1 PM Node 2 I/O Motherboard Assembly
svcstore Used - $499.99 0 Jul/11/19 Sep/16/19
Description: LAM Research 810-707102-002 Rev.E1 Transport Module Interlock Board Assembly #2
emukel20 NEW - $299.99 0 Jul/12/19 Nov/16/20
Description: Applied Materials 0190-46014 Lamp SLR 2
fslab1103 NEW - $1,600.00 0 Jul/15/19 Jul/21/19
Description: Applied Materials AMAT 3870-01574, Nupro 6LV-D1V333P-AB, Lot Of 2
maxisemi1349 Used - $1,200.00 2 Jul/16/19 Jan/12/22
Description: 0200-09789 COVER, QUARTZ,6" STEPPED , LIFT 2, FLATT
svcstore Used - $99.99 0 Jul/17/19 Sep/16/19
Description: LAM Research 810-190402-001 Rev.E3 Power Supply Board Assembly #2
svcstore Used - $99.99 0 Jul/17/19 Sep/16/19
Description: LAM Research 810-190402-163 Rev.E2 Power Supply Board Assembly #2
svcstore Used - $69.99 0 Jul/16/19 Sep/16/19
Description: LAM Research 810-190430-100 Rev.E1 ESC Filter 5kV Board Assembly #2
bt_store1 Used - $10.00 0 Jul/17/19 Aug/22/19
Description: AMAT 3080-01044 BELT TMG 3 8 PICH 1 2 W NEO GLASS
smartelektronikgmbh Used - $200.00 0 Jul/17/19 Nov/10/20
Description: 0021-21002 MANIFOLD WATER NESLAB B101@CH1,2,3,4
bt_store22 Used - $425.00 0 Jul/17/19 Jul/15/21
Description: AMAT 0150-20015 CABLE ASSY CHAMBER 2 INTERCONNECT 25`
gigabitpartsolutions Used - $770.00 1 Jul/17/19 Feb/19/20
Description: PCB Applied Materials (AMAT) 0100-77038 ASSY, INTERLOCK #2
bt_store1 Used - $20.00 0 Jul/18/19 Aug/22/19
Description: AMAT 3610-01043 Pul Time Belt 3 8 Pitch Alum 1 2 Width 1 2 Bore
bt_store1 Used - $80.00 0 Jul/18/19 Jul/15/21
Description: AMAT 0150-20112 CABLE ASSY EMO GENERATOR 1 2 INT
j316gallery Used - $622.20 0 Jul/21/19 Sep/09/20
Description: 13971 APPLIED MATERIALS PUMPING RING,CERAMIC C-CHANNEL,SIDE 2 0200-02408
roundtable1 NEW - $199.00 0 Jul/24/19 Mar/14/24
Description: Applied Materials 0050-24840 Gas Line, Vent, CH A, PC 2 Upper
fslab1103 NEW - $1,440.00 0 Jul/23/19 Aug/10/19
Description: Applied Materials AMAT 3870-01574, Nupro 6LV-D1V333P-AB, Lot Of 2
roundtable1 NEW - $25.00 0 Jul/31/19 Mar/14/24
Description: Applied Materials 0020-23632 Vent Line Bracket 2 Of 3 NEW
zindchau15 NEW - $40.00 0 Jul/31/19 Oct/23/21
Description: APPLIED MATERIALS 0910-00340 FUSE FAST-ACT 25A 600V 13/32 X 1-1/2, LOT OF 2
zindchau15 NEW - $40.00 0 Jul/31/19 Oct/23/21
Description: APPLIED MATERIALS 0910-00024 FUSE FAST-ACT 15A 600V 13/32 X 1-1/2, LOT OF 2
spsglobal Used - $200.00 0 Jul/30/19 Jun/29/23
Description: 345-0103// AMAT APPLIED 0190-35198 (#2) ASSY,OPT SNSR/CABLE,SPECIFICAT NEW
maxisemi1349 Used - $85.00 2 Jul/30/19 Apr/24/22
Description: 0150-09120 CABLE ASSY HEAT EXCHANGER 2 NESLAB
roundtable1 NEW - $299.00 0 Jul/30/19 Mar/14/24
Description: Applied Materials 1410-01366 Hetaer Jacket Lot Of 2
zindchau15 NEW - $140.00 0 Jul/30/19 Oct/23/21
Description: Applied Materials 4060-00075 K1S MODULAR, 3 PORT MALE END BLOCK, LOT OF 2
zindchau15 NEW - $50.00 0 Jul/30/19 Oct/23/21
Description: AMAT Applied Materials 3300-07815 FTG ADAPTER KF25 X 1/2 SWAGELOK SST, LOT OF 2
zindchau15 NEW - $40.00 0 Jul/30/19 Oct/23/21
Description: AMAT Applied Materials 3300-02348 FTG CLAMP BOLTED BULK HEAD ALUM, LOT OF 2
zindchau15 NEW - $70.00 9 Jul/29/19 Aug/25/20
Description: AMAT Applied Materials 3300-04424 FTG Hose Barb 1.00H SWVL Lot of 2 New
codex0 NEW - $250.00 1 Jul/26/19 Mar/16/21
Description: Lot of 9 Cable (AMAT) 0150-10409 Rev A ASSY, EMO 2 PUMP INTFC-TO-PUMP INT
codex0 NEW - $175.00 1 Jul/26/19 Feb/13/20
Description: New Applied Materials 0150-76193 EMC Comp. Cable ASSY Heat Exchanger 2
autoquip7 NEW - $325.00 2 Jul/25/19 Jul/01/20
Description: 3870-01245, APPLIED MATERIALS, VALVE PNEU BLWS 2 WAY MINI NC-11
getspares.com_sparesllc09 NEW - $500.98 0 Aug/01/19 Nov/13/23
Description: 796-094747-001 / VLV,2 STG,L PF,NW 25 / LAM
allforsale555 Used - $299.00 0 Aug/01/19 Jul/29/21
Description: Appleid materials / AMAT 0100-02311 Rev 001 ( lot of 2 )
dom0808 Used - $95.00 1 Aug/01/19 May/14/20
Description: Lam Research 2 STAGE Regulator SMC P/N IR1020-DUM02286 Lam P/N 772-082235-001
j316gallery Used - $260.00 1 Aug/01/19 Aug/01/19
Description: 14936 APPLIED MATERIALS CABLE ASSEMBLY, RF MATCH, PRECLEAN 2, 16" 0190-21303
spsglobal Used - $50.00 0 Aug/05/19 Jun/29/23
Description: 141-0501// AMAT APPLIED 0140-76625 HARNESS ASSY CENTURA MSM PWR #2 NEW
dom0808 NEW - $374.00 0 Aug/03/19 Feb/11/22
Description: Lam Research ASSY,HOSE,COOL RING TO PUMP 2 853-222376-004
roundtable1 NEW - $189.00 0 Aug/02/19 Jun/22/21
Description: APPLIED MATERIALS (AMAT) 0021-21002 MANIFOLD WATER NESLAB B101@CH1,2,3,4
zindchau15 NEW - $340.00 0 Aug/02/19 Oct/23/21
Description: AMAT Applied Materials 0270-03260 300mm Cooling Plate Lift Fixture New QTY 2
j316gallery Used - $37.26 0 Aug/07/19 Aug/11/22
Description: 14920 APPLIED MATERIALS CLAMP,EXHAUST,2 0020-37160
fa-parts Used - $470.00 10 Aug/14/19 Mar/16/21
Description: AMAT 0660-01809 INTERFACE,SQUARE D VME VERSION 2 30299-083-50 E,SPX VME6U1V2
fa-parts Used - $470.00 2 Aug/14/19 Dec/10/20
Description: AMAT 0660-01809 INTERFACE CARD,SQUARE D VME VERSION 2 30299-083-50,SPX VME6U1V2
fa-parts Used - $470.00 1 Aug/14/19 Mar/19/21
Description: AMAT 0660-01809 INTERFACE,SQUARE D COMPANY VME VERSION 2 30299-083,SPX VME6U1V2
zindchau15 NEW - $40.00 0 Aug/13/19 Oct/23/21
Description: AMAT Applied Materials 3700-02144 O-Ring Lot of 2
svcstore Used - $249.99 0 Aug/12/19 Sep/16/19
Description: LAM Research 839-704204-002 Rev.A Industrial/Lab 2-1/4"OD 5/8"ID Bellows Tube #2
auctionrus NEW - $10.00 1 Aug/12/19 Feb/27/20
Description: 2 AMAT 0020-29079 Ground Strip, 451625
maxisemi1349 Used - $1,600.00 0 Aug/12/19 Apr/10/23
Description: 0200-36726 SUSCEPTOR, R3 ROTATION, NO CENTER, EPI 2
katiil3 Used - $199.00 1 Aug/16/19 Oct/16/21
Description: Applied materials / AMAT 0050-40157 Weldment Elbow 3/4 CPV Face Seal Lot of 2
roundtable1 Used - $99.00 0 Aug/15/19 Oct/08/21
Description: Amat 1410-00733 Heater Cartridge HTR CRTG 1/4ODX2.63”LG2 2
roundtable1 NEW - $39.00 0 Aug/16/19 Sep/20/21
Description: APPLIED MATERIALS 0190-14248 GAUGE PRESS G-1.1 MPA/0 Lot Of 2
gigabitpartsolutions NEW - $110.00 1 Aug/20/19 Mar/17/21
Description: Cable Applied Materials (AMAT) 0140-20574 HARNESS ASSY STEC MFC PANEL 2 SYS
auctionrus Used - $550.00 0 Aug/23/19 Jun/29/23
Description: Aera FC-PA7810C-BA Mass Flow Controller MFC, Si2H6 2 SLM AMAT 3030-16242, 451793
auctionrus Used - $450.00 0 Aug/23/19 Jun/29/23
Description: Aera FC-PA7800C-BA Mass Flow Controller MFC, SiH4 2 SLM, AMAT 3030-16235, 451783
semigooods NEW - $15.00 0 Aug/22/19 May/26/21
Description: AMAT (Applied Materials) 0190-35602 BULB W/ 2 PINS, 750W - OEM NEW
dom0808 Used - $291.50 0 Aug/27/19 Feb/11/22
Description: Lam Research Motherboard Node 2 PM 810-802902-006
dom0808 Used - $361.90 0 Aug/27/19 Feb/11/22
Description: Lam Research PCB ASSY NODE 2 PM HELIUM 810-802902-022
katiil3 Used - $399.00 0 Aug/27/19 Oct/23/21
Description: Applied materials / AMAT 0020-18370 Insulator, Feeder, Bias, HDP-CVD Lot of 2
usedeqsales Used - $508.19 1 Aug/27/19 Jun/23/20
Description: AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Reseller Lot of 2
semigooods NEW - $150.00 1 Aug/28/19 Nov/08/19
Description: AMAT 0200-00400 (REV 2) WINDOW, QUICK RELEASE, EP WINDOW - OEM NEW
capitolareatech Used - $149.95 0 Aug/29/19 Aug/13/20
Description: Applied Materials (AMAT) 0090-00075 ASSY, 2 POS DOUBLE SOLENOID VALVE, 6"
dom0808 Used - $423.50 0 Aug/28/19 Feb/11/22
Description: Fujikin FBDCL-RS022-6 Lam 796-029684-327 VLV,NC,1 MN,2 BYP,5RA,316L,STONEHENGE
katiil3 Used - $149.00 0 Sep/02/19 Oct/23/21
Description: Applied materials 0720-12817 Modular In Line Coupler Qty 2
katiil3 Used - $119.00 0 Sep/02/19 Oct/23/21
Description: Applied materials 3300-02650 FTG TBG PLUG 1/2T 37 DEG FLARED Qty 2
dom0808 Used - $478.50 0 Sep/02/19 Feb/11/22
Description: Lam Research 685-069171-002 SPCTRMTR,DUAL FIBEROTPTIC LOW 2 685-069171-002
capitolareatech Used - $17.22 0 Sep/03/19 Sep/19/19
Description: AMAT 0150-09576 CABLE,DIGITAL #2 GAS I/F V4 ELECTRICAL B
bt_store1 Used - $20.00 0 Sep/04/19 Jun/17/21
Description: AMAT 3610-01043 Pul Time Belt 3 8 Pitch Alum 1 2 Width 1 2 Bore
bt_store1 Used - $10.00 0 Sep/04/19 Jun/17/21
Description: AMAT 3080-01044 BELT TMG 3 8 PICH 1 2 W NEO GLASS
svcstore Used - $999.99 0 Sep/03/19 Sep/16/19
Description: LAM Research 853-540066-005 Rev.F Industrial End-Point Detector Module #2
farmoninc NEW - $27,500.00 0 Sep/04/19 Jan/13/23
Description: Brooks 002-9510-155, Automation Kit, Robot Atr8, 2 Foup Novellus 04-172106-00
j316gallery Used - $1,117.78 0 Sep/05/19 Jan/04/23
Description: 15154 APPLIED MATERIALS WELDMENT MANF SINGLE VLV 2 LINE 6LV-BNBW4-C 0050-09639
powersell007 Used - $499.00 2 Sep/05/19 Sep/05/22
Description: APPLIED MATERIALS 0020-75465 HOOP UNIVERSAL 300MM ENDURA 2 P5 AMAT *UNUSED*
capitolareatech Used - $35.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0020-75428 Bracket 2 Phase Driver
capitolareatech Used - $39.95 0 Sep/05/19 Sep/26/19
Description: Applied Materials AMAT 0150-20054 Cable Assembly Remote 2 Controller INTERCONN
capitolareatech Used - $50.00 0 Sep/05/19 Sep/26/19
Description: Applied Materials AMAT 1410-01393 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech Used - $1,395.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials AMAT 0020-62890 SHUTTER DISK AL ESC ENDURA 2
nissiglobal Used - $179.99 0 Sep/07/19 Oct/12/23
Description: TYLAN 2900 SERIES FC-2900MEP APPLIED MAT. 0224-43264 2 SLPM C2F6
storemanager-2009 Used - $1,237.50 0 Sep/13/19 Jul/17/21
Description: AMAT 0010-13320 ROBOT DRIVE ASSY (LOT OF 2)
dnd_surplus Used - $1,000.00 3 Sep/13/19 Sep/15/20
Description: AMAT Synergy V440 SBC SV21 SBC PCB 0190-20048 Fixes the exception 2 buss errors
storemanager-2009 Used - $1,968.75 0 Sep/13/19 Jul/17/21
Description: AMAT 0010-76015 PRECISION 5000 CLEANROOM 8" ROBOT (LOT OF 2)
spsglobal Used - $40.00 0 Sep/15/19 Oct/12/23
Description: 141-0701// AMAT APPLIED 0150-09210 (#2) CHAMBER B MFC CABLE ASSY USED
dom0808 Used - $283.80 0 Sep/16/19 Feb/11/22
Description: Lam P/N 766-238336-001 VLV,PT,VASE MINT,2 PORTS,NC,ALD HT,SNSR
svcstore Used - $24.99 1 Sep/16/19 Nov/03/22
Description: Applied Materials Blue Point 0010-72208 Digital Susceptor Calibration Display #2
svcstore Used - $224.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 839-704204-002 Rev.A Industrial/Lab 2-1/4"OD 5/8"ID Bellows Tube #2
svcstore Used - $449.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 810-707102-002 Rev.E1 Transport Module Interlock Board Assembly #2
svcstore Used - $949.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 810-707061-003 Rev.E1 PM Node 2 I/O Motherboard Assembly
svcstore Used - $161.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 810-707055-004 Rev.E1 TM Node 2 I/O Motherboard Assembly Unit
svcstore Used - $949.99 1 Sep/16/19 Sep/20/20
Description: LAM Research 853-540066-005 Rev.F Industrial End-Point Detector Module #2
svcstore Used - $161.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 810-707055-003 Rev.E1 TM Node 2 I/O Motherboard Assembly Unit #2
svcstore Used - $89.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 810-190402-001 Rev.E3 Power Supply Board Assembly #2
svcstore Used - $89.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 810-190402-163 Rev.E2 Power Supply Board Assembly #2
svcstore Used - $116.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 810-707058-001 Rev.E3 Node 6 I/O Motherboard Assembly Unit #2
svcstore Used - $62.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 810-190430-100 Rev.E1 ESC Filter 5kV Board Assembly #2
svcstore Scrap, for parts - $90.99 0 Sep/16/19 Dec/04/19
Description: Lam Research 857-039320-003 Alliance Vacuum Gate Valve w/ Pneumatic Actuator #2
catalystparts Used - $250.00 0 Sep/16/19 Oct/12/23
Description: AMAT Applied Materials 0020-85420 ISS.A Pre-Focus Aperture 2 Carbograf 500
visionsemi NEW - $50.00 0 Sep/18/19 Dec/18/19
Description: APPLIED MATERIALS AMAT PAD ASSY 0041-78980 PACK OF 2
svcstore Used - $161.99 0 Sep/18/19 Dec/27/22
Description: LAM Research 810-707055-003 Rev.E1 TM Node 2 I/O Motherboard Assembly Unit
dom0808 Used - $328.90 0 Sep/24/19 Feb/11/22
Description: Applied Materials 1310-00075 THERMOCOUPLE, TYPE K, #10 RING LUGXMINI 2 PRONG PL
visionsemi NEW - $75.00 0 Sep/25/19 Sep/08/22
Description: APPLIED MATERIALS AMAT COVER BOTTOM BOOM LINKAGE 0022-05473 SET OF 2
spsglobal Used - $2,500.00 0 Sep/26/19 Sep/30/19
Description: 303-0301// AMAT APPLIED 0190-70060 (#2) 1100-0075-00 wMAGNET DRIVE ASSY USED
intek22 Used - $2,500.00 1 Sep/27/19 Jan/28/20
Description: AMAT 9500 Implant LEAP 2 Source ISO Transformer 0190-90856 1360-90118
dgold32 Used - $499.99 1 Oct/01/19 Apr/13/20
Description: AMAT APPLIED MATERIALS ISAC CP I/O BLOCK 2 TOP Box 0190-32946 Enclosure/Case
novusferro Used - $499.00 0 Oct/02/19 Sep/08/22
Description: Novellus 16-260356-00 Shield Adapter Gen 2
bobsgoodies2 Used - $99.00 1 Oct/02/19 Aug/31/20
Description: VEXTA 2Ø Stepping Motor, 0.8 A, 6 VDC, AMAT 0090-20036 Vexta PX245M-02AA
novusferro Used - $199.00 0 Oct/06/19 Aug/01/22
Description: Novellus 17-260361-00 INova CU GEN 2 Shield Pedestal GEN 2
getspares.com_sparesllc09 NEW - $1,000.00 1 Oct/07/19 Jan/08/20
Description: 0190-43230 / VAT ENDURA 2 L MOTION ATM DOOR CHAMBER ASSEMBLY / AMAT
novusferro Used - $399.00 1 Oct/07/19 Jan/23/22
Description: Novellus 17-260362-00 Shield Barrel GEN 2
bobsgoodies2 Used - $199.00 1 Oct/09/19 Aug/28/20
Description: AMAT 0090-20036, 0020-70343 Vexta PX245M-02AA 2Ø Stepping Motor & Bracket
audiounchained Scrap, for parts - $44.99 1 Oct/09/19 Mar/12/21
Description: Lam Research PCB Board 810-017034-300 VMU CPU, Unknown Condition AS IS #2
auctionrus NEW - $20.00 0 Oct/14/19 Feb/26/20
Description: 2 AMAT 3700-01089 Seal CTR Ring Assembly NW25 W/Viton O-Ring SST, 452213
roundtable1 Used - $55.00 1 Oct/22/19 Jul/22/20
Description: AMAT 0650-00028 COMPUTER SWITCH BOX 2 LOCKING SWITCH PS/2 BBOX 724-746-5500
spsglobal Used - $20.00 0 Oct/24/19 Sep/06/20
Description: 142-0702// AMAT APPLIED 0150-20583 CABLE ASSY, MTR LIFT CH 1 & 2 USED
dom0808 Used - $1,673.00 0 Oct/25/19 Feb/11/22
Description: Lam Research NODE BOARD TYPE 3 810-800256-005 Motherboard NODE 2 PM
dom0808 Used - $1,980.00 0 Oct/25/19 Feb/11/22
Description: Lam Research NODE Board Control TYPE 3 810-800256-207 NODE 2 MB PM HE 802902-034
bulbsandbatteries NEW - $48.76 0 Oct/26/19 Feb/17/22
Description: (2) REPLACEMENT BULBS FOR AMAT 1010-01223 20W 24V
usedeqsales Used - $2,505.10 0 Oct/30/19 Mar/10/23
Description: AMAT Applied Materials 0010-25431 PVD Chamber SOURCE 2 CPI-AMO Copper Cu Working
getspares.com_sparesllc09 Used - $10,500.00 1 Oct/31/19 Dec/13/20
Description: 0041-02500 / PVD IMPLANT ENDURA 2 BLLK BATCH LOAD LOCK CHAMBER A ASSY / AMAT
getspares.com_sparesllc09 Used - $30,500.00 0 Oct/31/19 Feb/09/23
Description: 0041-02501 / PVD IMPLANT ENDURA 2 BLLK BATCH LOAD LOCK CHAMBER B ASSY / AMAT
powersell007 Used - $799.00 0 Nov/05/19 Dec/05/23
Description: APPLIED MATERIALS 0020-89955 ZONE 2 CLAMP 300MM TITAN AMAT *UNUSED, SEALED*
dom0808 Used - $361.90 0 Nov/06/19 Feb/11/22
Description: Lam Research MOTHERBOARD NODE 2 PM 810-802902-006
taekunkwo-0 Used - $2,500.00 0 Nov/11/19 Jul/06/21
Description: APPLIED MATERIALS 0010-19706 : ENDURA 2 DEGAS HEATER
usedeqsales NEW - $311.19 0 Nov/12/19 Jan/14/21
Description: AMAT Applied Materials 1310-01077 TC Washer Type K 70XKUGB114F Lot of 2 New
svtsurplus Used - $90.00 1 Nov/12/19 Feb/01/21
Description: Sanyo Denki PM Driver PMDPA1C3P20 - AMAT p/n 1080-01276 - Lot of 2
cosplity Used - $3,900.00 0 Nov/19/19 Jun/16/20
Description: AMAT 0190-10734 ASSY,LOWER ELECTRONIC BOX,BRUSH 1,2 CHEM
j316gallery Used - $11,544.20 0 Nov/26/19 Jun/27/23
Description: 1976 APPLIED MATERIALS GEN MICROWAVE MAGNETRON HEAD 2.45GHZ 2 0920-01105 FI20194
spsglobal Used - $1,500.00 3 Nov/28/19 Nov/08/22
Description: 116-0601// AMAT APPLIED 0200-00307 RING,CAPTURE C-ESC,2 HE ZONE,J NEW
spsglobal Used - $720.00 1 Nov/27/19 Jan/12/22
Description: 116-0304// AMAT APPLIED 0200-09977 COVER,QUARTZ 200MM,NOTCH (2),H NEW
dr.dantom Used - $170.00 2 Dec/01/19 Jun/29/22
Description: Applied Materials 0040-78341 DUCT. 2 WAY FLOOR EXHAUST FEOL. CVD ENDURA2 300MM
dr.dantom Used - $50.00 1 Dec/01/19 Oct/24/22
Description: LOT OF 2 Applied Materials 3320-01390 GSKT SHLD RF .103 CSD X 3.987 ID
getspares.com_sparesllc09 Used - $272.99 0 Dec/02/19 Jan/25/22
Description: 3870-06466 / VAVLE PNEU DIAPH N/C, 2 PORT, 1/4JXR F/F AGD-R SERIES / AMAT
usedeqsales Used - $662.19 0 Dec/04/19 Nov/03/21
Description: AMAT Applied Materials 0020-70873 Cryo Shield Endura 2 300mm New
j316gallery Used - $13,000.00 0 Dec/04/19 Mar/08/20
Description: 16221 NOVELLUS TRUST AUTOMATION C-2030-D01 2 AXIS SPINDLE CTLR 02-276537-00
capitolareatech Used - $47.68 0 Dec/06/19 Aug/13/20
Description: Applied Materials AMAT 0150-09574 CABLEANALOG 2 GAS IF V4 ELECTRICAL BO
capitolareatech Used - $125.00 1 Dec/06/19 Feb/24/20
Description: Lam Research LAM 839-007173-001 GASFEED LINE 2
capitolareatech Used - $3,295.95 0 Dec/07/19 Aug/13/20
Description: LAM RESEARCH (LAM) 713-002617-003 WDO POLYCRAB CHAMBER DUAL SEAL 2
dom0808 Used - $291.50 0 Dec/09/19 Feb/11/22
Description: Lam Research Cable 853-215341-011 CA,SIG,EXT SNSR,LTC/NODE 2 853-215341-011
rfglobalsolutions NEW - $700.00 1 Dec/11/19 Apr/06/20
Description: 2 x AMAT Bellows for Susceptor 0015-09055
visual.connections.41 Scrap, for parts - $2,999.99 0 Dec/15/19 Dec/26/19
Description: Lot of 2 Applied Materials 3930-01083 STP 301 Seiko Seiki SCU-STC-L VQ-VOO Boc
powersell007 Used - $1,199.00 0 Dec/19/19 Jan/10/22
Description: APPLIED MATERIALS 0021-27139 FILLER CHAMBER 2 PRODUCER SE AMAT *UNUSED, SEALED*
capitolareatech Used - $1,195.95 0 Dec/20/19 Jun/20/20
Description: Applied Materials AMAT 0200-03388 REV 2 SINGLE RING CERAMIC 200MM NOTCH 500 HE
visual.connections.41 Scrap, for parts - $2,999.99 0 Dec/26/19 Jan/05/20
Description: Lot of 2 Applied Materials 3930-01083 STP 301 Seiko Seiki SCU-STC-L VQ-VOO Boc
auctionrus NEW - $150.00 1 Dec/31/19 Nov/20/20
Description: 2 AMAT 0020-35475 PAD, Kapton, Robot, Blade, Quartz, 452450
deals_and_more_41 Scrap, for parts - $1,799.99 1 Jan/05/20 Jan/24/20
Description: Lot of 2 Applied Materials 3930-01083 STP 301 Seiko Seiki SCU-STC-L VQ-VOO Boc
athomemarket Used - $43.99 0 Jan/06/20 Apr/04/21
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
capitolareatech NEW - $19.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials 1390-01156 CABLE SHLD 22AWG 2 COND 300V PICCHR VIN 100 FEET
capitolareatech NEW - $11.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials AMAT 3700-01560 ORING ID 3173 CSD 210 SILICONE 70DURO 2 PACK
capitolareatech NEW - $18.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials AMAT 3300-90595 FTG TUBE END FEMALE ADAPTOR 2 PACK
capitolareatech NEW - $49.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials AMAT 0020-10690 BRKT MTG VME INT 2 EXP GP PCB
auctionrus Used - $250.00 0 Jan/07/20 May/23/23
Description: 2 AMAT 0190-35862 Plate, Bracket Remote Plasma, 452496
capitolareatech NEW - $27.95 0 Jan/07/20 Aug/13/20
Description: APPLIED MATERIALS (AMAT) 0020-76096 SPACER SET 2 ROBOT DRIVE
maxisemi1349 NEW - $325.00 1 Jan/08/20 May/19/20
Description: 0040-20048 FEEDTHRU LAMP, LOT of 2
spsglobal Used - $360.00 0 Jan/10/20 Nov/18/21
Description: 147-0601// AMAT APPLIED 0150-20009 CABLE ASSY, CONVECTRON INTERCONNECT II 2 ASIS
capitolareatech NEW - $19.95 0 Jan/15/20 Aug/13/20
Description: Applied Materials (AMAT) 1300-01005 TIE BLOCK (PACK OF 2)
bestoneshop-korea Used - $119.99 1 Jan/19/20 Jan/20/22
Description: 1PC PHOTON FTC-DIN-SSMA-2512-EP2B LAM P/N: 666-045257-006 Rev:A #2
athomemarket NEW - $63.89 0 Jan/20/20 Mar/18/21
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
capitolareatech NEW - $79.95 0 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0020-24414 Upper Interlock Cover PC 2 Cath Intlk
athomemarket Used - $107.99 1 Jan/27/20 Dec/08/20
Description: (Lot Of 2) Applied Materials 0010-75091 Endura System Assembly VGA Monitor Base
capitolareatech NEW - $779.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0200-09278 RING, INNER 125 MM 115 MM 2 FLT 90 SHADOW
capitolareatech NEW - $12,996.00 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0290-09061 HOT BOX, VERSION 2 
capitolareatech NEW - $29.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0620-02350 CABLE PRINTER SERIAL DB 9 FEMALE TO DB 2
capitolareatech NEW - $29.95 0 Jan/29/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-01638 SWITCH CONTACTOR 280VAC 60Hz 0+ 2+ SGL-WIRE
usedeqsales Used - $3,501.20 1 Jan/30/20 Jul/30/21
Description: Novellus Systems 15-050938-00 200mm ESC Electrostatic Chuck Concept 2 Working
katiil3 Used - $119.00 1 Feb/02/20 Jun/02/21
Description: Applied Materials 0190-36511 DIP294 Device NET Digital I/O Block Lot of 2
spsglobal Used - $2,000.00 1 Feb/03/20 Apr/18/24
Description: 332-0401// AMAT APPLIED 0010-30528 (#2) ASSEMBLY, UPPER FACILITIES PANEL, NEW
storefarm Used - $68.00 0 Feb/03/20 Sep/03/20
Description: [Used] LAM AMP / 684-019113-032 / CABLE, 2 MHz GEN, 53A2P18, 53A2P19, Rev.C
katiil3 Used - $249.00 0 Feb/07/20 Oct/23/21
Description: Applied Materials AMAT 1x 0190-27072 1x 0190-42489 Board Lot of 2
katiil3 Used - $299.00 0 Feb/08/20 Oct/23/21
Description: Applied materials 0090-05594 PCB AC Filrwe 300mm E2 Lot of 2
auctionrus NEW - $175.00 0 Feb/10/20 May/23/23
Description: 2 Kaydon KA020XP4 Ball Bearing, AMAT 3060-10168, 452668
mettall2000 Used - $3,000.00 1 Feb/11/20 Feb/14/22
Description: NOVELLUS TRUST AUTOMATION C-2030-D01 2 AXIS SPINDLE controller 02-397379-00
capitolareatech NEW - $59.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 3870-01282 VALVE 2 POS DBL SOLENOID M3X.524VDC 14 T
capitolareatech NEW - $389.95 0 Feb/14/20 Aug/13/20
Description: Applied Mat 3870-01379 NORCAL 233-3884-83 VLV PNEUM BELLOWS 2 PORT 1-1/2"NW-40B
capitolareatech NEW - $399.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 3870-90248 VALVE, 2 STAGE VAC R/ANG (KF40)
capitolareatech NEW - $499.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0050-21116 GAS LINE ARGON SYSTEM MANIFOLD BOX 2 
visionsemi Used - $500.00 0 Feb/17/20 Sep/17/20
Description: NOVELLUS DIGITAL DYNAMICS INC HDSI0C 2 PDL OXIDE 02-290254-00
usedeqsales Used - $252.20 0 Feb/17/20 Aug/25/22
Description: AMAT Applied Materials FIC to OPTO PC Cable Set of 2 0150-03462 0150-03463 Spare
spsglobal Used - $2,800.00 0 Feb/18/20 Jan/26/21
Description: 105-0201// AMAT APPLIED 0010-00510 (#2) ASSEMBLY BEARING USED
visionsemi NEW - $600.00 0 Feb/18/20 Mar/18/21
Description: MKS NOVELLUS FLOW RATIO CONTROLLER DELTA 2 DLT2A2-28258 22-352113-00
spsglobal Used - $40.00 2 Feb/20/20 May/12/22
Description: 352-0401// AMAT APPLIED 0021-23214 LIFT PIN, ADJUST, FAST LIFT, 2 NEW
spsglobal Used - $100.00 0 Feb/20/20 Aug/25/22
Description: 352-0401// AMAT APPLIED 0021-00550 GASKET 2,CERAMIC ESC 2HE ZONE,200MM POLY NEW
pdcsystems NEW - $325.00 0 Feb/20/20 Jun/07/20
Description: APPLIED MATERIALS (AMAT) 3510-00266 414333R1-EY MAINFRAME RSTR FLOW 58LM (PKG 2)
capitolareatech NEW - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials AMAT 3700-90102 O RING VITON 2 PACK
capitolareatech NEW - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials AMAT 3460-01006 MKR WIRE 2 ONE CARD EQUALS 3
capitolareatech NEW - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials AMAT 1270-01852 SW PUSH BUTTON SPDT 5A 125250VAC 2 PACK
capitolareatech NEW - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials (AMAT) 0060-76133 LAB.WARNING (PACK OF 2)
capitolareatech NEW - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials (AMAT) 0060-76051  (PACK OF 2)
usedeqsales Used - $202.20 1 Feb/24/20 Apr/28/22
Description: AMAT Applied Materials PDO Tray Robot Cable Set of 2 0150-01910 0150-01911 Spare
usedeqsales Used - $2,003.19 2 Feb/25/20 Jan/05/21
Description: Trust C-2030-D01 2 Axis Indexer Spindle Controller Lam 02-397379-00 Copper Spare
todd1455 NEW - $3,699.00 0 Feb/25/20 Feb/17/22
Description: Deublin 971-625 Union 2 Passage Applied Material AMAT 0190-16739 no assembly New
dom0808 Used - $450.00 0 Feb/27/20 Apr/16/20
Description: Lam Research PCB ASST MB NODE 2 PM HE 810-802902-019
capitolareatech NEW - $7.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 0840-90030 DIODE 16-F-80 2 PACK
capitolareatech NEW - $8.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 3460-01008 MKR WIRE 4 TEN CARDS EQUAL 2 PACK
capitolareatech NEW - $8.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 3400-90046 HOSEPVC REINF 10ID 16OD 2 Feet 10 Inches Long
capitolareatech NEW - $7.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 3370-01029 HDL RND 4 LOG 2 HIGH SST 12THK 516-18THD
capitolareatech NEW - $7.95 0 Feb/29/20 Aug/13/20
Description: Lam Research LAM 739-060238-001 SEAL METAL VOSEAL 2 12
dom0808 Used - $1,452.00 0 Mar/01/20 Feb/11/22
Description: Lam Research 2 Stage Cylinder 715-038815-101
dom0808 Used - $3,850.00 1 Mar/02/20 Dec/07/20
Description: Lam Research ASSY,TCP COIL, TCCT CMPTBLE, 2 Lam P/N 853-031436-303
getspares.com_sparesllc09 Used - $875.68 0 Mar/02/20 Feb/17/22
Description: 0040-40111 / ASSLY, 2 SLOT TRAY, 20 / APPLIED MATERIALS AMAT
j316gallery NEW - $174.48 0 Mar/04/20 Feb/17/22
Description: 15658 APPLIED MATERIALS SWDATA 2 POS 5 PIN DIN KEYBOARD (NEW) 1270-01786
spsglobal Used - $20.00 0 Mar/05/20 Aug/25/22
Description: 324-0201// AMAT APPLIED 0021-06500 PLATE, MANIFOLD, LID, CHAMBER PRODUCER 2 NEW
getspares.com_sparesllc09 Used - $275.66 0 Mar/05/20 Aug/25/22
Description: 0040-90006 / CLAMP 2 FINAL LINE WITH FRC PURGE CH A, S, C, OR 0, 300MM / AMAT
getspares.com_sparesllc09 Used - $351.66 0 Mar/06/20 Aug/25/22
Description: 3870-04569 / VALVE PNEUMATIC DIAPHRAGM 2 WAY 125PSIG 1/4VCR-F/M NO VESP / AMAT
farmoninc Used - $1,500.00 0 Mar/09/20 Aug/25/22
Description: AMAT 0021-81247 Faceplate Sequoia APF 300MM Ai CIP 2, Reflectivity & Flow Tester
hc-emc Used - $3,000.00 0 Mar/09/20 Mar/30/21
Description: NOVELLUS VECTOR HDSIOC 2 02-252397-00
farmoninc NEW - $4,500.00 0 Mar/10/20 Aug/25/22
Description: AMAT 0021-81247 Faceplate Sequoia APF 300MM Ai CIP 2, Reflectivity & Flow Tester
j316gallery Used - $3,257.01 0 Mar/12/20 Feb/17/22
Description: 16352 NOVELLUS SIOC 2 MODULE 03-143089-00 02-252726-00
j316gallery Used - $2,931.60 1 Mar/12/20 Sep/06/21
Description: 16350 NOVELLUS SIOC 2 MODULE 03-143089-00 02-143079-00
j316gallery Used - $3,257.01 0 Mar/12/20 Feb/17/22
Description: 16355 NOVELLUS SIOC 2 MODULE 03-143089-00 02-143079-00
comwaysind Used - $595.00 0 Mar/16/20 Feb/17/22
Description: Applied Materials 0150-11059 C/A Internal #2 Pre Heat AMAT 1-11938000-511 003
comwaysind Used - $645.00 0 Mar/16/20 Feb/17/22
Description: Applied Materials 0150-11059 003 Rev 003 Cable Internal #2 Pre Heat Producer Se
bestoneshop-korea Used - $249.99 1 Mar/18/20 Jun/09/22
Description: AMAT 0190-02748 Flex Scanner Transition Module Board #2
spsglobal Used - $20.00 0 Mar/19/20 Aug/25/22
Description: 324-0202// AMAT APPLIED 0020-12324 BRACKET, NBLL N2 LINE 2 OF 2 [NEW]
roundtable1 NEW - $99.00 0 Mar/25/20 Sep/25/21
Description: Lot Of 2 APPLIED MATERIAL 0050-39277 WLDMNT, PROCESS TEE SPOOL,ASP, FUJIKIN
spsglobal Used - $4,000.00 0 Apr/01/20 Aug/02/21
Description: 318-0103// AMAT APPLIED 0010-21393 (#2) ASSY, SHUTTER ROTATION, LINKAGE [ASIS]
manufacturingequipment NEW - $5,467.50 0 Apr/06/20 Sep/21/21
Description: AMAT Applied Materials 0041-43374 CAP, INJECT, 2 PORT, ROW EPI, 300MM
esolutions1 NEW - $56.00 1 Apr/15/20 Jan/30/24
Description: NEW AMAT (Applied Materials) 0190-35602 BULB W/ 2 PINS, 750W (Lot Of 14)
svcstore Used - $549.99 0 Apr/16/20 Feb/17/22
Description: Lam Research 810-253279-003 Rev F Chamber Mux II Bank Pogo Pin Daughter Board #2
usedeqsales Used - $2,505.20 1 May/01/20 Jul/21/22
Description: AMAT Applied Materials 0220-06108 300mm Lid HPM Dual Gas Feed DPS 2 New Surplus
getspares.com_sparesllc09 Used - $750.00 1 May/02/20 Dec/06/20
Description: 0190-43230 / VAT ENDURA 2 L MOTION ATM DOOR CHAMBER ASSEMBLY / AMAT
powersell007 Used - $499.00 0 May/05/20 Dec/05/23
Description: APPLIED MATERIALS 0021-60961 2 ZONE UPPER PLATE 1Z2Z AMAT *UNUSED, SEALED*
ace_stellar_seller NEW - $400.00 1 May/06/20 Nov/23/21
Description: AMAT 0040-09217 PIPE, CLUSTER EXHAUST, THROTTLE VALVE QTY 2
alvin1462 Used - $8,000.00 1 May/13/20 Oct/19/23
Description: TRUST AUTOMATION C-2030-D01 2 AXIS SPINDLE Controller NOVELLUS 27-278697-00
j316gallery Scrap, for parts - $400.00 0 May/14/20 Sep/09/20
Description: 18580 APPLIED MATERIALS SPACER, CHAMBER 2, PRODUCER SE (PARTS) 0021-24182
bobsgoodies2 Used - $1,275.00 0 May/15/20 May/19/20
Description: 2 VEXTA UDK5128NW2-A4 5-Phase Stepping Motor Driver UDK Series AMAT 0870-01031
semilune NEW - $3,500.00 0 May/20/20 Sep/20/20
Description: Applied Materials 0010-75183 ASSY, 2 PHASE STEPPER MOTOR
spsglobal Used - $300.00 0 May/20/20 Aug/30/23
Description: 325-0203// AMAT APPLIED 3030-01654 (#2) wAFC MFC UNIT N2 1SLM PIN D-CON [ASIS]
powersell007 NEW - $1,599.00 0 May/20/20 Jul/01/20
Description: APPLIED MATERIALS 0200-03407 C-CHANNEL SIDE 2 PRODUCER SE APF AMAT *UNUSED*
semilune Used - $3,000.00 0 May/20/20 Sep/20/20
Description: Applied Materials 0010-75183 ASSY, 2 PHASE STEPPER MOTOR
semilune Used - $3,000.00 0 May/20/20 Sep/20/20
Description: Applied Materials 0010-75183 ASSY, 2 PHASE STEPPER MOTOR
starbit10 NEW - $5,500.00 1 Jun/09/20 Jan/13/21
Description: TRUST C-2030 2 AXIS INDEXER NOVELLUS 02-397379-00 REV B
allpart2021 Used - $5,500.00 0 Jun/09/20 Dec/07/22
Description: TRUST C-2030 2 AXIS INDEXER NOVELLUS 02-397379-00 REV A
j316gallery Used - $1,045.95 2 Jun/09/20 Sep/29/21
Description: 19196 NOVELLUS PCB SIOC 2 MOD BD 03-143089-00 02-122156-00 02-143079-00
j316gallery Used - $2,407.50 1 Jun/15/20 Jun/30/20
Description: 5255 APPLIED MATERIALS- CHAMBER SET INTERFACE BOARD 0015-01857 (UNIT #2 FOR GF)
gophersales Used - $2,200.00 1 Jun/15/20 Jun/14/22
Description: Lot of 2 AMAT Applied Materials 0200-20348 Cover Ring 6in B101 Processes 8.87 i
gophersales NEW - $325.00 1 Jun/15/20 Apr/07/21
Description: Lot of 2 AMAT Applied Materials 0021-20375 Gasket RF 5.84 in OD x .135in Thk cop
gophersales Used - $525.00 1 Jun/16/20 Aug/11/21
Description: AMAT Applied Materials 3870-01352 Valve 1 1/2" Conflat 2 3/4" Flange
novusferro Used - $399.00 1 Jun/17/20 Jan/23/22
Description: Lam Research 810-019566-705 PCB Assy CIOM, Motherboard with 2 Node Boards
j316gallery Used - $2,407.50 1 Jun/18/20 Jun/30/20
Description: [#2] APPLIED MATERIALS- CHAMBER SET INTERFACE BOARD 0015-01857 (UNIT 2 FOR GF)
expertsurplus NEW - $175.00 2 Jun/22/20 May/19/21
Description: AMAT, 0050-08618, Adapter, Baratron with Shutter @ 2 & 4 MI, New
bobsgoodies2 Used - $185.00 0 Jun/29/20 Oct/27/21
Description: (2) AMAT 0010-70162 Assy, Actuator Slit Valve, SMC NCDQ1B32-G0069-100
pdcsystems NEW - $325.00 0 Jun/30/20 Mar/18/21
Description: APPLIED MATERIALS (AMAT) 3510-00266 414333R1-EY MAINFRAME RSTR FLOW 58LM (PKG 2)
j316gallery Used - $522.45 0 Jun/30/20 Oct/03/21
Description: 11870 APPLIED MATERIALS WLDMT MNF 2 FINAL VLVS FUJIKIN 0050-18879
spsglobal Used - $1,500.00 0 Jul/06/20 Oct/30/20
Description: 333-0401// AMAT APPLIED 0020-20295 (#2) wFRAME SOURCE 11.30" [USED]
expertsurplus NEW - $300.00 1 Jul/06/20 Aug/07/20
Description: AMAT, 0190-21067, Filter/Diffuser Loadlock Assy, New, Lot of 2
expertsurplus NEW - $200.00 1 Jul/06/20 Apr/14/22
Description: AMAT, 3400-01431, Hose Assy Flex TFE/SST 3/16ID X 24L 1/4TB, New, Lot of 2
usedeqsales Used - $2,203.96 1 Jul/10/20 Jul/12/21
Description: AMAT Applied Materials 0010-35937 300mm VRB 2 MHz RF Match Assembly New Surplus
xsysengineering NEW - $1,200.00 0 Jul/11/20 Jun/12/21
Description: Applied Materials (AMAT) 0040-99957 ESC (2 of 2 available) Used. Electro-Static
xsysengineering NEW - $1,200.00 0 Jul/11/20 Jun/12/21
Description: Applied Materials (AMAT) 0040-99957 ESC (1 of 2 available) Used. Electro-Static
techequipsales Used - $2,000.00 1 Jul/14/20 Jan/18/21
Description: LAM Research 839-800327-385 ESC ASSY DZ CLG 2 FNSH 300MM *used working*
bobsgoodies2 Scrap, for parts - $75.00 1 Jul/24/20 Jul/27/20
Description: APPLIED MATERIALS 0010-00071 2.25 IN STEPPING MTR ASSY Atmosphere (Lot of 2)
bobsgoodies2 Scrap, for parts - $75.00 1 Jul/28/20 Oct/16/20
Description: APPLIED MATERIALS 0010-00071 2.25 IN STEPPING MTR ASSY Atmosphere (Lot of 2)
maxisemi1349 Used - $350.00 0 Jul/28/20 Aug/13/21
Description: 0020-03431 INSERT, PED, EXT, 5, lot of 2
powersell007 Used - $799.00 0 Aug/04/20 Dec/04/23
Description: APPLIED MATERIALS 1270-03142 SW FLOW .5GPM NO 3/8T FTG W/ AMP CONN AMAT *2 UNITS
liquiditech Used - $67.03 0 Aug/06/20 Mar/02/21
Description: Lot De 2 AMAT Appliqué Matériel 3320-01026 Cf 10'' G-1000-1 Joint, Cuivre No Log
capitolareatech NEW - $20.00 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1370-90068 TRANSISTOR IRF820P ***2 PACK***
capitolareatech NEW - $18.00 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1370-90067 TRANSISTOR ZVN4206A ***2 PACK***
capitolareatech NEW - $15.00 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-99006 SWITCH 12MM ILLUM ROUND SPST ***2 PACK***
capitolareatech NEW - $13.37 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-90105 SWITCH SELECTOR 2 POSITION
prism_electronics12 Used - $380.00 0 Aug/10/20 Jul/23/22
Description: APPLIED MATERIALS 0150-20158 AMAT CONTROL CRYO 2 50 FT
spsglobal Used - $500.00 2 Aug/12/20 Feb/16/23
Description: 123-0301// AMAT APPLIED 0010-70162 (#2) ASSY, ACTUATOR SLIT VALVE [ASIS]
spsglobal Used - $210.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-20459 GASLINE #0 LEFT 2 STAGE L/L VENT [2ND NEW]
spsglobal Used - $210.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-20199 2 STAGE VENT, RIGHT SIDE #1 [2ND NEW]
spsglobal Used - $300.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-20198 KIT LOADLOCK CHAMBR 2 STAGE VENT, [2ND NEW]
spsglobal Used - $130.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-20131 GASLINE COOLDOWN #2 [2ND NEW]
spsglobal Used - $100.00 0 Aug/13/20 Jun/18/23
Description: 177-0501// AMAT APPLIED 0050-70095 GAS LINE #2 CH C, PCII [2ND NEW]
capitolareatech NEW - $50.95 0 Aug/14/20 Mar/30/23
Description: AMAT 1410-01465 Heater Jacket, 30 Mil B Layer Upper Zone 2 Chamber
capitolareatech Used - $1,295.00 1 Aug/14/20 Jan/14/22
Description: Applied Materials (AMAT) 0040-42313 FINGER ASSY, PEEK, LONG, WALKING BEAM, 2
capitolareatech NEW - $150.95 0 Aug/14/20 Nov/14/22
Description: AMAT 0040-00309 Wiper Support Kit, Pack of 2
capitolareatech NEW - $25.00 1 Aug/14/20 Feb/14/22
Description: AMAT 0140-14426 HARNESS, ASSY SIDE 2 RF FILTER INITERFAC
capitolareatech NEW - $225.00 2 Aug/15/20 May/15/22
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
capitolareatech Used - $331.95 1 Aug/19/20 Aug/18/23
Description: LAM RESEARCH (LAM) 715-130092-008 End Effector 200mm Wafer Rev. 2
capitolareatech Used - $329.95 0 Aug/19/20 Dec/19/23
Description: Applied Materials (AMAT) 0050-43026 GAS LINE, 2 MALE FITTINGS
capitolareatech Used - $279.95 0 Aug/19/20 May/10/23
Description: Applied Materials (AMAT) 0050-00264 GAS LINE, 2 MALE FITTINGS
capitolareatech NEW - $34.95 0 Aug/19/20 Apr/19/21
Description: Applied Materials (AMAT) 3300-03987 FTG TBG 1/2 x 3/4T 2.12" (PACK OF 2)
capitolareatech NEW - $34.95 1 Aug/19/20 Aug/19/22
Description: Applied Materials (AMAT) 3300-04350 FITTING, 3/4T PUSHLOK 3.14L (PACK OF 2)
getspares.com_sparesllc09 Used - $450.03 0 Aug/21/20 Nov/12/21
Description: 0020-75465 / HOOP UNIVERSAL, 300MM ENDURA 2 P5 / APPLIED MATERIALS AMAT
spsglobal Used - $20.00 0 Aug/24/20 May/23/21
Description: 350-0201// AMAT APPLIED 3370-01036 HDL RND 3/8 DIA 2 HIGH 4L OFFSET 10- [USED]
storefarm Used - $998.00 0 Aug/24/20 Aug/31/23
Description: [Used] NOVELLUS / 02-387943-00 / IXT PVD SIOC 2, Rev.A, 1pcs
storefarm Used - $998.00 0 Aug/24/20 Aug/31/23
Description: [Used] NOVELLUS / 02-375806-00 / IXT PVD SIOC 2, Rev.1, 1pcs
capitolareatech Used - $539.95 0 Aug/24/20 Aug/31/23
Description: Applied Materials (AMAT) 0150-09603 CABLE,ANALOG #2 GAS PANEL INTERCONNECT
capitolareatech Used - $94.95 0 Aug/24/20 Nov/24/22
Description: Applied Materials (AMAT) 0150-09120 CABLE, ASSEMBLY HEAT EXCHANGER 2 NESLAB 
industry-outlet Used - $89.99 0 Aug/24/20 Aug/31/23
Description: 2 AMAT 3020-00119 (SMC NCDQ2WB63-75DZ) Pneumatic Cylinder - 80mm Stroke
capitolareatech NEW - $44.95 0 Aug/24/20 May/10/23
Description: Applied Materials (AMAT) 0140-21006 HARNESS,ASSY, 2 POS EXTENSION 4FT
earth_enterprises Used - $800.00 1 Aug/25/20 Aug/26/20
Description: LOT OF 2: Applied Materials RF Cable 0150-21692 Rev. A, Right Angle
dgold32 Scrap, for parts - $5,999.99 1 Aug/25/20 Sep/06/20
Description: Used MKS C5002-05 2 MHz 5 KW RF Generator, LAM 660-124460-635
spsglobal Used - $620.00 0 Aug/26/20 Jun/07/21
Description: 322-0402// AMAT APPLIED 0010-00685 (#2) ACTUATOR ASSY STD CATHODE [ASIS]
capitolareatech Used - $299.95 0 Aug/28/20 Mar/28/23
Description: Applied Materials (AMAT) 0050-27620 WELDMENT UPPER 5RA FL GEN NF3 GAS LINE 2
capitolareatech Used - $169.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-07420 WELDMENT, 2 FEMALE FITTINGS
grandbirdnet NEW - $60.00 0 Sep/03/20 Jul/11/22
Description: AMAT 0020-20673 PIN,PLATEN LOCK LOT OF 2, NEW
grandbirdnet NEW - $500.00 1 Sep/03/20 Jul/14/23
Description: AMAT 1120-01129 PEN LIGHT CRT 2 SIDE-BUTTON RJ11 MODUL, NEW
spsglobal Used - $3,500.00 0 Sep/03/20 Aug/16/23
Description: 017-0101// ADVANCED 0190-19022 (#2) 3156110-005 B APEX 1513 [Warranty 1 month]
capitolareatech NEW - $7.95 0 Sep/08/20 Mar/07/24
Description: Applied Materials (AMAT) 3300-01133 FTG TBG NUT 1/4T SST HEX (PACK OF 2)
capitolareatech Used - $607.95 0 Sep/09/20 Mar/07/24
Description: Applied Materials 0150-09723 CABLE, ASSEMBLY 25' DIGITAL #2 GAS PANEL INTER
spsglobal Used - $210.00 0 Sep/11/20 Feb/14/22
Description: 177-0301// AMAT APPLIED 3870-01245 VALVE PNEU BLWS 2 WAY MINI NC [ASIS]
louis19692009 Used - $2,250.00 0 Sep/19/20 Apr/27/23
Description: Applied Materials, C-Channel Side 2, PN 0200-03407
spsglobal Used - $500.00 0 Sep/22/20 Oct/06/20
Description: 323-0503// AMAT APPLIED 0190-21303 CABLE ASSEMBLY, RF MATCH, PRECLEAN 2 [USED]
spsglobal Used - $500.00 0 Sep/22/20 Oct/06/20
Description: 323-0503// AMAT APPLIED 0190-21303 (#2) CABLE ASSEMBLY, RF MATCH [USED]
maxisemi1349 Used - $60.00 1 Sep/22/20 Oct/12/21
Description: 3870-01269 VALVE LOCKOUT ASSY, lot of 2
dnd_surplus Used - $1,500.00 2 Sep/22/20 Jun/15/21
Description: AMAT Synergy V440 SBC SV21 SBC PCB 0190-20048 Fixes the exception 2 buss errors
farmoninc Used - $4,500.00 0 Sep/23/20 Nov/29/21
Description: AMAT VME Rack Slot Cart w/ 6 or 7 Slot, 2 DI/O 0100-76124 PCB, Ebrain 008131
talon664-6 NEW - $350.55 0 Sep/23/20 Dec/23/20
Description: Novellus 16-155675-00 Plate, MTG, Ped, Style-D, Sta 1 2
usedeqsales NEW - $1,009.20 0 Sep/24/20 Dec/15/20
Description: AMAT Applied Materials 0200-02408 Ceramic Pumping Ring C-Channel Side 2 New
j316gallery NEW - $126.56 0 Sep/24/20 Jan/30/23
Description: 20905 APPLIED MATERIALS CBL ASSY J25-XFR 2 PNEU BHD XFR1 PROD (NEW) 0150-32960
usedeqsales Used - $309.20 0 Sep/30/20 Apr/14/23
Description: AMAT Applied Materials 0041-27947 Flexure 300mm Loadcup Clamp Lot of 2 New Spare
industrialgaragesales NEW - $12.50 0 Oct/01/20 Oct/05/22
Description: AMAT 0150-00223 EMITTER CABLE ASSEMBLY 777 - LOT OF 2
bestoneshop-korea Used - $499.99 2 Oct/05/20 Dec/23/20
Description: 1PC AMAT 0100-76124 REV.B CVD DIGITAL I/O BOARD #2
svcheck NEW - $228.74 1 Oct/08/20 Sep/01/21
Description: AMAT APPLIED MATERIALS 3400-01069 Flex Helium 2FEM CPLF Pump Hose Lot of 2
talon664-6 NEW - $206.72 0 Oct/13/20 Dec/01/20
Description: Novellus 10-157191-00 Tube Assembly, Showerhead Connection, STA 2
expertsurplus Used - $250.00 1 Oct/15/20 Feb/02/22
Description: AMAT, ADAPTER, BARATRON W/B SHUTTER @ 2 & 4 MI, 0050-08618, New
maxisemi1349 NEW - $85.00 1 Oct/16/20 Dec/04/20
Description: 716-008608-001 WDO SAPPHIRE WDO SAPPHIRE 25MM X 087, lot of 2
nissiglobal NEW - $14.99 0 Oct/19/20 Oct/19/20
Description: LAM RESEARCH 720-007945-212 SCREW, PN HD, 32 X 1.50LG,SST QTY 2
giga-hertz NEW - $3,900.00 1 Oct/19/20 Sep/10/21
Description: NEW Applied Materials 0240-52896 Rev 1 Kit, L-Door DRPII, Endura 2 SWLL
mattron747 NEW - $750.00 0 Oct/29/20 Jul/21/22
Description: Novellus 15-028766-00 Guard Ring 200mm HDP Listing #2
getspares.com_sparesllc09 Used - $12,500.80 0 Oct/30/20 May/26/22
Description: 0040-76718 / 300MM ENDURA 2 ANNEAL PRECLEAN CHAMBER / APPLIED MATERIALS AMAT
spsglobal Used - $1,500.00 0 Nov/02/20 Nov/18/20
Description: 333-0401// AMAT APPLIED 0020-20295 (#2) wFRAME SOURCE 11.30" [ASIS]
spsglobal Used - $1,200.00 0 Nov/01/20 Nov/18/20
Description: 333-0401// AMAT APPLIED 0020-20164 (#2) COVER, INSULATOR SOURCE 11.30" [ASIS]
getspares.com_sparesllc09 Used - $8,256.75 0 Nov/03/20 May/26/22
Description: 0040-32944 / BOWL #2, 300MM IBC, ECP / APPLIED MATERIALS AMAT
bestoneshop-korea Used - $499.99 0 Nov/04/20 May/26/22
Description: 1PC SST SST-DNP-CPCI-3U-2-NC DeviceNet Scanner AMAT 0190-08680 REV 004 2 Channel
bestoneshop-korea Used - $299.99 1 Nov/05/20 Nov/17/20
Description: MESA POWER SYSTEMS 10616 AMAT 0190-07906 Power Supply #2
bestoneshop-korea Used - $249.99 0 Nov/05/20 May/26/22
Description: AMAT 0190-05647 REV 001 Serial Module Board FAB 0110-50647 #2
bestoneshop-korea Used - $119.99 0 Nov/05/20 May/26/22
Description: AMAT 0190-04769 Motion Signal Conditioner Board #2
spsglobal Used - $20.00 0 Nov/06/20 May/26/22
Description: 352-0502// AMAT APPLIED 3870-01283 VLVE 2 POSN SGL SOLENOID 5PORT [2ND SOURCE]
esprprts NEW - $19.00 0 Nov/06/20 Jan/27/21
Description: Lot of 2 (two), 0090-20044 AMAT ASSY, 4-WAY DOUBLE VALVES
auctionrus NEW - $50.00 1 Nov/06/20 May/03/21
Description: 2 AMAT 0020-21366 Screw Shoulder DC BIAS TIW, 453463
getspares.com_sparesllc09 Used - $3,309.24 1 Nov/06/20 Dec/18/23
Description: 0150-21116 / CABLE ASSY, REMOTE 2 INTCNT-100FT / APPLIED MATERIALS AMAT
auctionrus Used - $300.00 1 Nov/09/20 Oct/11/21
Description: 2 AMAT 0015-09092 RF Shield, 200mm, 453472
j316gallery Used - $600.00 0 Nov/09/20 Apr/22/21
Description: 2223 APPLIED MATERIALS ENDURA 2 ELECTRONICS TOWER CCT PSU (101714-01) 0190-34624
auctionrus NEW - $150.00 0 Nov/13/20 May/26/22
Description: 2 AMAT 3300-06502 FTG HOSE BARB 3/4H X 1-1/16012SAE-M SST, 453488
racertrek Used - $49.99 0 Nov/14/20 Nov/24/20
Description: Applied Materials VME Extender 0130-14004 Boards (2)
j316gallery Scrap, for parts - $300.00 0 Nov/16/20 Apr/22/21
Description: 22027 APPLIED MATERIALS ENDURA 2 ELECS TWR CCT PSU 101714-01 (PARTS) 0190-34624
j316gallery Used - $1,200.00 0 Nov/16/20 Nov/24/20
Description: 22028 APPLIED MATERIALS NDURA 2 ELECTRONICS TOWER CCT PSU, HF10-937 1140-00620
katiil3 Used - $249.00 1 Nov/18/20 Jun/18/21
Description: Applied Materials 0190-36511 DIP294 Device NET Digital I/O Block Lot of 2 New
lagpat NEW - $315.00 0 Nov/19/20 Apr/19/24
Description: 2 x AMAT 3870-05744 Diaphragm Valve
getspares.com_sparesllc09 Used - $820.77 1 Nov/24/20 Nov/22/21
Description: 0150-21351 / CONVECTRON 2, (EMC COMPLIANT) / APPLIED MATERIALS AMAT
instockindustrial NEW - $190.46 0 Nov/24/20 Dec/24/20
Description: Novellus 10-157191-00 Tube Assembly, Showerhead Connection, STA 2
instockindustrial NEW - $457.56 0 Nov/24/20 Dec/24/20
Description: Novellus 16-155675-00 Plate, MTG, Ped, Style-D, Sta 1 2
racertrek Used - $9.99 0 Nov/25/20 Dec/05/20
Description: Applied Materials VME Extender 0130-14004 Boards (2)
talon664-6 NEW - $243.20 0 Dec/01/20 Jan/01/21
Description: Novellus 10-157191-00 Tube Assembly, Showerhead Connection, STA 2
autoquip7 NEW - $740.00 0 Dec/03/20 Jul/25/22
Description: 0100-00181, ISOAMP 2 ASSY, NONLINEAR APPLICATION (AMAT)
racertrek Used - $4.99 0 Dec/06/20 Dec/16/20
Description: Applied Materials VME Extender 0130-14004 Boards (2)
getspares.com_sparesllc09 Used - $1,900.00 0 Dec/07/20 Dec/07/20
Description: 0190-43230 / VAT ENDURA 2 L MOTION ATM DOOR CHAMBER ASSEMBLY / AMAT
j316gallery Used - $300.00 0 Dec/10/20 Oct/12/21
Description: 5732 LAM RESEARCH PCB ADP/DFC MOTHERBOARD NODE 2 PM 810-802902-003
industrialgaragesales NEW - $2.50 0 Dec/14/20 Oct/05/22
Description: APPLIED MATERIALS AMAT 3060-01128 BEARING SPACER 3/8IN 777 - QTY 2
lagpat NEW - $133.00 0 Dec/16/20 Apr/16/24
Description: AMAT 0140-17160 HARNESS ASSY PRESS XDCRS NO 2 GAS PANE
getspares.com_sparesllc09 Used - $10,500.00 0 Dec/16/20 Dec/18/20
Description: 0041-02500 / PVD IMPLANT ENDURA 2 BLLK BATCH LOAD LOCK CHAMBER A ASSY / AMAT
j316gallery Used - $650.00 0 Dec/16/20 Oct/06/21
Description: 22401 APPLIED MATERIALS PUMPING PLATE CONFORMAL SILANE NITRIDE 2 0020-30059
racertrek Used - $1.99 0 Dec/17/20 Dec/27/20
Description: Applied Materials VME Extender 0130-14004 Boards (2)
getspares.com_sparesllc09 Used - $778.05 1 Dec/21/20 Dec/24/21
Description: 0021-24184 / LINER, BOTTOM SIDE 2, 300MM SE / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $291.00 1 Dec/22/20 Oct/30/21
Description: 3870-01245 / VALVE PNEU BLWS 2 WAY MINI NC-11 / APPLIED MATERIALS AMAT
instockindustrial NEW - $102.38 1 Dec/26/20 Aug/01/21
Description: Novellus 10-157191-00 Tube Assembly, Showerhead Connection, STA 2
instockindustrial NEW - $245.97 1 Dec/26/20 Nov/12/21
Description: Novellus 16-155675-00 Plate, MTG, Ped, Style-D, Sta 1 2
visionsemi Used - $1,500.00 1 Dec/28/20 Jul/28/21
Description: AMAT APPLIED MATERIALS ENDURA 2 MAINFRAME DISTRIBUTION BOARD 0100-02193 REV. 002
racertrek Used - $9.99 1 Jan/01/21 Feb/28/21
Description: Applied Materials VME Extender 0130-14004 Boards (2)
banyanteam NEW - $12.95 9 Jan/04/21 May/11/21
Description: Torrington B-67 Needle Bearing B67 Novellus 21-128808-00 .564" OD (Pack Of 2)
grandbirdnet NEW - $2,000.00 0 Jan/12/21 Mar/18/21
Description: LAM RESEARCH 853-810609-025 ASSY, CA, EMO, RPDB, PUMP, 2 , NEW
banyanteam NEW - $125.00 1 Jan/13/21 Feb/01/21
Description: Applied Materials 0020-32141 Feedtru Helium 2 Pass 002032141
dgold32 Used - $1,799.99 0 Jan/13/21 Feb/18/22
Description: Advanced Energy Navigator 2 3155320-001 Lam 660-261353-002 Rf Generator RF Match
spsglobal Used - $10.00 0 Jan/14/21 Oct/19/23
Description: 350-0401// AMAT APPLIED 0020-24232 COLLAR PEDESTAL LIFT PRECLEAN 2 [NEW]
auctionrus NEW - $60.00 1 Jan/21/21 Mar/22/21
Description: 2 AMAT 3870-00899 Pneumatic N.C. Diaphragm Valve, SUS316L, 3PORT-I, 453678
maxisemi1349 Used - $875.00 1 Jan/21/21 Apr/24/22
Description: 0020-33786 GDP, MXP 200MM HEWEB, .156 THK, LOT OF 2
grandbirdnet NEW - $200.00 4 Jan/22/21 Dec/22/21
Description: LAM RESEARCH 853-802259-401 ASSY, WINDOW, LENS, OES, FSI, 2, NEW
j316gallery Used - $425.00 0 Jan/24/21 Jan/28/21
Description: 22667 LAM RESEARCH PCB, MOTHERBOARD, NODE 2, PM, ADP/DFC 810-802902-005
getspares.com_sparesllc09 Used - $1,900.03 1 Feb/03/21 May/04/21
Description: 0190-43230 / VAT ENDURA 2 L MOTION ATM DOOR CHAMBER ASSEMBLY / AMAT
dom0808 Used - $2,999.00 0 Feb/05/21 Feb/11/22
Description: Lam Research 713-026330-004 CLP,BOTTOM,WDO MTG,TOP CHMBR,2 713-026330-004
getspares.com_sparesllc09 Used - $320.56 1 Feb/10/21 Oct/21/21
Description: 0090-00547 / ASSY, ELEC WB CH ENDURA 1, 2, 4 D / APPLIED MATERIALS AMAT
farmoninc NEW - $30.00 0 Feb/16/21 Apr/20/23
Description: 2 AMAT 3700-01712 Oring, ID: .101 CSD: .070 CZ SC513 80 Duro White, 100146
grandbirdnet NEW - $25,000.00 0 Feb/15/21 Mar/18/21
Description: AMAT 0242-42922 300mm RADIANCEPLUS RETROFIT KIT, Bag 2 of 2
farmoninc NEW - $250.00 1 Feb/19/21 Mar/24/22
Description: 2 AMAT 0270-20053 T-Handles Chuck, Target Removal tool (Adapter - Target) 100209
myriadindustrial NEW - $22.00 1 Feb/21/21 Nov/03/21
Description: 2 Each McGill CF 5/8 SB / CF5/8SB Cam Follower AMAT Applied Materials 3060-01201
banyanteam NEW - $13.50 20 Feb/23/21 Jul/02/21
Description: Novellus 15-024587-00 Wafer Retaining Pin (Pack Of 2)
atxdeals4u Used - $1,000.00 1 Feb/23/21 Jun/08/21
Description: Digital Dynamics Novellus 02-321158-00 Mach IV EIOC 0 E-HD 0 MACH HNDLR Rev 2
farmoninc NEW - $45.00 0 Feb/25/21 Apr/20/23
Description: 2 AMAT 0020-09972 Bracket Connector Gas Panel, 100311
banyanteam NEW - $450.00 1 Mar/03/21 Apr/08/21
Description: Applied Materials 0010-09847 SMG MFC Heater Block Assembly H2O VDSII Rev 2
j316gallery Used - $2,000.00 0 Mar/04/21 Dec/14/21
Description: 23600 LAM RESEARCH VERITY .2 METER MONOCHROMATOR/DECTR, EP200MMD 685-008965-002
kkdoota NEW - $999.00 1 Mar/06/21 Apr/07/21
Description: Lam Research 810-019566-705 PCB Asy CIOM, Motherboard w/ 2 Node Boards(15628/42)
kkdoota Used - $549.00 1 Mar/06/21 Apr/07/21
Description: Lam Research 810-019566-705 PCB Asy CIOM, Motherboard W/ 2 Node Boards(15627/42)
farmoninc NEW - $60.00 0 Mar/08/21 Mar/15/23
Description: 2 AMAT 3300-02937 FTG TBG CONN 3.8T OD SST VCR 9500 PSIG, 100426
hev847 Used - $250.00 1 Mar/14/21 Jun/07/21
Description: HORIBASTEC IV-2410AV INJECTION VALVE IV-2410AV-02H AMAT 0190-36237 (LOT OF 2
j316gallery Used - $601.50 3 Mar/17/21 Aug/16/23
Description: 24913 APPLIED MATERIALS PCB, AKT PC VME INTLK #2 V2 0100-71450
banyanteam Used - $27.00 1 Mar/17/21 May/20/22
Description: Applied Materials 0020-32365 Viewport UV Filter Rev B (Pack Of 2)
athomemarket Used - $63.89 0 Mar/18/21 May/16/22
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
lagpat Used - $5,950.00 0 Mar/19/21 Apr/19/24
Description: 2 X AMAT 0190-34538 TOKYO KEISO AFC-8000-T2104-052-P-002 FLOW CONTROLLER
banyanteam NEW - $15.00 3 Mar/22/21 May/06/21
Description: Applied Materials 3700-01534 AMAT 80 Duro White O-ring (Pack Of 2)
sgcequipment Used - $850.00 1 Mar/22/21 Nov/13/23
Description: Applied Materials (AMAT) 0090-04947 RF Filter Box Producer SE Assembly REV 2
gosemicat NEW - $15.00 0 Mar/26/21 Jan/16/24
Description: AMAT (Applied Materials) - 0190-35602 BULB W/ 2 PINS, 750W - OEM NEW
visionsemi NEW - $150.00 0 Mar/29/21 Sep/12/22
Description: APPLIED MATERIALS AMAT CLAMP END AR FLEX 8" GATE VALVE 0020-20700 NEW LOT OF 2
xl-t_com Used - $100.00 0 Mar/29/21 Oct/19/21
Description: APPLIED MATERIALS - 0020-24411 - CATHODE INTLK COVER PRECLEAN 2
athomemarket Used - $23.99 0 Apr/04/21 Jun/02/22
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
xl-t_com Used - $100.00 0 Apr/06/21 Oct/19/21
Description: APPLIED MATERIALS - 0020-36233 - BRACKET 2 PHASE DRIVER MOUNTING bracket
xl-t_com Used - $150.00 0 Apr/07/21 Oct/19/21
Description: APPLIED MATERIALS - 0140-35134 - HARNESS ASSY 2 PHASE DRIVER INTFC.
athomemarket NEW - $41.29 0 Apr/12/21 Jun/10/22
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
katiil3 Used - $199.00 0 Apr/14/21 Oct/23/21
Description: Applied Materials AMAT 3480-00317 Mount LVL FOOT SWIVEL 1/2-13X5-1/8L NYL Qty 2
palmindustrial Used - $499.99 1 Apr/18/21 Dec/18/21
Description: LAM RESEARCH MOTHERBOARD NODE 2 PM ADP/DFC 810-802902-005 REV. B
sgcequipment Used - $35.00 0 Apr/22/21 May/18/23
Description: Applied Materials (AMAT) 0050-62006 REV 001 300837 2108 G94753 KF 40 HPS (PKG 2)
sgcequipment NEW - $85.00 1 Apr/27/21 Apr/27/21
Description: Applied Materials (AMAT) 0021-30906 CLAMP BLADE 300MM DBR REV 2
bobsgoodies2 NEW - $80.00 0 Apr/27/21 May/10/21
Description: 2 AMAT 3300-03754 FTG TBG RDCR UNION 1"TOD X 3/4" -16 TO -12 TUBE REDUCER UNION
atxdeals4u Scrap, for parts - $6,000.00 0 Apr/29/21 Jun/03/21
Description: Lot of 2 AMAT Endura II 300mm 0010-19854 0020-29057 SHUTTER FEEDTHRU ASSY
usedeqsales Used - $355.21 0 May/03/21 May/18/23
Description: AMAT Applied Materials 0050-75274 300mm Water Manifold Adapter Lot of 2 New
usedeqsales Scrap, for parts - $405.21 1 May/07/21 May/09/21
Description: AMAT Applied Materials 0190-09487 24-Port Pneumatic Manifold Lot of 2 As-Is
usedeqsales Used - $2,505.21 0 May/07/21 May/18/23
Description: AMAT Applied Materials 0200-35917 NIT 2 Zone Quartz Insert New Surplus
j316gallery Used - $20,048.10 0 May/09/21 May/18/23
Description: 16225 NOVELLUS TRUST AUTOMATION C-2030-D01 2 AXIS SPINDLE CTLR 02-276537-00
banyanteam NEW - $22.00 0 May/10/21 May/18/23
Description: Novellus 04-710583-01 Spring, Wafer Clamp Rev B (Pack Of 2)
banyanteam Used - $16.50 0 May/11/21 Apr/20/23
Description: Novellus Type 27-459908-00 Brass Gasket Conflat 2.420" OD 2.020" ID (Pack Of 2)
banyanteam NEW - $49.95 1 May/13/21 Jun/08/21
Description: Applied Materials 3700-01114 Furon Flange Seal 1-7/32 ID x 3/16 (Pack Of 2)
psi_repair_services Used - $1.00 0 May/14/21 May/04/23
Description: APPLIED MATERIALS ENDURA 2 CVD TOP ASSY MODEL: 0190-37083 REPAIR EVALUATION
spsglobal Used - $200.00 1 May/18/21 Sep/24/22
Description: 346-0403// AMAT APPLIED 0020-24291 MOUNTING PLATE PC 2 RF MATCH [ASIS]
usedeqsales Used - $405.21 0 Jun/01/21 Mar/25/23
Description: Novellus Systems 03-106719-01 Wafer Lift Sensor Assembly Lot of 2 New Surplus
powersell007 Used - $3,999.00 0 Jun/02/21 Jun/01/23
Description: APPLIED MATERIALS 0041-91579 ADAPTER 2, TURBO LINE, ICP-PROD AMAT *UNUSED*
pcexchange_sales Scrap, for parts - $369.99 1 Jun/04/21 Jun/08/21
Description: APPLIED MATERIALS NDURA 2 ELECTRONICS TOWER CCT PSU, HF10-937 1140-00620
gesemiconductor Used - $200.00 0 Jun/07/21 Feb/02/24
Description: Novellus 15-120698-00 (1) \ 15-117633-00 (2) Chamber Clamp
anacapaequipment Used - $175.00 1 Jun/16/21 Oct/28/21
Description: CTI Cryogenics 8043074G120,024-01L Supply & Return Line, PSI 260 Lot of 2 (8249)
anacapaequipment Used - $142.50 1 Jun/16/21 Dec/29/22
Description: CTI Cryogenics 8081612, 040/94 L G017, 5', Supply & Return Line Lot of 2 (2764T)
anacapaequipment Used - $175.00 1 Jun/16/21 May/31/22
Description: CTI Cryogenics 8043074G120, 071-04 Supply & Return Line, PSI 260 Lot of 2 (2770)
anacapaequipment Used - $175.00 1 Jun/16/21 Jul/16/21
Description: CTI Cryogenics 8043074G120 183-04 NTB Supply & Return Line 10' Lot of 2 (2762T)
industrialpartsrusinc NEW - $17.00 0 Jun/16/21 Jan/24/23
Description: Advance Transformer Co. V-2S40-1-TP Mark III Energy Saver Rapid Start Ballast, 2
linndsaymia NEW - $60.20 0 Jun/16/21 Jun/22/21
Description: GBC 3000004 NAP-LAM 1.5 MIL - 25" X 500' CLEAR 2 ROLLS PER BOX THERMAL LAMINATIO
prism_electronics12 Used - $81.99 0 Jun/17/21 Jul/29/22
Description: LAM RESEARCH 853-073557-024 CABLE ASEMBLY CA, SIG, NODE 2, UPC 2
novusferro Used - $499.00 1 Jun/18/21 Oct/12/21
Description: Lam Research 810-017074-003 Rev 2 Orbital Gas Box PCB Board
8ten1944 Used - $581.95 0 Jun/29/21 Jul/27/21
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
farmoninc NEW - $295.00 0 Jun/29/21 Aug/01/22
Description: 2 AMAT 0020-04477 Stop, Front Panel Upper Retainer PIK, 101437
farmoninc NEW - $450.00 0 Jun/29/21 Jun/30/22
Description: 2 AMAT 0020-32291 Bellow-Seal, Sleeve, WSIX-DCS PEEK, 101480
farmoninc NEW - $100.00 0 Jun/29/21 Jun/30/22
Description: 2 AMAT 0020-12781 Sleeve, Cable (NOVA), 101475
farmoninc NEW - $250.00 0 Jul/03/21 Mar/16/23
Description: AMAT 0150-00356 Assembly Dual Photoelement 2, 101505
farmoninc NEW - $75.00 1 Jul/03/21 Aug/01/22
Description: 2 AMAT 3550-00028 Pin, T-Handle, 1/2DIA 2.CLG, Jergens 135-801086, 101550
farmoninc NEW - $150.00 0 Jul/03/21 Dec/22/22
Description: 2 AMAT 0720-91181 Conn SKT Way, 101560
farmoninc NEW - $100.00 0 Jul/03/21 Dec/22/22
Description: 2 AMAT 0150-20038 Cablr Assy Remote AI/O, Controller Interface, 101563
farmoninc NEW - $125.00 0 Jul/03/21 Mar/16/23
Description: 2 AMAT 0020-81408 Mounting Pillar, 101564
capitolareatech NEW - $4,295.95 0 Jul/03/21 Mar/16/23
Description: Applied Materials (AMAT) 0020-24231 HOOP BELLOWS ADAPTER PRECLEAN 2
spsglobal Used - $1,500.00 0 Jul/03/21 Oct/27/22
Description: 321-0303// AMAT APPLIED 0090-35731 (#2) STPR MTR DRIVER, ASP+ ENHANCED LIF ASIS
farmoninc NEW - $300.00 0 Jul/06/21 Jun/15/23
Description: 2 AMAT 0201-00408 Assembly, Krypton Lamp, 101629
farmoninc NEW - $95.00 0 Jul/06/21 Jun/30/22
Description: 2 Varian 04-714483-01 Orings, Novellus 27-402322-00, 101630
techshop7777 NEW - $690.00 1 Jul/06/21 Mar/29/22
Description: New AMAT 0190-24476 Rev 2 Power Supply
eisale1535 Used - $12,690.00 0 Jul/10/21 Sep/10/21
Description: NOVELLUS TRUST AUTOMATION C-2030 2 AXIS Indexer controller 02-276537-00 Rev D
farmoninc NEW - $25.00 0 Jul/10/21 May/04/23
Description: 2 AMAT 3360-01117 GROM RND 1/2IDX3/4GRV-0DX3/32GRV-WDX9/3, 101725
farmoninc NEW - $50.00 0 Jul/10/21 May/04/23
Description: 2 AMAT 0720-90274 Conn Plug 25 Way Ribbon, 101734
farmoninc NEW - $50.00 0 Jul/10/21 May/04/23
Description: 2 AMAT 3300-05057 FTG TBG Branch-Y 4MMT X 4 MMT X 4MMT, 101732
eisale1535 Used - $3,999.00 0 Jul/10/21 Sep/15/21
Description: AMAT 0010-29882 Endura 2 CBM SST, BOSCH LIFT Rev 001 VAT 10846-UE24-ALK1/1140
farmoninc NEW - $250.00 0 Jul/10/21 May/04/23
Description: 2 AMAT 0010-00651 Assy, Mount, Fiber Optics, REV D, 101763
farmoninc NEW - $30.00 0 Jul/13/21 Jun/15/23
Description: 2 AMAT 3300-01146 FTG TBG 1/4T SST, 101840
farmoninc Used - $2,750.00 0 Jul/14/21 Aug/02/21
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 0065-BLF, 395377
farmoninc Used - $2,750.00 0 Jul/14/21 Aug/02/21
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 0020-20125, 395380
farmoninc Used - $3,100.00 0 Jul/14/21 Aug/02/21
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 0020-20125, 395379
farmoninc Used - $3,100.00 0 Jul/14/21 Aug/02/21
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 0020-20125, 395375
farmoninc Used - $3,100.00 0 Jul/14/21 Aug/02/21
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 0020-20125, 0596-B, 395378
farmoninc Used - $2,750.00 0 Jul/14/21 Aug/02/21
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 0020-20125, 395381
anacapaequipment Used - $175.00 1 Jul/19/21 Sep/15/22
Description: CTI-Cryogenics 10' Helium Supply and Return Line, SS Lot of 2 (8014)W
ongbahan Used - $199.99 0 Jul/19/21 Dec/22/21
Description: Lot of 2 APPLIED MATERIALS DIP294 Device Net I/O Block 0190-36511 AS IS
farmoninc NEW - $300.00 0 Jul/19/21 Mar/07/24
Description: 2 AMAT 0230-09243 Teflon T, 101973
midrosemicro Used - $150.00 1 Jul/28/21 Sep/01/21
Description: Lot of 2 CTI-CRYOGENICS 8044051 Cryo-Pump Purge Gas Heater
8ten1944 Used - $480.95 0 Jul/28/21 Aug/25/21
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
farmoninc Used - $150.00 0 Jul/28/21 Apr/04/23
Description: 2 AMAT 3780-02334 SPR Gas 139MMSTRK 175N Ball SKT End, Suspa C1617784, 102098
nevadasurplus-com NEW - $199.99 1 Jul/29/21 Aug/12/21
Description: 2 x CTI Cryogenics 8043074 Helium Supply & Return Line 40' 260 PSIG Cryopump
nevadasurplus-com NEW - $149.99 1 Jul/29/21 Aug/02/21
Description: 2 x CTI Cryogenics 8043074G120 Helium Supply & Return Line 10' 260 PSIG Cryopump
usedeqsales Used - $358.21 1 Aug/04/21 Jan/10/22
Description: Wasco SV128-31W2A-X/6518 Vacuum Switch Lam Research 768-006970-001 Lot of 2 New
maxisemi1349 Used - $6,000.00 1 Aug/08/21 Aug/10/21
Description: 02-371966-00 ASSY,MANIF,COOLED,RMT CLN,C3VCTR, lot of 2
katiil3 Used - $149.00 0 Aug/22/21 Oct/23/21
Description: Applied Materials AMAT 0040-01752 CLAMP, FORELINE, BOTTOM, NON-DPA,200MM Qty 2
katiil3 Used - $2,449.00 0 Aug/23/21 Oct/23/21
Description: AE ADVANCED ENERGY NAVIGATOR 2 RF MATCH 3155301-014, 3Z80-000653-V3
katiil3 Used - $1,499.00 0 Aug/23/21 Oct/23/21
Description: Digital Dynamics Novellus 02-293447-00 HDSIOC UV-CURE 2 Firmware Ver. 4.72 Rev A
usedeqsales Used - $3,208.21 1 Aug/23/21 Mar/07/22
Description: Digital Dynamics 02-109139-00 sioc ALTUS 2 Control Novellus 02-109699-00 Working
dnd_surplus Used - $1,500.00 2 Aug/23/21 Nov/22/21
Description: AMAT Synergy V440 SBC SV21 SBC PCB 0190-20048 Fixes the exception 2 buss errors
visionsemi Used - $4,350.00 0 Aug/26/21 Jan/27/22
Description: APPLIED MATERIALS AMAT WAFER LIFT 300mm CVD ENDURA 2 0010-82620
8ten1944 Used - $404.95 0 Aug/26/21 Sep/24/21
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
prism_electronics5 Used - $255.00 0 Aug/27/21 Aug/30/21
Description: APPLIED MATERIALS AMAT 0040-05347 RF COVER HEATER BOX AC 2
myriadindustrial NEW - $31.79 2 Sep/07/21 Apr/14/23
Description: 2 ea AMAT Applied Materials 3300-02367 Tube Fitting Adaptor 3/8T 1/4MNPT x 9/16
myriadindustrial NEW - $44.00 1 Sep/07/21 Mar/28/22
Description: AMAT Lot of 2 Swagelok 37 Degree Union Fitting 3/8 AN Flare 3/8 3300-03023
usedeqsales Used - $358.21 0 Sep/07/21 Jun/15/23
Description: Novellus Systems 38-029570-00 Bifurcated Fiber Optic Cable Reseller Lot of 2 New
usedeqsales Used - $359.21 2 Sep/07/21 Mar/22/22
Description: Novellus Systems 10-042678-00 TEOS Injector Reseller Lot of 2 New Surplus
usedeqsales Used - $409.21 2 Sep/07/21 Feb/17/23
Description: Banner BT215S High Temperature Fiber Optic Novellus 40-053152-02 Lot of 2 New
myriadindustrial NEW - $26.99 1 Sep/07/21 Apr/14/23
Description: Lot of 2 SMG / AMAT Tubing Adaptor Fitting 3/8T 1/4MNPT 3300-02367
usedeqsales Used - $409.21 0 Sep/07/21 Sep/17/21
Description: Novellus Systems 04-350411-00 Heater Strap PM Kit Concept 2 Sequel Lot of 9 New
myriadindustrial NEW - $39.99 2 Sep/07/21 Aug/04/22
Description: Lot of 2 Applied Materials Swivel Fitting Hose Barb 5/8H x 7/8 3300-02699 SS
myriadindustrial NEW - $29.75 0 Sep/07/21 Feb/17/22
Description: Lot of 2 Applied Materials QDisc 1/4 Body x 18FP Nip Fittings AMAT 3300-02682
katiil3 Used - $99.00 0 Sep/09/21 Oct/23/21
Description: Applied Materials AMAT EPI 300mm INTERLOCK MODULE 2 0190-14286
powersell007 Used - $3,599.00 1 Sep/10/21 Oct/09/22
Description: AMAT 0010-42058 ENDURA 2 CBM HYBRID, BOSCH LIFT VAT 10846-UE28-AVN2 GATE VALVE
xl-t_com Used - $100.00 0 Sep/10/21 Oct/19/21
Description: APPLIED MATERIALS - 0050-20781 - GAS LINE WIDEBODY CHAMBER 2 OF 2
getspares.com_sparesllc09 Used - $37,000.00 0 Sep/10/21 Oct/07/22
Description: 02-053993-01 / 02-256142-01, ROBOT ASSY, INDEXER TYPE 2, RIGHT / NOVELLUS
katiil3 Used - $599.00 0 Sep/11/21 Oct/23/21
Description: Applied Materials AMAT 0150-01412 Cable Assembly, 2 MHZ Coaxial, 75 FT, Reacti,
myriadindustrial NEW - $34.00 1 Sep/12/21 Sep/12/21
Description: Lot of 2 Swagelok 1/4 x 1/8 MNPT CDO Connectors AMAT 0190-05287
j316gallery Used - $1,200.00 0 Sep/13/21 Oct/03/22
Description: 26180 APPLIED MATERIALS NDURA 2 ELECTRONICS TOWER CCT PSU, 101714-02 0190-61144
j316gallery Used - $600.00 0 Sep/13/21 Sep/15/21
Description: 26161 APPLIED MATERIALS NDURA 2 ELECS TOWER CCT PSU, HF10-937 (PARTS) 1140-00620
j316gallery Used - $1,200.00 0 Sep/13/21 Sep/15/21
Description: 22028 APPLIED MATERIALS NDURA 2 ELECTRONICS TOWER CCT PSU, HF10-937 1140-00620
autoquip7 NEW - $440.00 0 Sep/17/21 Jul/25/22
Description: AMAT Applied Materials 0020-04306 200mm EXT Cathode Insert Lot of 2 New Surplus
8ten1944 Used - $378.95 0 Sep/27/21 Oct/23/21
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
bobsgoodies2 NEW - $20.00 0 Sep/28/21 Mar/31/22
Description: 500 MCM X 3/8'' Narrow One-Hole Tinned Copper Crimp Lug AMAT 1290-01799 (2)
bobsgoodies2 Used - $125.00 0 Sep/29/21 Mar/31/22
Description: 2 AMAT 3060-01186 Linear Ball Bushing Bearing for 1" Shaft, 1.562 OD, 2.250 L
usedeqsales Used - $409.21 0 Sep/29/21 May/14/23
Description: AMAT Applied Materials 3400-01112 Stainless Steel Vacuum Flex Hose Lot of 2 New
j316gallery Used - $3,500.00 0 Sep/30/21 Oct/06/21
Description: 26298 APPLIED MATERIALS PCB, MAINFRAME I/O DISTRIBUTION, ENDURA 2 0100-02193
cubit001 NEW - $2.00 0 Oct/03/21 Nov/12/21
Description: 3880-01041 WSHR FLAT #2 .187OD X .089ID X .031 S (NEW)
katiil3 Used - $299.00 1 Oct/12/21 Oct/23/21
Description: Applied Materials, AMAT 0010-57159 CLAMP ASSY POLISHING HEAD UNIVERSAL Lot of 2
katiil3 Used - $199.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0140-08688 CABLE SLURRY ARM INTERLOCK, PLATEN 2,3
40-30sourcing NEW - $300.00 0 Oct/13/21 Feb/17/22
Description: SET OF 2 Cryo Helium Cross fitting
40-30sourcing Used - $250.00 0 Oct/13/21 Jun/07/22
Description: SET of 2 CRYO LINE PRESSURIZED Stainless Steel Braid Hose 2FT
katiil3 NEW - $199.00 0 Oct/17/21 Oct/23/21
Description: Applied Materials AMAT 3800-00828 RGLTR PRESS AIR 1.0MPA 1/4NPT 1/8BODY W/ Qty 2
capitolareatech Used - $7,999.95 0 Oct/20/21 Jun/30/22
Description: Applied Materials (AMAT) 0010-26029 POWER SUPPLY, MATCH ASSEMBLY, 2 MHZ ICE
capitolareatech NEW - $699.95 0 Oct/20/21 Jan/20/23
Description: Applied Materials (AMAT) 3870-01245 VALVE PNEU BLWS 2 WAY MINI NC-11
capitolareatech NEW - $299.95 0 Oct/20/21 May/20/22
Description: Applied Materials AMAT 0090-20036 VEXTA PX245M-02AA 2 PHASE STEPPING MOTOR
usedeqsales Used - $410.21 1 Oct/21/21 May/17/22
Description: AMAT Applied Materials 0015-35078 Modified Motor Gear Reseller Lot of 2 New
getspares.com_sparesllc09 NEW - $320.56 1 Oct/22/21 Mar/31/22
Description: 0090-00547 / ASSY, ELEC WB CH ENDURA 1, 2, 4 D / APPLIED MATERIALS AMAT
techshop7777 NEW - $75.00 0 Oct/22/21 Jun/01/23
Description: NEW LOT 2 LAM RESEARCH CB THRM RKR 2 POLE UL1077 PART NO 670-052271-060
8ten1944 Used - $378.95 0 Oct/24/21 Nov/22/21
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
zuse81 Used - $10,000.00 2 Oct/27/21 Oct/02/22
Description: AMAT PRAXAIR SOURCE 2 414122-P4-ECH2 CPI-VMO 0010-22568 300MM MRCFTI0022259
bobsgoodies2 Used - $185.00 0 Oct/28/21 Mar/31/22
Description: (2) AMAT 0010-70162 Assy, Actuator Slit Valve, SMC NCDQ1B32-G0069-100
spsglobal Used - $190.00 10 Nov/08/21 Nov/08/21
Description: 343-0303// AMAT APPLIED 0190-13175 LIGHT PEN SST 5V 20MA W/PHONE 2ND SOURCE 2
katiil3 Used - $599.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0150-01412 Cable Assembly, 2 MHZ Coaxial, 75 FT, Reacti,
katiil3 Used - $1,499.00 0 Nov/09/21 Mar/09/22
Description: Digital Dynamics Novellus 02-293447-00 HDSIOC UV-CURE 2 Firmware Ver. 4.72 Rev A
katiil3 Used - $2,449.00 0 Nov/09/21 Mar/09/22
Description: AE ADVANCED ENERGY NAVIGATOR 2 RF MATCH 3155301-014, 3Z80-000653-V3
katiil3 Used - $349.00 0 Nov/09/21 Mar/09/22
Description: AMAT, 0050-18879, WELDMENT MNF 2 FINAL VALVES FUJIKIN ULTIMA
katiil3 Used - $399.00 0 Nov/09/21 Mar/09/22
Description: Applied materials / AMAT 0020-18370 Insulator, Feeder, Bias, HDP-CVD Lot of 2
katiil3 Used - $199.00 0 Nov/10/21 Feb/14/24
Description: Applied materials 0190-02506 Rev 003 DIP-240-020 CDN491 lot of 2
katiil3 Used - $159.00 0 Nov/10/21 Dec/25/23
Description: UNIT UFC-8565C Mass Flow Controller * AMAT 3030-12248 / 3030-14388 ( LOT OF 2 )
katiil3 Used - $199.00 0 Nov/10/21 Dec/10/23
Description: AMAT 0020-31082 PRODUCER CH SHIPPING CLAMP LOT OF (2)
katiil3 Used - $99.00 0 Nov/10/21 Jun/10/23
Description: Applied Materials AMAT EPI 300mm INTERLOCK MODULE 2 0190-14286
allforsale555 Used - $399.00 1 Nov/13/21 Apr/13/22
Description: Applied Materials AMAT 0020-49399 Rev. 001 Lot of 2
usedeqsales Used - $1,211.21 0 Nov/15/21 Mar/03/22
Description: Novellus Systems 15-047021-00 Clamp Plate Assembly Rev. 2 New Surplus
spsglobal Used - $600.00 0 Nov/16/21 Jan/18/22
Description: 323-0403// AMAT APPLIED 0010-40155 (#2) ASSY, WAFER SENSOR LOW [2ND NEW]
getspares.com_sparesllc09 Used - $6,500.03 0 Nov/16/21 Nov/16/21
Description: 3152603-018 / E-WAVE 2 CHANNEL POWER SUPPLY 40A 48V / ADVANCED ENERGY
alvin1462 Used - $43,888.00 1 Nov/17/21 Nov/23/21
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bestoneshop-korea Used - $599.99 0 Nov/18/21 Mar/03/22
Description: NIKON 4S026-357 X10LC-CLK2 NSR BOARD #2
8ten1944 Used - $277.95 0 Nov/22/21 Dec/21/21
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
visionsemi Used - $3,200.00 0 Nov/22/21 Jan/14/22
Description: APPLIED MATERIALS AMAT ENDURA 2 CBM MC CHAMBER W/GV 0010-75214
zindchau15 Used - $15,000.00 1 Dec/01/21 Jan/11/23
Description: TRUST C-2030 2 AXIS INDEXER NOVELLUS 02-397379-00, SPINDLE ASSY SERVO 303
zindchau15 Used - $2,500.00 0 Dec/01/21 Jan/31/22
Description: AE ADVANCED ENERGY NAVIGATOR 2 RF MATCH 3155301-014, 3Z80-000653-V3
zindchau15 Used - $3,900.00 0 Dec/01/21 Jan/31/22
Description: AE Advanced Energy E'Wave 3152603-118, 27-390880-00 2 Channel Power Supply
spsglobal Used - $4,800.00 0 Dec/03/21 Mar/16/23
Description: 330-0201// AMAT APPLIED 0010-08217 (#2) APPLIED MATRIALS COMPONENTS [ASIS]
bntyhunter07 Used - $468.21 0 Dec/06/21 Mar/03/22
Description: FUJIKIN WITH WELDMENT MNF 2 VALVE MANIFOLD 0050-44709
spsglobal Used - $350.00 0 Dec/09/21 Jun/22/23
Description: 178-0201// AMAT APPLIED 0680-01375 CB EARTHLEAKAGE 2 POLE 30A TRI [NEW]
bntyhunter07 Used - $1,787.21 0 Dec/12/21 Jan/16/22
Description: Veriflow diaphragm 2 + 2 + 1 valve manifold w/weldment AMAT 0050-08535 Rev 002
bntyhunter07 Used - $1,330.21 1 Dec/12/21 Dec/27/22
Description: Veriflow diaphragm 2 valve opposing manifold w/weldment AMAT 0050-06878 Rev E3
bntyhunter07 Used - $630.21 0 Dec/12/21 Jun/01/23
Description: AP TECH diaphragm 2 valve manifold w/weldment AMAT 4060-01075
bntyhunter07 Used - $530.21 0 Dec/12/21 Jun/01/23
Description: Veriflow diaphragm 2 valve manifold weldment AMAT 0050-27732
bntyhunter07 Used - $530.21 0 Dec/12/21 Jun/01/23
Description: Veriflow diaphragm 2 valve manifold w/weldment AMAT 0050-75624 Rev A
spsglobal Used - $50.00 0 Dec/13/21 Sep/08/22
Description: 178-0303// AMAT APPLIED 0680-01231 CB THERM SGL POLE 3A PB50VDC 2 [NEW]
spsglobal Used - $300.00 0 Dec/14/21 Oct/27/22
Description: 323-0401// AMAT APPLIED 0090-76035 (#2) ASSY, SENSOR, WAFER [2ND SOURCE NEW]
upaid Used - $89.95 0 Dec/14/21 Feb/23/23
Description: Lot Of 2 AMAT Applied Materials Universal Gauge 0190-26769 - No Sensors
llumag Used - $179.95 0 Dec/15/21 Mar/07/23
Description: Lot Of 2 AMAT Applied Materials 0190-26328 Hot Ion Pirani Gauge w/ Sensors
llumag Used - $179.95 0 Dec/15/21 Mar/08/23
Description: Lot Of 2 AMAT Applied Materials 0190-22145 Hot Ion Pirani Gauge w/ Sensors
lamont_sanford_surplus Used - $117.85 1 Dec/15/21 Dec/17/21
Description: 2) CTI-Cryogenics 8043074 -- Cryopump Gas Supply Hose - 10' Stainless , 260 PSI
j316gallery Used - $150.00 0 Dec/16/21 Jan/05/22
Description: 26851 APPLIED MATERIALS CABLE ASSY, RF MATCH, PRECLEAN 2, 16" (PARTS) 0190-21303
testeqe Used - $8,749.99 0 Dec/18/21 Dec/21/21
Description: Comdel CDX-2000 13.56MHz/2MHz 2 kW RF Generator AMAT PN: 0190-23308 CDX2000 480V
usedeqsales Used - $812.21 2 Dec/20/21 Dec/21/21
Description: Lam Research 810-017003-004 High Frequency DIP PCB Rev. 2 Working Surplus
farmoninc Used - $950.00 0 Dec/21/21 May/26/22
Description: ADVANCED ENERGY Mass Flow Controller Aera 10Ra FC-D980C, MFC, 2 SLM, NF3, 102850
8ten1944 Used - $252.95 0 Dec/21/21 Jan/20/22
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
dnd_surplus Used - $1,500.00 2 Dec/28/21 Feb/24/22
Description: AMAT Synergy V440 SBC SV21 SBC PCB 0190-20048 Fixes the exception 2 buss errors
patkor1 Used - $629.43 0 Dec/29/21 Jul/12/22
Description: ADVANCED ENERGY FC-7700CD H2 2 SLM / # 7 L7B 9232
fa-parts Used - $1,600.00 1 Jan/01/22 Jan/03/22
Description: ADVANCED ENERGY PE-1000 AC PLASMA POWER SOURCE 3157501-000D WORKING #2
nevraca Used - $1,499.95 0 Jan/08/22 Jun/30/22
Description: LOT of 2 Advanced Energy MDX 1K Magnetron Drive 1000W Power Supply 3152105-000 J
roundtable1 NEW - $129.99 0 Jan/08/22 Jun/30/22
Description: Applied Materials 0140-13018 Harness Assy 2 Phase Driver
nevraca Used - $1,499.95 0 Jan/08/22 Jun/30/22
Description: LOT of 2 Advanced Energy MDX-1K Magnetron 1000W DC Power Supply 3152105-009 G
j316gallery Used - $450.00 0 Jan/12/22 Sep/27/22
Description: 27036 APPLIED MATERIALS VLV ASSY, CLUSTER DOPANT 2, CHMBR A 0050-09277
farmoninc Used - $750.00 0 Jan/12/22 Jul/19/23
Description: 2 AMAT 0010-76019 Assy 8" Cassette Handler, 5000 CLEANROOM TOP 8 INCH, 103132
8ten1944 Used - $177.95 0 Jan/20/22 Feb/18/22
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
folkestonestars Used - $1.00 0 Jan/22/22 Jan/22/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
folkestonestars Used - $1.00 0 Jan/22/22 Jan/22/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
vizvik16 Used - $2,750.00 0 Jan/24/22 Mar/08/22
Description: ADVANCED ENERGY RF NAVIGATOR 2 3155301-024 C, LAM RESEARCH 832-129731-015
dgold32 Used - $499.99 1 Jan/25/22 Jan/26/22
Description: AMAT APPLIED MATERIALS ISAC CP I/O BLOCK 2 TOP Box 0190-27562 Enclosure/Case
merakii-uk Used - $1.00 0 Jan/29/22 Jan/29/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
eabani1111 Used - $1.00 0 Jan/29/22 Jan/29/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
eabani1111 Used - $1.00 0 Jan/29/22 Jan/29/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
vintageandstyle Used - $3,900.00 0 Jan/31/22 May/08/24
Description: AE Advanced Energy E'Wave 3152603-118, 27-390880-00 2 Channel Power Supply
vintageandstyle Used - $2,500.00 0 Jan/31/22 May/08/24
Description: AE ADVANCED ENERGY NAVIGATOR 2 RF MATCH 3155301-014, 3Z80-000653-V3
getspares.com_sparesllc09 Used - $778.05 0 Feb/04/22 Jun/04/23
Description: 0021-24184 / LINER, BOTTOM SIDE 2, 300MM SE / APPLIED MATERIALS AMAT
katiil3 Used - $2,499.00 1 Feb/05/22 Sep/05/22
Description: Trust C-2027-D01 2 Axis Vector Controller TA-2027 Novellus 63-370393-00
tonys-tamiya-ltd Used - $1.00 0 Feb/05/22 Feb/05/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
jappartsgalore Used - $1.00 0 Feb/06/22 Feb/06/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
jappartsgalore Used - $1.00 0 Feb/06/22 Feb/06/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
bertrum4572 Used - $1.00 0 Feb/06/22 Feb/06/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
eddiesrecords Used - $1.00 0 Feb/06/22 Feb/06/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
eddiesrecords Used - $1.00 0 Feb/06/22 Feb/06/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
bakclk1 Used - $1.00 0 Feb/07/22 Feb/07/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bakclk1 Used - $1.00 0 Feb/07/22 Feb/07/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
surplustechmart Used - $67.50 0 Feb/08/22 Jun/30/22
Description: Lot of 2 AMAT Applied Materials 3320-01026 CF 10'' G-1000-1 Gasket,Copper No Log
guitaranatomy Used - $1.00 0 Feb/08/22 Feb/08/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
spsglobal Used - $3,500.00 0 Feb/09/22 Jun/30/22
Description: 000-0000// AMAT APPLIED 0010-70066 (#2) 0010-02633 AMAT-0 HEAT EXCHANGER [ASIS]
surplustechmart Used - $44.10 0 Feb/09/22 Feb/10/22
Description: AMAT CABLE ASSEMBLY, ER INTLK PCB TO PSYNC CONTROLLER, ENDURA 2 0150-28294
spsglobal Used - $3,500.00 0 Feb/09/22 Jul/17/23
Description: 000-0000// AMAT APPLIED 0290-09018 (#2) 0010-70073 AMAT-0 [ASIS]
katiil3 Used - $1,499.00 0 Feb/11/22 Mar/14/24
Description: Applied Materials 0660-00091, 0090-05655 Interlock Expansion Module Lot of 2
agri-supplyukltd Used - $1.00 0 Feb/11/22 Feb/11/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
agri-supplyukltd Used - $1.00 0 Feb/11/22 Feb/11/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
openanduseb4-labels Used - $1.00 0 Feb/12/22 Feb/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
openanduseb4-labels Used - $1.00 0 Feb/12/22 Feb/12/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
stewart8768 Used - $1.00 0 Feb/12/22 Feb/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
stewart8768 Used - $1.00 0 Feb/12/22 Feb/12/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
sootysmtg Used - $1.00 0 Feb/12/22 Feb/13/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
sootysmtg Used - $1.00 0 Feb/13/22 Feb/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
maxisemi1349 Used - $1,200.00 0 Feb/15/22 Jul/03/22
Description: 0200-09789 COVER,QUARTZ,6" STEPPED,LIFT 2, FLAT
jskautomotivenew Used - $1.00 0 Feb/17/22 Feb/17/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
jskautomotivenew Used - $1.00 0 Feb/17/22 Feb/17/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
alltest_instruments Used - $972.00 0 Feb/18/22 Nov/09/23
Description: Advanced Energy 3150128 175113 Network SPR 907-995, 2,000 Watts
8ten1944 Used - $152.95 0 Feb/18/22 Mar/20/22
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
ledfirstchoiceltd Used - $1.00 0 Feb/19/22 Feb/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
classiccover Used - $1.00 0 Feb/19/22 Feb/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
ledfirstchoiceltd Used - $1.00 0 Feb/19/22 Feb/20/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
classiccover Used - $1.00 0 Feb/19/22 Feb/20/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
getspares.com_sparesllc09 Used - $1,410.00 0 Feb/23/22 Jan/27/23
Description: 02-257924-00 (LOT OF 2) / FEEDTHRU ASSY RF POWER SEQUEL FEEDTHROUGH / NOVELLUS
love_my_cottage Used - $1.00 0 Feb/26/22 Feb/26/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
fogmodels Used - $1.00 0 Feb/26/22 Feb/26/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
love_my_cottage Used - $1.00 0 Feb/26/22 Feb/26/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
fogmodels Used - $1.00 0 Feb/26/22 Feb/26/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
bmc.london Used - $1.00 0 Feb/26/22 Feb/26/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bmc.london Used - $1.00 0 Feb/26/22 Feb/26/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
jennyb7362 Used - $1.00 0 Feb/28/22 Feb/28/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
jennyb7362 Used - $1.00 0 Feb/28/22 Feb/28/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
powersell007 Used - $999.00 0 Mar/01/22 Oct/12/23
Description: APPLIED MATERIALS 0040-82888 MANIFOLD RPS II SIDE 2 PRODUCER SE AMAT *UNUSED*
avonvalleymusic Used - $1.00 0 Mar/01/22 Mar/01/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
avonvalleymusic Used - $1.00 0 Mar/01/22 Mar/01/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
bobsgoodies2 Used - $425.00 0 Mar/03/22 Mar/31/22
Description: AMAT 0020-18332 MOTOR MOUNT UPPER ROBOT EXTENSION (Lot of 2)
pccentre-528 Used - $1.00 0 Mar/04/22 Mar/05/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
pccentre-528 Used - $1.00 0 Mar/04/22 Mar/05/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
bobsgoodies2 Used - $975.00 0 Mar/09/22 Mar/31/22
Description: AMAT Applied Materials 0190-35198 OPT SNSR/CABLE W/ 2 sapphire probes
katiil3 Used - $349.00 1 Mar/10/22 Apr/23/23
Description: AMAT, 0050-18879, WELDMENT MNF 2 FINAL VALVES FUJIKIN ULTIMA
katiil3 Used - $299.00 1 Mar/10/22 Jan/10/23
Description: AMAT 0190-07532 Battery Backup Board 3U TeNTA BCKP-0078 ( LOT OF 2 )
emarts-uk Used - $1.00 0 Mar/12/22 Mar/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
emarts-uk Used - $1.00 0 Mar/12/22 Mar/12/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
mydepoz Used - $1.00 0 Mar/12/22 Mar/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
mydepoz Used - $1.00 0 Mar/12/22 Mar/12/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
bmc.london Used - $1.00 0 Mar/13/22 Mar/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bmc.london Used - $1.00 0 Mar/13/22 Mar/13/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
giftwearonline Used - $1.00 0 Mar/13/22 Mar/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
giftwearonline Used - $1.00 0 Mar/13/22 Mar/13/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
thecollectorinlondon Used - $1.00 0 Mar/13/22 Mar/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
thecollectorinlondon Used - $1.00 0 Mar/13/22 Mar/13/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
automotiveapple Used - $4,761.90 0 Mar/17/22 Mar/02/23
Description: As-Is NOVELLUS SIOC 2 MODULE 03-143089-00
8ten1944 Used - $126.95 0 Mar/20/22 Apr/18/22
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
signedsport Used - $1.00 0 Mar/20/22 Mar/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
signedsport Used - $1.00 0 Mar/20/22 Mar/20/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
washablefabrics Used - $1.00 0 Mar/20/22 Mar/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
washablefabrics Used - $1.00 0 Mar/20/22 Mar/20/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
retrotex Used - $1.00 0 Mar/20/22 Mar/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
spsglobal Used - $800.00 0 Mar/21/22 Aug/16/22
Description: 322-0103// AMAT APPLIED 0190-35463 (#2) MOTOR, 5 PHASE STEPPER W/ CABLE [USED]
j316gallery Used - $3,500.00 1 Mar/23/22 Jul/27/22
Description: 8099 APPLIED MATERIALS PCB STEPPER CONTROLLER 2 0100-00975
special_part NEW - $35.00 1 Mar/28/22 Jun/19/23
Description: NEW LOT 2 AMAT 3700-01087 SEAL CENTER RING ASSY NW40 W/VITON ORING SST
surplustechmart Used - $175.50 0 Mar/28/22 Jun/29/23
Description: Lot of 2 Applied Materials Amat Handel 0040-24280 Rev 003
surplustechmart Used - $299.00 0 Mar/28/22 Jun/29/23
Description: Lot of 2 AMAT Applied Materials 0040-96311 36cm Long Lower
surplustechmart Used - $299.00 0 Mar/28/22 Jun/29/23
Description: Lot of 2 AMAT Applied Materials 0040-96312 36cm Long Upper
surplustechmart Used - $35.10 0 Mar/28/22 Jun/29/23
Description: LOT of 2 AMAT 0190-22130 ASSY CONN IS NETWORK,TERMINATOR DB9P
surplustechmart Used - $179.10 0 Mar/29/22 Jan/25/24
Description: AMAT 0190-11817 REV002 SBS Technologies, CP3-SER16-TTL #2
theswerve0 Used - $1.00 0 Apr/02/22 Apr/02/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
theswerve0 Used - $1.00 0 Apr/02/22 Apr/02/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
dom0808 Used - $2,999.00 0 Apr/04/22 Apr/10/22
Description: Lam Research 713-026330-004 CLP,BOTTOM,WDO MTG,TOP CHMBR,2 713-026330-004
sj_tech Used - $348.90 0 Apr/04/22 Nov/28/22
Description: Applied Materials 1310-00075 THERMOCOUPLE, TYPE K, #10 RING LUGXMINI 2 PRONG PL
dom0808 Used - $1,494.90 0 Apr/04/22 Apr/10/22
Description: Lam Research NODE BOARD CONTROL TYPE 3 810-800256-106 PCB ASSY MB NODE 2 PM HE
dom0808 Used - $2,088.90 0 Apr/04/22 Apr/10/22
Description: Lam Research NODE BOARD CONTROL TYPE 3 810-800256-207 NODE 2 MB PM HE
dom0808 NEW - $374.00 0 Apr/04/22 Apr/10/22
Description: Lam Research ASSY,HOSE,COOL RING TO PUMP 2 853-222376-004
dom0808 Used - $291.50 0 Apr/04/22 Apr/10/22
Description: Lam Research Motherboard Node 2 PM 810-802902-006
dom0808 Used - $361.90 0 Apr/04/22 Apr/10/22
Description: Lam Research PCB ASSY NODE 2 PM HELIUM 810-802902-022
sj_tech Used - $523.60 0 Apr/04/22 Oct/20/22
Description: Novellus Digital Dynamics INC Altus Sioc 2 Interlock 02-109141-00 REV
sj_tech Used - $2,530.00 0 Apr/04/22 Dec/04/22
Description: Novellus SIOC 2 Module 03-143089-00
usedeqsales Used - $2,004.22 0 Apr/05/22 Apr/02/23
Description: AMAT Applied Materials 0040-09002 Etch Chamber Body and Base Set of 2 Working
oneclickwarehouse NEW - $6,999.99 0 Apr/14/22 Feb/14/24
Description: Novellus 02-106507-00 Ferrofluidic Seal Upper w/shaft for Novellus 8" Concept 2
8ten1944 Used - $76.95 0 Apr/19/22 May/18/22
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
expertsurplus NEW - $175.00 0 Apr/22/22 Oct/19/23
Description: AMAT, 3400-01462, Hose Assy Flex Braid, New, Lot of 2
intek22 Used - $500.00 1 Apr/25/22 Sep/29/22
Description: LOT OF 2 ~~ADVANCED ENERGY GENCAL MODEL 3152288-000 POWER METER
bjnaf4 Used - $387.00 0 May/02/22 May/09/23
Description: (2) Applied Materials Check Valve AMAT 0190-51310 Pc 21KPa (3psi) Pmax0.86Pa (12
j316gallery Used - $950.00 0 May/04/22 Jan/04/23
Description: 27867 NOVELLUS HDSIOC EM COIL, IXT PVD SIOC 2, FIRMWARE V.5 02-310728-00
vizvik16 NEW - $60.00 1 May/15/22 Aug/09/23
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
alvin1462 Used - $43,888.00 1 May/16/22 Jul/21/22
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
athomemarket Used - $63.89 0 May/16/22 Jul/14/23
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
8ten1944 Used - $67.95 0 May/18/22 Jun/16/22
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
cosplity Used - $1,800.00 0 May/22/22 Aug/22/22
Description: AMAT 4060-00012 MANF ASSY PNEU 16STA 1/8″ & 1/4″PORTS 2
surplustechmart Used - $6,500.00 1 May/24/22 May/25/22
Description: CTI-Cryogenics 0190-19389 On-Board IS-8F Cryopump AMAT #2
surplustechmart Used - $6,500.00 0 May/26/22 May/31/22
Description: CTI-Cryogenics 0190-19389 On-Board IS-8F Cryopump AMAT #2
katiil3 Used - $999.00 1 May/31/22 Apr/23/23
Description: AMAT 0090-01270 / 0090-01271 Linear sensor sealable load cup Lot of 2
atxdeals4u Used - $2,000.00 0 Jun/02/22 Aug/16/22
Description: Applied Materials ISAC CP I/O BLOCK 2 TOP AMAT 0190-28485
athomemarket Used - $23.99 0 Jun/02/22 Jul/31/23
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
maxisemi1349 Used - $1,400.00 1 Jun/06/22 Apr/09/23
Description: 0020-30314 PEDESTAL ALUM/POLY/WSI 150MM FLAT, lot of 2
athomemarket NEW - $41.29 0 Jun/10/22 Aug/08/23
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
sherwoodforestfinearts Used - $31.24 0 Jun/12/22 Jun/12/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
ladydidog Used - $43.52 0 Jun/13/22 Jun/14/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
ladydidog Used - $31.24 0 Jun/13/22 Jun/14/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
x-pressmicro NEW - $399.95 1 Jun/15/22 Sep/15/23
Description: *LOT OF 2* New Applied Materials 0010-17402 Rev 002 NON-PLT 300MM ROBOT ASSEMBLY
8ten1944 Used - $67.95 0 Jun/17/22 Jul/16/22
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
michelleshellmark Used - $43.52 0 Jun/21/22 Jun/21/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
michelleshellmark Used - $31.24 0 Jun/21/22 Jun/21/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
trendysavers-uk Used - $43.52 0 Jun/24/22 Jun/25/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
trendysavers-uk Used - $31.24 0 Jun/24/22 Jun/25/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
visionsemi Used - $1,100.00 0 Jun/28/22 Jun/30/22
Description: AMAT APPLIED MATERIALS 0190-61144 ENDURA 2 TOWER CCT PSU 101714-02
surplustechmart Used - $76.50 7 Jun/30/22 Jan/04/23
Description: applied materials 0050-86772 gasline flexline pedistal cvd endura 2
waste-not-recycling Used - $850.00 0 Jul/01/22 Aug/08/22
Description: Lot of 2 AMAT Applied Materials Digital I/O Card 0100-11002 Rev F
waste-not-recycling Used - $1,100.00 0 Jul/01/22 Aug/08/22
Description: Lot of 2 AMAT Applied Materials Stepper Drive PCB CME Cards 0100-00003 Rev C
excessdealer Used - $100.00 1 Jul/07/22 Nov/30/22
Description: ( LOT OF 2 ) Lam Research 810-800031-345 PCB ASSY SYSTEM INTERLOCK VIOP
usedeqsales Used - $1,007.22 0 Jul/08/22 Oct/12/23
Description: AMAT Applied Materials 0021-20718 8" Lower Pedestal Cover Lot of 2 Refurbished
visionsemi Used - $1,800.00 0 Jul/11/22 Sep/11/23
Description: AMAT APPLIED MATERIALS ANALOG I/O PCB BOARD 0100-03497 REV 2 0100-03920
visionsemi Used - $1,849.23 0 Jul/11/22 May/29/23
Description: Amat Applied Materials Analogique I/O Carte PCB 0100-03497 Rev 2 0100-03920
visionsemi Used - $1,858.34 0 Jul/11/22 May/29/23
Description: AMAT APPLIED MATERIALS ANALOG I/O PCB BOARD 0100-03497 REV 2 0100-03920
visionsemi Used - $700.00 1 Jul/13/22 Mar/13/23
Description: AMAT 0190-32917 YASKAWA SERVOPAK SGDS-01A31AY566 106643-0518 REV 2
visionsemi Used - $715.63 0 Jul/13/22 Mar/13/23
Description: AMAT 0190-32917 YASKAWA SERVOPAK SGDS-01A31AY566 106643-0518 REV 2
visionsemi Used - $712.12 0 Jul/14/22 Mar/13/23
Description: Amat 0190-32917 Yaskawa Servopak SGDS-01A31AY566 106643-0518 Rev 2
8ten1944 Used - $67.95 0 Jul/16/22 Aug/14/22
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
mmcgolfer NEW - $7.49 0 Jul/17/22 Oct/15/22
Description: Applied Materials AMAT 0840-90030 Diode 2 Pack
mmcgolfer NEW - $24.99 0 Jul/19/22 Oct/15/22
Description: 2 AMAT 3700-01287, O-Ring ID 987 ID. 417191
sgcequipment NEW - $50.00 0 Jul/19/22 Mar/11/24
Description: Applied Materials/AMAT 3860-01050 1/8 .032 WALL POLYURETHANE 2' PLASTIC TUBING
sgcequipment Used - $700.00 1 Jul/19/22 Nov/13/23
Description: Swagelok SS-BNV51-C AMAT 3870-01245 VALVE PNEU BLWS 2 WAY MINI NC-11
mmcgolfer NEW - $19.99 1 Jul/22/22 Jul/26/22
Description: 2 Applied Materials AMAT Centering Seal Ring Assembly NW25 3700-01089
all-of-cpu NEW - $32.40 0 Jul/25/22 Jun/10/23
Description: lot of 2 amat 853-102440-003 usb type a.m-m,2300e cable
mmcgolfer NEW - $12.50 0 Jul/25/22 Oct/15/22
Description: 2 APPLIED MATERIALS AMAT 3700-01302 ORING ID 1.987 CSD .103 VITON 75DURO BLK
mmcgolfer NEW - $29.99 0 Jul/25/22 Oct/15/22
Description: 2 NEW AMAT 3700-01234 Orings, 2.859CSD x .139, 75, Duro, black
mmcgolfer Used - $49.99 0 Jul/25/22 Oct/15/22
Description: 2 AMAT 3700-01423 ORING ID 3.109 CSD .139 V884-75 BR
anacapaequipment Used - $500.00 1 Jul/27/22 Jul/27/22
Description: CTI-Cryogenics 8200 Compressor 8032550G002 + 8032550G001 LOT OF 2 FOR PARTS 9635
mmcgolfer NEW - $15.00 0 Jul/27/22 Oct/15/22
Description: 2 Applied Materials (AMAT) 3630-01092 RTNR Ring INT 5/8
j316gallery Used - $3,500.00 1 Jul/27/22 Dec/13/22
Description: 8099 APPLIED MATERIALS PCB STEPPER CONTROLLER 2 0100-00975
anacapaequipment Used - $900.00 1 Aug/02/22 Nov/07/22
Description: Lam Research 810-017003-004 REV. 2 Dip High Frequency TCP USED (9651)R
getspares.com_sparesllc09 Used - $3,000.68 0 Aug/02/22 Sep/22/22
Description: 0190-36787 / ISAC CP IO BLOCK 2 TOP, 11941200 / APPLIED MATERIALS AMAT
time-4-dreamz Used - $43.52 0 Aug/02/22 Aug/03/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
time-4-dreamz Used - $31.24 0 Aug/02/22 Aug/03/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
ace_stellar_seller Used - $1,999.00 0 Aug/02/22 Feb/02/23
Description: APPLIED MATERIALS 0240-23703 BASIC DURA TTN SHUT C (LOT OF 2)
ace_stellar_seller NEW - $1,999.00 0 Aug/02/22 Feb/02/23
Description: AMAT 0020-28907 (2 SETS)
mmcgolfer NEW - $12.50 0 Aug/03/22 Oct/15/22
Description: 2 Applied Materials AMAT 3630-01091 BERG MFG Q7-75 RTNRRING EXT 34 SFT 062THKSST
liquiditech Used - $999.00 1 Aug/08/22 Oct/11/22
Description: Applied Materials ULP10075-01 RF Power Supply 0090-08822 #2
southweststeam1 Used - $43.52 0 Aug/08/22 Aug/08/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
southweststeam1 Used - $31.24 0 Aug/08/22 Aug/08/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
waste-not-recycling Used - $850.00 0 Aug/08/22 Mar/02/23
Description: Lot of 2 AMAT Applied Materials Digital I/O Card 0100-11002 Rev F
waste-not-recycling Used - $1,100.00 0 Aug/08/22 Mar/02/23
Description: Lot of 2 AMAT Applied Materials Stepper Drive PCB CME Cards 0100-00003 Rev C
bestoneshop-korea Used - $1,499.99 1 Aug/09/22 Jul/23/23
Description: AMAT 0190-43730 3.3um Pyrometer AE SEKIDENKO OR400M #2
railhome Used - $43.52 0 Aug/12/22 Aug/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
railhome Used - $31.24 0 Aug/12/22 Aug/12/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
railhome Used - $34.23 0 Aug/12/22 Aug/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
railhome Used - $24.59 0 Aug/12/22 Aug/12/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
top_formalwear-accessories Used - $43.52 0 Aug/13/22 Aug/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
top_formalwear-accessories Used - $31.24 0 Aug/13/22 Aug/13/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
hawkg2 Used - $43.52 0 Aug/14/22 Aug/14/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
hawkg2 Used - $31.24 0 Aug/14/22 Aug/14/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
8ten1944 Used - $67.95 1 Aug/15/22 Aug/16/22
Description: Lam Research Motherboard Node 2 Pm Adp/Dfc 810-802902-005 Rev. B
surplustechmart Used - $62.10 1 Aug/17/22 Oct/29/22
Description: APPLIED MATERIALS 0050-86772 Gasline Flexline Pedestal Cvd Endura 2
mmcgolfer NEW - $99.99 0 Aug/21/22 Oct/15/22
Description: Applied Materials 0020-03255 *QTY 2*
farmoninc NEW - $175.00 0 Aug/22/22 Jun/15/23
Description: 2 AMAT 0020-23836 ADAPTER PLATE CLAMP, 109432
maxisemi1349 Used - $570.00 1 Aug/23/22 Aug/24/23
Description: 3700-02721 SEAL BONDED SLIT VALVE 8.628X1.378 CHEM, LOT OF 2
equipplus Used - $79.00 0 Aug/23/22 Mar/04/24
Description: Lam Research 810-802902-006 Rev C MotherBoard Node 2 PM Board,Part,Mal&8075
visionsemi Used - $5,000.00 0 Aug/29/22 Aug/29/23
Description: AMAT APPLIED MATERIALS 0090-08674 ASSY FX DRIVER BOX ICFX BOTTOM COOL PLUS REV 2
cosplity NEW - $500.00 0 Aug/29/22 Sep/08/22
Description: AMAT 3700-07129 O-RING, F-972, 101.00 INCH ID ,.2
0115haywood8 Used - $31.24 0 Aug/31/22 Aug/31/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
0115haywood8 Used - $33.30 0 Aug/31/22 Aug/31/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
0115haywood8 Used - $23.93 0 Aug/31/22 Aug/31/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
ordipackdepannage Used - $43.52 0 Sep/08/22 Sep/09/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
ordipackdepannage Used - $31.24 0 Sep/08/22 Sep/09/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
ebon625 Used - $31.24 0 Sep/09/22 Sep/10/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
ebon625 Used - $43.52 0 Sep/10/22 Sep/10/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
carolyns_cosmetics Used - $3,742.52 0 Sep/12/22 Sep/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
carolyns_cosmetics Used - $3,730.24 0 Sep/12/22 Sep/12/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
zavattishop Used - $43.52 0 Sep/13/22 Sep/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
zavattishop Used - $31.24 0 Sep/13/22 Sep/13/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
riccardinocatania Used - $43.52 0 Sep/13/22 Sep/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
riccardinocatania Used - $31.24 0 Sep/13/22 Sep/13/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
goldenagecycles Used - $43.52 0 Sep/25/22 Sep/25/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
cbnumismatique Used - $43.52 0 Sep/25/22 Sep/25/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
goldenagecycles Used - $31.24 0 Sep/25/22 Sep/25/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
cbnumismatique Used - $31.24 0 Sep/25/22 Sep/25/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
riccardinocatania Used - $43.52 0 Sep/27/22 Sep/27/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
riccardinocatania Used - $31.24 0 Sep/27/22 Sep/27/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
giftwareireland Used - $1.00 0 Oct/01/22 Oct/02/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
giftwareireland Used - $1.00 0 Oct/02/22 Oct/02/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
comwaysind Used - $1,076.76 0 Oct/04/22 Aug/31/23
Description: Applied Materials 0051-78285 Rev. 03 Purge foreline side 2 2" tv valve New
desahogostore Used - $43.52 0 Oct/04/22 Oct/04/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
desahogostore Used - $31.24 0 Oct/04/22 Oct/04/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
horner_shearing Used - $43.52 0 Oct/04/22 Oct/04/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
horner_shearing Used - $31.24 0 Oct/04/22 Oct/04/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
j316gallery Used - $299.00 0 Oct/05/22 Jan/04/23
Description: 29983 LAM RESEARCH PCB, MOTHERBOARD, NODE 2, PM, HE 810-802902-017
j316gallery Used - $299.00 0 Oct/05/22 Jan/04/23
Description: 29981 LAM RESEARCH PCB ASSY, MB NODE 2 PM HE 810-802902-019
farmoninc NEW - $180.00 0 Oct/06/22 Jun/02/23
Description: 2 AMAT 0200-10111 WAFER LIFT, METAL HOOP, 200MM, 110343
dreams-and-jeans Used - $1.00 0 Oct/09/22 Oct/09/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
dreams-and-jeans Used - $1.00 0 Oct/09/22 Oct/09/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
goldenagecycles Used - $43.52 0 Oct/10/22 Oct/10/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
goldenagecycles Used - $31.24 0 Oct/10/22 Oct/10/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
farmoninc Used - $1,950.00 0 Oct/11/22 Feb/25/23
Description: AMAT 0010-20021 TRANSFER SLIT VALVE ASSY, SMC NCDQ1B80, CHMBR #2, REV C1, 110470
farmoninc Used - $1,950.00 0 Oct/11/22 Feb/25/23
Description: AMAT 0010-20021 TRANSFER SLIT VALVE ASSY, SMC NCDQ1B80, CHMBR #2, REV C1, 110469
pyreneescollections Used - $3.91 0 Oct/11/22 Oct/11/22
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
liquiditech Used - $999.00 0 Oct/12/22 Oct/13/22
Description: Applied Materials ULP10075-01 RF Power Supply 0090-08822 #2
mmcgolfer NEW - $99.99 0 Oct/15/22 Oct/30/22
Description: Applied Materials 0020-03255 *QTY 2*
mmcgolfer NEW - $14.99 0 Oct/15/22 Oct/30/22
Description: Applied Materials AMAT 0840-90030 Diode 2 Pack
mmcgolfer NEW - $29.99 0 Oct/15/22 Oct/30/22
Description: 2 Applied Materials (AMAT) 3630-01092 RTNR Ring INT 5/8
mmcgolfer NEW - $24.99 0 Oct/15/22 Oct/30/22
Description: 2 Applied Materials AMAT 3630-01091 BERG MFG Q7-75 RTNRRING EXT 34 SFT 062THKSST
mmcgolfer NEW - $29.99 0 Oct/15/22 Oct/30/22
Description: 2 NEW AMAT 3700-01234 Orings, 2.859CSD x .139, 75, Duro, black
mmcgolfer NEW - $24.99 0 Oct/15/22 Oct/30/22
Description: 2 APPLIED MATERIALS AMAT 3700-01302 ORING ID 1.987 CSD .103 VITON 75DURO BLK
mmcgolfer Used - $49.99 0 Oct/15/22 Oct/30/22
Description: 2 AMAT 3700-01423 ORING ID 3.109 CSD .139 V884-75 BR
mmcgolfer NEW - $24.99 0 Oct/15/22 Oct/30/22
Description: 2 AMAT 3700-01287, O-Ring ID 987 ID. 417191
j316gallery Used - $150.00 2 Oct/21/22 Mar/08/23
Description: 29559 APPLIED MATERIALS CBL ASSY, RF MATCH, PRECLEAN 2, 0.5M (PARTS) 0190-21303
filateliadesimoni Used - $3.91 0 Oct/22/22 Oct/22/22
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
recortitos Used - $12.45 0 Oct/23/22 Oct/24/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
recortitos Used - $8.87 0 Oct/24/22 Oct/24/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
recortitos Used - $3.91 0 Oct/24/22 Oct/24/22
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
usgera_gvbbcfgaa Used - $300.00 1 Oct/24/22 Jul/25/23
Description: Amat Lot Of (2) DIP CDN-491 PCB Cards 0190-04457 (1) DIP-379-250 (1) DIP-439-250
surplus2012 Used - $650.00 1 Oct/24/22 Oct/25/22
Description: APPLIED MATERIALS 0010-14240 AXIOM CHAMBER CONTROLLER AMAT Chassis & 2 MODULES
surplus2012 Used - $650.00 1 Oct/25/22 Oct/25/22
Description: APPLIED MATERIALS 0010-03968 DPS II CHAMBER CONTROLLER AMAT Chassis & 2 MODULES
farmoninc Used - $750.00 0 Oct/25/22 May/04/23
Description: 2 AMAT 0020-20397 RETAINER, SPRING BEARING, a17-4-2, 110853
napoleon155 Used - $3.91 0 Oct/29/22 Oct/29/22
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
napoleon155 Used - $8.87 0 Oct/29/22 Oct/29/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
mmcgolfer NEW - $22.49 0 Oct/30/22 Nov/12/22
Description: 2 Applied Materials (AMAT) 3630-01092 RTNR Ring INT 5/8
mmcgolfer NEW - $74.99 0 Oct/30/22 Nov/12/22
Description: Applied Materials 0020-03255 *QTY 2*
mmcgolfer NEW - $18.74 0 Oct/30/22 Nov/12/22
Description: 2 APPLIED MATERIALS AMAT 3700-01302 ORING ID 1.987 CSD .103 VITON 75DURO BLK
mmcgolfer NEW - $11.24 0 Oct/30/22 Nov/12/22
Description: Applied Materials AMAT 0840-90030 Diode 2 Pack
mmcgolfer NEW - $18.74 0 Oct/30/22 Nov/12/22
Description: 2 Applied Materials AMAT 3630-01091 BERG MFG Q7-75 RTNRRING EXT 34 SFT 062THKSST
mmcgolfer Used - $37.49 0 Oct/30/22 Nov/12/22
Description: 2 AMAT 3700-01423 ORING ID 3.109 CSD .139 V884-75 BR
mmcgolfer NEW - $22.49 0 Oct/30/22 Nov/12/22
Description: 2 NEW AMAT 3700-01234 Orings, 2.859CSD x .139, 75, Duro, black
mmcgolfer NEW - $18.74 0 Oct/30/22 Nov/12/22
Description: 2 AMAT 3700-01287, O-Ring ID 987 ID. 417191
albelectric NEW - $299.99 0 Oct/30/22 Jun/01/23
Description: Applied Materials 0020-20719 RAIL SUPPORT RF GEN CABINET NEW IN BAG LOTS OF 2
maxisemi1349 Used - $110.00 0 Oct/30/22 Mar/07/24
Description: 0200-35334 WINDOW, VIEW, POLY DPS, lot of 2
maxisemi1349 Used - $925.00 0 Nov/01/22 Dec/21/22
Description: 16-316488-00 DIFFUSER,WTS, lot of 2
farmoninc Used - $300.00 0 Nov/01/22 Mar/02/23
Description: 2 AMAT 0020-21675 ARM LIFTER DEGAS / ORIENTER, 111010
audiounchained Used - $299.99 1 Nov/05/22 Nov/06/22
Description: Kollmorgen Mag Driver, 2 Channel, P/N 1000-0121-01 Rev H AMAT P/N 0190-03554
visionsemi Used - $35.23 1 Nov/08/22 Nov/15/22
Description: AMAT APPLIED MATERIALS 0190-34624 ENDURA 2 TOWER CCT PSU 101714-01
mmcgolfer NEW - $49.99 0 Nov/08/22 Nov/12/22
Description: 2 AMAT 3700-01796 ORING 0.424 CSD 0.103 KALREZ Compound: 4079, K# 3423, 107272
mmcgolfer NEW - $24.99 0 Nov/08/22 Nov/12/22
Description: 2 AMAT 3700-01578 O-Ring, .484 ID x .139 CSD, Kalrez 4079 75 Duro, 100031
farmoninc NEW - $200.00 1 Nov/09/22 Jun/01/23
Description: 2 AMAT 0010-39665 ASSY, LOCKOUT VALVE W/ONE TOUCH FTGS, 3 Way, 111285
sgcequipment Used - $500.00 0 Nov/10/22 Dec/18/23
Description: Lam Research PN: 853-180167-001
sgcequipment Used - $1,400.00 0 Nov/10/22 Nov/17/23
Description: LAM RESEARCH 810-017003-004 Rev 2 PCB, Dip, High Frequency 9600
farmoninc NEW - $900.00 0 Nov/10/22 Aug/31/23
Description: 2 AMAT 0020-21217 BRACKET, REARSTEP, LEFT, 111400
farmoninc NEW - $1,000.00 0 Nov/10/22 Dec/22/22
Description: AMAT 0200-09278 RING, INNER 125 MM 115 MM 2 FLT 90 SHADOW, 111410
mmcgolfer NEW - $24.99 1 Nov/12/22 Dec/27/22
Description: 2 AMAT 3700-01796 ORING 0.424 CSD 0.103 KALREZ Compound: 4079, K# 3423, 107272
mmcgolfer NEW - $12.50 1 Nov/12/22 Dec/27/22
Description: 2 AMAT 3700-01578 O-Ring, .484 ID x .139 CSD, Kalrez 4079 75 Duro, 100031
mmcgolfer NEW - $50.00 1 Nov/12/22 Dec/27/22
Description: Applied Materials 0020-03255 *QTY 2*
mmcgolfer NEW - $15.00 3 Nov/12/22 Dec/27/22
Description: 2 Applied Materials (AMAT) 3630-01092 RTNR Ring INT 5/8
mmcgolfer NEW - $12.50 2 Nov/12/22 Dec/27/22
Description: 2 Applied Materials AMAT 3630-01091 BERG MFG Q7-75 RTNRRING EXT 34 SFT 062THKSST
mmcgolfer NEW - $14.99 0 Nov/12/22 Nov/30/22
Description: Applied Materials AMAT 0840-90030 Diode 2 Pack
mmcgolfer NEW - $24.99 0 Nov/12/22 Nov/30/22
Description: 2 APPLIED MATERIALS AMAT 3700-01302 ORING ID 1.987 CSD .103 VITON 75DURO BLK
mmcgolfer NEW - $15.00 1 Nov/12/22 Dec/27/22
Description: 2 NEW AMAT 3700-01234 Orings, 2.859CSD x .139, 75, Duro, black
mmcgolfer Used - $24.99 1 Nov/12/22 Dec/27/22
Description: 2 AMAT 3700-01423 ORING ID 3.109 CSD .139 V884-75 BR
mmcgolfer NEW - $12.50 1 Nov/12/22 Dec/27/22
Description: 2 AMAT 3700-01287, O-Ring ID 987 ID. 417191
maxisemi1349 Used - $825.00 0 Nov/13/22 Jun/20/23
Description: 04-170002-00 KIT,INSERT,PADDLE,300MM, lot of 2
j316gallery Used - $6,500.00 0 Nov/14/22 Nov/15/22
Description: 2769 APPLIED MATERIALS ISAC CP I/O BLOCK 2 TOP 0190-28485
spsglobal Used - $6,000.00 0 Nov/15/22 Oct/19/23
Description: 165-0101 AMAT APPLIED 0010-09001 (#2) SYSTEMS ELECTRONICS ASSY [ASIS]
sputterman2 Used - $0.99 0 Nov/15/22 Nov/22/22
Description: Applied Materials 0010-60015 Suscector Assembly LOT of 2
outback6 NEW - $500.00 0 Nov/17/22 Nov/22/22
Description: C184926 Lot 2 CTI Cryogenics Cryo Pump + Compressor Helium Hoses AMAT 3400-01108
europlantsvivai Used - $1.25 0 Nov/19/22 Nov/19/22
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
europlantsvivai Used - $1.00 0 Nov/19/22 Nov/19/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
europlantsvivai Used - $1.00 0 Nov/19/22 Nov/19/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
sputterman2 Used - $0.99 1 Nov/22/22 Nov/29/22
Description: Applied Materials 0010-60015 Suscector Assembly LOT of 2
farmoninc Used - $550.00 0 Nov/23/22 Aug/31/23
Description: AMAT 0020-30615 CLAMP RING 125MM 121MM 2 FLT HEWEB, 111787
kollexion Used - $1.00 0 Nov/28/22 Nov/28/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
kollexion Used - $1.00 0 Nov/28/22 Nov/28/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
outback6 NEW - $500.00 1 Nov/29/22 Feb/13/23
Description: C184926 Lot 2 CTI Cryogenics Cryo Pump + Compressor Helium Hoses AMAT 3400-01108
voltapaginanet Used - $3.35 0 Nov/29/22 Nov/30/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
voltapaginanet Used - $12.25 0 Nov/29/22 Nov/30/22
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
voltapaginanet Used - $3.89 0 Nov/29/22 Nov/30/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
comwaysind Used - $58.30 0 Nov/30/22 Feb/29/24
Description: Applied Materials 3300-13402 Male Elbow 1/4"x1/2" SS-400-2-8 Lot of 2 PCS
comwaysind Used - $72.08 0 Nov/30/22 Feb/29/24
Description: AMAT 3300-01093 FTG TBG UN 3/8T 1/4T SST RED Applied Materials Lot of 2 New
comwaysind Used - $65.00 0 Nov/30/22 May/18/23
Description: AMAT 3300-01178 FTG TBG UN 3/8T SST Applied Materials Lot of 2 New
farmoninc NEW - $1,100.00 0 Nov/30/22 Jun/15/23
Description: AMAT 0150-03581 CABLE ASSY, CH B TEOS LINE MIDDLE, #2, P, 111909
079gabriel2005 Used - $8.87 0 Dec/05/22 Dec/05/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
079gabriel2005 Used - $3.91 0 Dec/05/22 Dec/05/22
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
079gabriel2005 Used - $12.45 0 Dec/05/22 Dec/05/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
sgcequipment Used - $6.00 0 Dec/06/22 Dec/05/23
Description: Applied Materials/AMAT Conn Socket 2 Pole 3/16" PN: 0720-90557
mmcgolfer NEW - $24.99 1 Dec/07/22 Dec/27/22
Description: 2 APPLIED MATERIALS AMAT 3700-01302 ORING ID 1.987 CSD .103 VITON 75DURO BLK
fma16000 Used - $3.91 0 Dec/07/22 Dec/07/22
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
mmcgolfer NEW - $7.49 1 Dec/08/22 Dec/27/22
Description: Applied Materials AMAT 0840-90030 Diode 2 Pack
giftwearonline Used - $3.91 0 Dec/18/22 Dec/18/22
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
giftwearonline Used - $8.87 0 Dec/18/22 Dec/18/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
giftwearonline Used - $12.45 0 Dec/18/22 Dec/18/22
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
napo12 Used - $3.91 0 Dec/19/22 Dec/19/22
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
getspares.com_sparesllc09 Used - $36,412.00 0 Dec/20/22 Mar/05/24
Description: 0041-49939 /SMD PLATE, COATED, CHAMBER LID SIDE 2 F /APPLIED MATERIALS AMAT
outback6 Used - $1,000.00 0 Dec/27/22 Dec/31/22
Description: C185433 Lot 2 Advanced Energy MDX-1K Power Supply (2105-006-A)
mmcgolfer NEW - $9.99 10 Dec/27/22 Dec/28/22
Description: 2 APPLIED MATERIALS 3880-01023 WASR LKG SPLIT #6 .250D X .1481D X .031THK SST
jtechsemi Used - $15,000.00 1 Dec/28/22 Feb/23/23
Description: Applied Materials ENDURA 0010-03128 ASSY, MCA+, AC BOX 2 AMAT
mmcgolfer NEW - $29.99 2 Dec/28/22 Dec/28/22
Description: 2 Applied Materials (AMAT) 3630-01092 RTNR Ring INT 5/8
sgcequipment Used - $3,700.00 0 Dec/28/22 Apr/16/24
Description: Novellus Life Drive Retrofit Kit PN: 05-154195-00 (missing 2 parts)
ramix-part Used - $899.10 0 Jan/05/23 Feb/09/23
Description: Applied Materials 0041-49939 SMD PLATE , COATED CHAMBER LID SIDE 2
outback6 Used - $1,000.00 0 Jan/05/23 Jan/12/23
Description: C185551 Lot 2 Advanced Energy MDX-1K Power Supply (2105-000-F)
outback6 Used - $1,000.00 1 Jan/13/23 Jan/13/23
Description: C185551 Lot 2 Advanced Energy MDX-1K Power Supply (2105-000-F)
mppatrick Used - $8.87 0 Jan/14/23 Jan/14/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
mppatrick Used - $3.91 0 Jan/14/23 Jan/14/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
mppatrick Used - $12.45 0 Jan/14/23 Jan/14/23
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
fab_sme Used - $3,278.16 1 Jan/15/23 Feb/08/23
Description: AMAT Applied Materials 0190-36787 Rev. 01 11941200 - ISAC CP I/O BLOCK 2 TOP
grandbirdnet Used - $900.00 0 Jan/15/23 Jun/15/23
Description: LAM RESEARCH 810-802902-030 NODE 2 MB, PM, HE REV A, USED
liquiditech Used - $99.00 0 Jan/17/23 May/09/23
Description: Applied Material 3860-01028 TBG PLSTC 3/8 0D.062 Wall Polyethylene NAT(Lot of 2)
getspares.com_sparesllc09 Used - $254.76 0 Jan/17/23 Aug/31/23
Description: 0020-10472 (LOT OF 2) /LEVER, JAM RELEASE / APPLIED MATERIALS AMAT
mmcgolfer NEW - $49.99 0 Jan/20/23 Mar/02/23
Description: 2 Applied Materials (AMAT) 3630-01092 RTNR Ring INT 5/8
usedeqsales Used - $1,750.86 0 Jan/23/23 Apr/21/23
Description: Brad Communications SST-EDN-1 DeviceNet Scanner AMAT 0190-29030 Lot of 2 Working
getspares.com_sparesllc09 Used - $1,410.00 0 Jan/30/23 Mar/02/23
Description: 02-257924-00 (LOT OF 2) / FEEDTHRU ASSY RF POWER SEQUEL FEEDTHROUGH / NOVELLUS
sgcequipment Used - $30.00 0 Feb/09/23 Nov/28/23
Description: Applied Materials/AMAT 2 Foot Reinforced Hose PN: 3400-90004
global-audio-store Used - $3.91 0 Feb/09/23 Feb/09/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
sgcequipment Used - $1,200.00 0 Feb/09/23 Apr/10/23
Description: Lam Research .2 Meter Monochromatic/Detector PN: 853-011094-102 Detector
zartons Used - $3.91 0 Feb/10/23 Feb/10/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
visionsemi Used - $3,000.00 0 Feb/16/23 Aug/29/23
Description: AMAT APPLIED MATERIALS 0010-87823 ENDURA 2 CBM ASSY SST BOSCH LIST REV 004
visionsemi Used - $3,000.00 0 Feb/16/23 Mar/02/23
Description: AMAT APPLIED MATERIALS 0010-87836 CBM ASSY HYBRID BOSCH LIFT ENDURA 2
getspares.com_sparesllc09 NEW - $2,975.56 1 Feb/20/23 Mar/07/23
Description: 02-381649-02 /NOVELLUS ASSY, PEM 2 ,300MM, POR, SR, SBR-XT (SABRE NON 3D)/ LAM
eleganthairextensions Used - $3.91 0 Feb/26/23 Feb/27/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
eleganthairextensions Used - $8.87 0 Feb/26/23 Feb/26/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
eleganthairextensions Used - $12.45 0 Feb/26/23 Feb/26/23
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
visionsemi Used - $4,000.00 0 Feb/27/23 Mar/02/23
Description: AMAT APPLIED MATERIALS 0241-03182 AC BOX CH 70A RICHMOND ETCH 0180-07847 REV 2
liquiditech Used - $2,950.00 1 Mar/01/23 Nov/07/23
Description: LEYBOLD TURBODRIVE TD20 0190-25761 PN: 800075V0005 AMAT #2
j316gallery Used - $150.00 1 Mar/03/23 Mar/08/23
Description: 26851 APPLIED MATERIALS CABLE ASSY, RF MATCH, PRECLEAN 2, 16" (PARTS) 0190-21303
ledamoiseau Used - $8.87 0 Mar/05/23 Mar/06/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
ledamoiseau Used - $3.91 0 Mar/05/23 Mar/06/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
ledamoiseau Used - $12.45 0 Mar/05/23 Mar/06/23
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
llumag Used - $129.95 1 Mar/07/23 Aug/30/23
Description: Lot Of 2 AMAT Applied Materials 0190-26328 Hot Ion Pirani Gauge w/ Sensors
llumag Used - $149.95 1 Mar/08/23 Oct/23/23
Description: Lot Of 2 AMAT Applied Materials 0190-22145 Hot Ion Pirani Gauge w/ Sensors
man7183 Used - $3.91 0 Mar/12/23 Mar/13/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
papyrus10 Used - $8.87 0 Mar/12/23 Mar/13/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
papyrus10 Used - $3.91 0 Mar/12/23 Mar/13/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
papyrus10 Used - $12.45 0 Mar/12/23 Mar/13/23
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
j316gallery Used - $1,200.00 1 Mar/14/23 Aug/03/23
Description: 32353 APPLIED MATERIALS PCB ASSY, MAINFRAME INTLK 2 RELAYS 0190-02363
avro66 NEW - $160.00 0 Mar/14/23 May/13/23
Description: 2 NEW!! AMAT Applied Materials 3700-01351 Rings
punki64 Used - $8.87 0 Mar/20/23 Mar/20/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
punki64 Used - $3.91 0 Mar/20/23 Mar/20/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
punki64 Used - $12.45 0 Mar/20/23 Mar/20/23
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
earth_enterprises NEW - $150.00 0 Mar/20/23 Nov/11/23
Description: Lot of AMAT Fuses 1) 0910-00607 5) 0910-01115 2) 0910-01124 Applied Materials
all-of-cpu Used - $216.00 0 Mar/22/23 Jul/23/23
Description: Amat Applied 0190-46809 REMOTE I/O Module 2 0242-90922
j316gallery Used - $500.00 2 Mar/23/23 Dec/02/23
Description: 32424 APPLIED MATERIALS BLADE SHUTTER 8CU LT BESC 2 0040-02261
grandbirdnet NEW - $30.00 0 Mar/25/23 Aug/31/23
Description: AMAT 3880-01007 WSHRFLAT #8.031 THK SST LOT OF 2, NEW
ghis6969 Used - $3.91 0 Mar/25/23 Mar/25/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
ghis6969 Used - $8.87 0 Mar/25/23 Mar/26/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
ghis6969 Used - $12.45 0 Mar/25/23 Mar/26/23
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
grandbirdnet NEW - $800.00 0 Mar/27/23 Jun/01/23
Description: AMAT 0051-83451 LINE REV. 2, NEW
sgcequipment Used - $175.00 0 Mar/27/23 Dec/07/23
Description: Applied Materials/AMAT 2 Phase Driver Signal I/F Cable PN: 0150-20580
farmoninc NEW - $750.00 0 Mar/28/23 May/18/23
Description: AMAT 0200-18050 COLLAR, TOP, 2 PIECE, 150MM SNNF, 104542
vizvik16 NEW - $7,500.00 1 Mar/29/23 Mar/29/23
Description: APPLIED MATERIALS 0190-37083 ENDURA 2, CVD TOP ASSY SICONI FEP
getspares.com_sparesllc09 Used - $1,678.43 1 Mar/31/23 Jun/16/23
Description: 0100-77038 / ASSY, INTERLOCK #2 / APPLIED MATERIALS AMAT
rodagon88 Used - $3.91 0 Apr/01/23 Apr/01/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
bo19531 Used - $6.15 0 Apr/02/23 Apr/03/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bo19531 Used - $3.09 0 Apr/02/23 Apr/03/23
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
bo19531 Used - $1.25 0 Apr/02/23 Apr/03/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
maxisemi1349 Used - $1,500.00 2 Apr/10/23 Oct/16/23
Description: 0200-36727 SUSCEPTOR, R3 ROTATION, NO CENTER, EPI 2
cbrx2sales Used - $233.50 1 Apr/11/23 Apr/16/23
Description: Advanced Energy MDX Magnetron Drive #2 (TI22-2230)
scs6688 NEW - $180.00 0 Apr/16/23 May/11/23
Description: APPLIED MATERIALS 0150-23114 CABLE,CH HEATER SIDE 2,300MM PRODUCER,NO RF,NEW
earth_enterprises NEW - $195.00 0 Apr/19/23 Nov/11/23
Description: Lot of 2 Applied Materials AMAT 0020-76953 BRACKET CLAMP 12-1 12WALL
visionsemi Used - $1,000.00 1 Apr/25/23 Apr/28/23
Description: AMAT APPLIED MATERIALS 0090-06191 REV 2 RF GENERATOR
louis19692009 Used - $2,250.00 0 Apr/28/23 Jul/13/23
Description: Applied Materials, C-Channel Side 2,PN 0200-03407
yiannisshop NEW - $15.00 0 Apr/30/23 Aug/25/23
Description: 29 Fuses AMAT 0910-01129 littelfuse 217002 2 AMP 29 pieces for $15 free shipping
j316gallery Used - $12,000.00 0 May/03/23 May/03/23
Description: 32552 APPLIED MATERIALS CPI-VMO, SOURCE 2 0010-52866
alvin1462 Used - $55,555.00 1 May/04/23 May/05/23
Description: AMAT CENTURA 0010-54810 , 300MM VHP ROBOT , E COOLED 0040-03661 , 2 , 3 & 4
dts_ind_llc NEW - $59.00 1 May/05/23 Apr/03/24
Description: 2 New Applied Materials 0040-20056 Adapter Elbow 1/4 VCR to Mini CF Gas Line SSS
sgcequipment Used - $975.00 0 May/08/23 Nov/29/23
Description: Novellus Pump & Spin Control Interface Board PN: 02-049459-00 REV: 2
rtxparts Used - $2,000.00 0 May/09/23 Oct/09/23
Description: Applied Materials I/O WDP-CVD Ultima Board & Seriplex 2 Slot Backpane 0100-18043
earth_enterprises Used - $199.99 0 May/11/23 Nov/11/23
Description: Lot of 2 AMAT Applied Materials 0150-22508 Cable Assy's for Endura Pump I/F BX
avro66 Used - $1,500.00 0 May/12/23 Jul/11/23
Description: AMAT PVD DC AMAT Power Source Cable 0150-18894 Rev 2
farmoninc Used - $4,000.00 0 May/16/23 Aug/31/23
Description: AMAT 0040-22274 CHAMBER ADAPTER + 2 AMAT 0020-28743 BLOCK, WATER IMP, 117286
maxisemi1349 Used - $425.00 0 May/24/23 Jun/15/23
Description: 0020-10467 HOUSING,QUARTZ TUBE, lot of 2
alvin1462 Used - $55,555.00 0 May/24/23 Jul/07/23
Description: AMAT CENTURA 0010-54810 , 300MM VHP ROBOT , E COOLED 0040-03661 , 2 , 3 & 4
farmoninc Used - $100.00 1 May/26/23 Jun/16/23
Description: 2 CTI-Cryogenics 8115161G005 Network Controller Cable, 118329
ssarah712 Used - $8.87 0 May/28/23 May/28/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
ssarah712 Used - $12.45 0 May/28/23 May/28/23
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
aincoln0 Used - $3.91 0 May/28/23 May/28/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
earth_enterprises Used - $99.99 0 May/30/23 Nov/11/23
Description: Lot of 2 Applied Materials AMAT 0150-20537 CABLE ASSY PUMP CONTROL CH C
usedeqsales Used - $305.23 1 May/31/23 Jun/26/23
Description: AMAT Applied Materials 1140-01045 Power Supply PCB Card LIS-71-15 Lot Of 2
waste-not-recycling Used - $1,500.00 1 Jun/02/23 Jun/04/23
Description: SGM AMAT Applied Material 0090-07427 Power Supply 24V, Rev 2, Endura
generalbonaparte Used - $8.87 0 Jun/04/23 Jun/04/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
generalbonaparte Used - $12.45 0 Jun/04/23 Jun/04/23
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
generalbonaparte Used - $10.61 0 Jun/04/23 Jun/04/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
usedeqsales Used - $506.23 1 Jun/05/23 Dec/05/23
Description: MKS Instruments AS01496-AB-2 PCB Card AMAT 0190-30079 Reseller Lot of 2 Working
farmoninc NEW - $125.00 0 Jun/05/23 Apr/01/24
Description: 2 AMAT 0045-00091 FEED ROD SET, 118656
yiannisshop Used - $117.00 2 Jun/06/23 Jun/21/23
Description: AMAT 0190-35164 proteus flow switch 9100B24P9 NEW 2 available make offer
farmoninc NEW - $275.00 0 Jun/09/23 Jun/15/23
Description: 2 AMAT 0190-09293 SEAL 15.276 X .139 W BRN BWCVD, 116621
hhulo Used - $8.87 0 Jun/11/23 Jun/11/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
hhulo Used - $12.45 0 Jun/11/23 Jun/11/23
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
hhulo Used - $3.91 0 Jun/11/23 Jun/11/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
spsglobal Used - $40.00 1 Jun/11/23 Jun/11/23
Description: 3080-01030 qty 1 3080-01044 qty 2 3080-01050 qty 1 [2ND SOURCE NEW]
getspares.com_sparesllc09 Used - $778.05 0 Jun/13/23 Apr/29/24
Description: 0021-24184 / LINER, BOTTOM SIDE 2, 300MM SE / APPLIED MATERIALS AMAT
waste-not-recycling Used - $325.00 0 Jun/15/23 Jan/01/24
Description: Lot 2 Applied Materials AMAT System 15V Power Supply 0010-00028 Lambda 93R10298
outletmp3 Used - $3.91 0 Jun/24/23 Jun/24/23
Description: 2 KAWASAKI TX210 FI WET Robot W/1 Controller & 2 Cables set AMAT 0190-54533 NEW
outletmp3 Used - $8.87 0 Jun/24/23 Jun/24/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
outletmp3 Used - $12.45 0 Jun/24/23 Jun/24/23
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2
astonsmusic-terrascenic Used - $8.87 0 Jul/09/23 Jul/09/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
astonsmusic-terrascenic Used - $12.45 0 Jul/09/23 Jul/09/23
Description: AE Advanced Energy RFG 5500 Industrial 10.0kW RF Generator Unit 3155051-013A #2