[click to login]
WSEMI


TAGS > panel

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
Used 2
in stock
$150.00
Description: NEW AMAT 0020-30507 Painted panel, Rear, heat exchanger
austieiscute
[view on eBay]
Used 2
in stock
$159.99
Description: 1040-00073 5-75 cc/min PTFE Flow Meter Futurestar 30 118-00075 Panel Pathfinder
farmoninc
[view on eBay]
NEW 1
in stock
$120.00
Description: AMAT 0020-08513 Front cover, Panel, UPS disconnect W/GFC
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: new AMAT 0240-92075 gas panel tool kit
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0040-37682 Panel High Freq. RF L.H. Centura 323543
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0660-01639 SKTRLY Model LY TRK MNTED Panel MNT, 323609
usedeqsales
[view on eBay]
Used 1
in stock
$254.07
Description: AMAT Applied Materials 0100-20350 Operator Panel Bulkhead 300mm Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$1,008.13
Description: AMAT Applied Materials 0100-20353 Light Tower Operation Panel I/O Block Working
grandbirdnet
[view on eBay]
NEW 1
in stock
$109.00
Description: AMAT 0040-75432 PANEL, FRONT LIGHT TOWER ASSY CMP CONTRO, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$100.00
Description: AMAT 3300-06035 FMF6SUPN-3, SUP Straight Union Panel Fitting, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0040-00598 Panel, Lower Exhaust
farmoninc
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0050-70035 WLDMT 2" PANEL TUBE
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0040-37681, A Front Panel HF RF. Gen.
grandbirdnet
[view on eBay]
Used 1
in stock
$5,300.00
Description: AMAT 0190-22286 SPECIFICATION CENTURA AP PANEL TEMPERATU, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0021-18682 PANEL, CEILING, LEFT, IR ISRM INTERLOCK READY, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0021-14771 PANEL SHIELD, PCB CHAMBER PCB ELECT, BOX,
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0040-77690 PANEL, UPPER, REAR SKINS, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0022-77221 PANEL, USED
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0100-00528 PCB Assembly, CVD 300MM Gas Panel Interl
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 0040-45553 Panel Toxic Skin, Upper right
farmoninc
[view on eBay]
NEW 2
in stock
$200.00
Description: NEW AMAT 0040-45552 Panel, Toxic skin, lower fixed
farmoninc
[view on eBay]
NEW 1
in stock
$99.00
Description: New AMAT 0020-27908 Panel Front HATD Drive
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: New AMAT 0040-02309 Front Panel, UPS Disconnect, Producer
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0021-08157 Pad, Gas Panel Door Latch, 300MM TPG
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0140-78279 Cable Upper Pneumatics Panel Sensors
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0020-37552 bracket, pallet/gas panel interface
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0040-52356 Duct, gas panel, chamber left side, toxic FEP
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0020-92531 Panel, Front Keyswitch
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT 0150-03040 C/A, Interface, Status LT Panel, Lamp
grandbirdnet
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0140-11549 HARNESS ASSY MFC PANEL 2 , NEW
grandbirdnet
[view on eBay]
NEW 4
in stock
$150.00
Description: AMAT 0010-12872 EMO PANEL , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0020-75235 PANEL, BLANK CHAMBER
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-00754 Harness Assembly, MF UP/LOW Panel INTERLOCK, 399549
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-00754 Harness Assembly, MF UP/LOW Panel INTERLOCK, 399548
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-00754 Harness Assembly, MF UP/LOW Panel INTERLOCK, 399547
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-00754 Harness Assembly, MF UP/LOW Panel INTERLOCK
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0020-81809 Panel Rear Vacuum B/L'
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0020-32328 BRACKET, BACK PANEL, DEGASSER
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0140-02049 HARNESS ASSEMBLY PANEL INTERLOCKS WAFER
farmoninc
[view on eBay]
NEW 2
in stock
$300.00
Description: AMAT 0021-10060 Panel, Blank-Off, Ozonator, Ozonator
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0020-19873 Window Side Panel Rinse IECP
farmoninc
[view on eBay]
NEW 2
in stock
$300.00
Description: AMAT 0050-41449 Gas Line, AR, 2ND Final, Gas Panel, W
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0040-45551 Panel, Toxic Skin Upper, Fixed Left, 300M
farmoninc
[view on eBay]
NEW 1
in stock
$475.00
Description: AMAT 0040-04411 PANEL GROUNDPLANE PRAC SIDE, 399761
farmoninc
[view on eBay]
NEW 1
in stock
$475.00
Description: AMAT 0040-04411 PANEL GROUNDPLANE PRAC SIDE
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0020-03380 PANEL, BACK
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-03380 PANEL, BACK
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 3200-00006 PANEL, LOWER, FIXLOAD, 300MM, BROOKS AUTOMATION 013089-120-18
farmoninc
[view on eBay]
NEW 2
in stock
$900.00
Description: AMAT 0010-00681 Assy Panel, Lower Rear Molded, Assembly
farmoninc
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0040-78221 Panel, Center Rear With Tool Removable L
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-20666 CABLE ASSEMBLY, GAS PANEL POWER JUMPER
farmoninc
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0021-78974 BKT. MTG., Flat Panel Display
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0150-20675 Cable, Gas Panel Umbilical A/B
jlx580
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0100-00204 PCBA GAS PANEL, FUSED MSG
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0040-48316 PANEL SIDE WINDOW LIQ DELIVERY RINSE IEC, 400634
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0040-48316 PANEL SIDE WINDOW LIQ DELIVERY RINSE IEC, 400633
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: NOVELLUS 17-133076-00 PANEL PFEIFFER VACUUM DISPLAY 401419
partskorea1
[view on eBay]
Used 1
in stock
$6,999.00
Description: AMAT 9090-01047 Gas Panel Control Assembly
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0100-00187 PCBA Controller Front Panel 402062
farmoninc
[view on eBay]
NEW 4
in stock
$295.00
Description: AMAT 0150-39355 Cable, Assembly, Emo Status Di to Gas Panel Interlock 402068
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: 2 AMAT 0021-10061 PANEL, OZONATOR ENCLOSURE 402319
auctionrus
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0020-13301 PANEL FRONT A/C COVER SIDE EQ MAINFRAME 407364
auctionrus
[view on eBay]
Used 1
in stock
$562.50
Description: AMAT 8310 A Assembly, 0100-00056 Pneumatic Panel Interconnect, 408546
auctionrus
[view on eBay]
NEW 1
in stock
$175.00
Description: LAM 714-017336-002 Fan Unit, 44xx, Cover, Panel, 409021
auctionrus
[view on eBay]
NEW 1
in stock
$175.00
Description: LAM 714-017336-002 Fan Unit, 44xx, Cover, Panel, 409020
auctionrus
[view on eBay]
NEW 1
in stock
$175.00
Description: LAM 714-017336-002 Fan Unit, 44xx, Cover, Panel, 409019
auctionrus
[view on eBay]
NEW 1
in stock
$175.00
Description: LAM 714-017336-002 Fan Unit, 44xx, Cover, Panel, 409018
auctionrus
[view on eBay]
Used 1
in stock
$150.00
Description: LAM 714-017336-002 Fan Unit, Cover, Panel, 409023
auctionrus
[view on eBay]
Used 1
in stock
$150.00
Description: LAM 714-017336-002 Fan Unit, Cover, Panel, 409022
auctionrus
[view on eBay]
NEW 2
in stock
$295.00
Description: AMAT 0150-09727 Cable Assembly, 25' Analog #1 Gas Panel Interconnect, 409496
auctionrus
[view on eBay]
NEW 1
in stock
$412.50
Description: AMAT 0190-15736 HARNESS ASSEMBLY DNET GAS PANEL 12 STICK. 411092
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0021-04010 Cover, Front Panel, GPLIS2 ENC, 411123
auctionrus
[view on eBay]
NEW 3
in stock
$450.00
Description: AMAT 0040-40684 PANEL, MOUNT, 4 CH. WTM, 300MM HDPCVD, WAFER TEMPERATURE MONITOR
auctionrus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-70276 GRE A 394, Remote Wire Distribution Panel Housing Cover. 411829
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0021-12297 Panel Bulkhead 3x11N3 413499
auctionrus
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0140-04250 Harness, DNet Trans Mod To Dist Panel, 5.3 413726
auctionrus
[view on eBay]
NEW 3
in stock
$125.00
Description: AMAT 0140-00987 Cable Harness Assy., Pump Rack Panel Interlock, 413719
auctionrus
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0140-04250 Harness, DNET Trans Mod To Dist Panel 413771
usedeqsales
[view on eBay]
Used 1
in stock
$225.58
Description: AMAT Applied Materials 0010-01087 Anneal Distribution PCB Panel Used Working
auctionrus
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0150-09722 Cable, Assembly 25' Digital #1 Gas Panel Interconnect 413788
auctionrus
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0140-21868 Harness Assembly, Wafer Loader Panel Interlock 413836
auctionrus
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0020-39225 Rev.A, MEI-A-01498, Cover, Panel, CB-1, Main Input, 800A. 413845
auctionrus
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0020-39225 Rev.A, MEI-A-01498, Cover, Panel, CB-1, Main Input, 800A. 413842
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0140-03019 Assy Cable Rear Panel Interlock, Harness, 413844
auctionrus
[view on eBay]
NEW 1
in stock
$275.00
Description: AMAT 0150-08083 4 Ch To Back Panel Cable Assembly 413887
auctionrus
[view on eBay]
NEW 1
in stock
$562.50
Description: AMAT 0020-13576, Cover, Panel, WTR CHNL, Lid, ANNEAL, ECP 300MM. 412825
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-04859, BT3-A55602-4-4, Cable, Harness, Operators Panel to ADO. 412693
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0140-00796 Harness Assembly MF Upper Panel Interlock Interface 414034
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0140-00796 Harness Assembly MF Upper Panel Interlock Interface 414033
auctionrus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0020-20129, Panel, Front DI/O. 414028
auctionrus
[view on eBay]
Used 3
in stock
$95.00
Description: AMAT 0140-00796 Harness Assembly, MF Upper Panel Interlock Interface, 414222
auctionrus
[view on eBay]
Used 5
in stock
$75.00
Description: AMAT 0140-00768 Harness Assembly, MF Panel Emo Interlock, Cable, 414259
auctionrus
[view on eBay]
Used 1
in stock
$175.00
Description: AMAT 0040-07407, Panel, Cover, Floor Rear Fac Middle CMF. 414410
auctionrus
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0140-21868, Cable, Harness Assembly, Wafer Loader Panel Intake. 414448
auctionrus
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 3200-01185, 13-8800-285, Panel, Cover, Gear Train. 414523
ecomicron
[view on eBay]
Used 1
in stock
$3,000.00
Description: 0100-76046, AMAT, PCB GAS PANEL INTERFACE (W/O STANDOFFS)
ecomicron
[view on eBay]
NEW 6
in stock
$100.00
Description: 0910-01673, AMAT, FUSE PANEL
srctech
[view on eBay]
Used 2
in stock
$250.00
Description: Applied Materials OPERATOR CONTROL PANEL BD ASSY. 0100-09058 REV. E
srctech
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT Applied Materials GAS PANEL BD. 0100-76258
ecomicron
[view on eBay]
NEW 1
in stock
$1,000.00
Description: 0140-35859, AMAT, HARNESS, PRESS XDCR, STD VME GAS PANEL C
ecomicron
[view on eBay]
Used 1
in stock
$600.00
Description: 0150-02043, AMAT, CABLE ASSY., GAS PANEL INTRC.
ecomicron
[view on eBay]
Used 1
in stock
$200.00
Description: 0150-36678, AMAT, CABLE, GAS PANEL EV MANIFOLD, MODULE B,
electronics-abq
[view on eBay]
Used 1
in stock
$349.95
Description: Applied Materials Expanded Gas Panel Interface Board AMAT 0100-09106
bornalliancecom
[view on eBay]
Used 1
in stock
$895.00
Description: Applied Materials 0010-70279 Facility Panel, Assy. Upper CVD AMAT Precision 5000
j316gallery
[view on eBay]
Used 1
in stock
$386.97
Description: 5481 APPLIED MATERIALS FRONT PANEL FRAME 0030-70085
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0010-77241 Valve Control Panel, 415711
farmoninc
[view on eBay]
Used 6
in stock
$450.00
Description: AMAT 0150-75033, CMC Comp, Cable, 79FT Panel to Remote. 415314
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 3200-00014, CDCG Gas Panel 3U, 9SLOT, RACKMOUNT. 415454
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0140-00988, Harness Assy., Pump Rack Panel Interlock E, 415864
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0140-00988, Harness Assembly, Pump Rack Panel Interlock. 415914
farmoninc
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0140-00988 Harness Assy Pump Rank Panel Interlock 415922
farmoninc
[view on eBay]
Used 1
in stock
$2,900.00
Description: AMAT 0060-76188 PVD Endura Front Display Panel, light pen and key board, 416511
partskorea1
[view on eBay]
Used 1
in stock
$799.00
Description: AMAT 0090-03818 GAS PANEL Interlock
farmoninc
[view on eBay]
NEW 5
in stock
$25.00
Description: AMAT 0020-09636, Panel Front Intell Intrfc Liq Source C.C. 416684
farmoninc
[view on eBay]
Used 1
in stock
$40.00
Description: AMAT 0150-08328 Cable Assy, Gas Panel Door/N, 417057
farmoninc
[view on eBay]
NEW 6
in stock
$450.00
Description: AMAT 0150-75033 Rev.A, EMC Comp, Cable, 79ft Panel to Remote. 417586
farmoninc
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 0020-32328 Bracket, Back Panel, Degasser, 417699
farmoninc
[view on eBay]
NEW 1
in stock
$2,400.00
Description: AMAT 4060-01097, FESTO, MANF GAS Panel Pneumatic 1/8T FTG DNET. 417721
farmoninc
[view on eBay]
NEW 1
in stock
$2,400.00
Description: AMAT 4060-01097, FESTO, MANF GAS Panel Pneumatic 1/T8 FTG DNET. 417717
farmoninc
[view on eBay]
NEW 1
in stock
$2,400.00
Description: AMAT 4060-01097, FESTO, MANF Gas Panel, Pneumatic 1/8T FTG DNET. 417740
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0140-02418 Harness Assy, Gas Panel Interlock, CVD, 300mm, 417788
tjtechseller
[view on eBay]
NEW 1
in stock
$200.00
Description: 0040-53460 AMAT FLOOR PANEL, EXHAUST MANIFOLD 5.3 FI, SI
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0040-62929, SWLL B FI Panel Intermediate TETRA. 417925
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0021-79127 Rev.A, Panel, Flow Meter, CHA/CHC, W Mount. 418031
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0021-79127 Rev.A, Panel, Flow Meter, CHA/CHC, W Mount. 418030
farmoninc
[view on eBay]
NEW 6
in stock
$15.00
Description: AMAT 0240-40778, Blank - OFF Kit, 10.3"x.8"x.1" Panel, W/ Grey P. 418070
farmoninc
[view on eBay]
NEW 1
in stock
$15.00
Description: AMAT 0240-40778, Blank - OFF Kit, 10.3"x.8"x.1" Panel, W/ Grey P. 418071
farmoninc
[view on eBay]
Used 1
in stock
$325.00
Description: AMAT 0020-03760 Panel, Electronics Chassis, Upper Rear, 418144
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0190-15735 Rev.P1, 9281-03 Rev.A, Harness Assembly DNET Gas Panel 1. 418267
j316gallery
[view on eBay]
NEW 2
in stock
$563.39
Description: 4971 APPLIED MATERIALS KIT, COMMON GAS PANEL SE MK-2 (NEW) 0242-22217
farmoninc
[view on eBay]
NEW 6
in stock
$750.00
Description: AMAT 0040-04488 Panel Display LED Cells, 418589
farmoninc
[view on eBay]
NEW 1
in stock
$315.00
Description: AMAT 0022-77539 Panel, Flow Meter, 418606
farmoninc
[view on eBay]
NEW 1
in stock
$315.00
Description: AMAT 0022-77539 Rev.P1, Panel, Flow Meter. 418622
farmoninc
[view on eBay]
NEW 1
in stock
$6,000.00
Description: AMAT 0660-00223, Industrial Panel PC, 15" LCD w/ Touchscreen, Advantech. 419153
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0660-00223, Industrial Panel PC, 15" LCD w/ Touchscreen, Advantech. 419144
farmoninc
[view on eBay]
NEW 1
in stock
$6,000.00
Description: AMAT 0660-00223, Industrial Panel PC, 15" LCD w/ Touchscreen, Advantech. 419136
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0021-12858 Pin, Guide, Gas Panel, 300mm, 419336
farmoninc
[view on eBay]
Used 1
in stock
$4,900.00
Description: AMAT 0150-35565 Cable Assembly Gas Panel #1 Umbilical, 55FT, 419584
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0150-35305, Cable Assembly Gas Panel, #1 Umbilical Long, Centura. 419575
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0150-35305, Cable Assembly Gas Panel, #1 Umbilical Long, Centura. 419574
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: AMAT 0040-44376 Rev.001, Panel, Photohelic 300mm. 419726
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-79354 Bulkhead, Left Panel, 419746
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-79354 Bulkhead, Left Panel, 419745
farmoninc
[view on eBay]
Used 2
in stock
$300.00
Description: AMAT 0227-30416 Panel Side Murata AGV I/F, 419804
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0021-11628 Cover, EMO Panel 300mm SM4, 419938
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0021-11628 Cover, EMO Panel 300mm SM4, 419936
j316gallery
[view on eBay]
Used 1
in stock
$406.05
Description: 7867 APPLIED MATERIALS PCB ASSY EXPANDED GAS PANEL INTERFACE BD 0100-09106
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$29.70
Description: OEM Part (AMAT) 4000-01273 PKG 3 CDCGE FRONT PANEL 4HP 6V
j316gallery
[view on eBay]
Used 3
in stock
$567.79
Description: 8516 APPLIED MATERIALS PCB GAS PANEL III BD 0100-00208
usedeqsales
[view on eBay]
Used 1
in stock
$355.17
Description: AMAT Applied Materials 0226-48119 Time Delay Panel Precision 5000 P5000 Used
farmoninc
[view on eBay]
Used 1
in stock
$395.00
Description: AMAT 0030-70085 Monitor Front Panel w/ 0150-0900 System Video Cable, 423364
orcom2011
[view on eBay]
Used 1
in stock
$100.00
Description: APPLIED MATERIALS AMAT 0140-08410 HARNESS ASSY, DNET TRANS TO CPCI PANEL M CABLE
orcom2011
[view on eBay]
Used 1
in stock
$100.00
Description: APPLIED MATERIALS AMAT 0140-08412 HARNESS ASSY, DNET TRANS TO CPCI PANEL CABLE
rolx1234
[view on eBay]
Used 1
in stock
$1,200.00
Description: LAM RESEARCH 852-017500-001 CRT DISPLAY KEY PANEL OPERATOR
spsglobal
[view on eBay]
Used 4
in stock
$500.00
Description: 130-0303// AMAT APPLIED 0100-09031 PCB ASSY, REMOTE GAS PANEL USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 130-0301// AMAT APPLIED 0100-09063 w PCB ASSY GAS PANEL USED
j316gallery
[view on eBay]
Used 1
in stock
$632.18
Description: 9206 APPLIED MATERIALS PS FLAT PANEL MIRRA 300 MM, 02-01046-01 0190-02820
j316gallery
[view on eBay]
Used 1
in stock
$233.00
Description: 8517 APPLIED MATERIALS PCB GAS PANEL 0100-00016
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0100-01362 PCB Assembly, Gas Panel Interlock id key wcvd/al
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 347-0501// AMAT APPLIED 0020-70496 PANEL WATER SWITCH, MAINFRAME NEW
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 146-0701// AMAT APPLIED 0150-35314 C/A GAS PANEL POWER LONG USED
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0100-09106 Expanded Gas Panel Interface Board, PCB, FAB 0110-09106, 424067
catalystparts
[view on eBay]
NEW 2
in stock
$300.00
Description: AMAT Applied Materials 0242-15305 Kit, Loto Gas Panel 300mm Radiance 416248-R3
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 147-0501// AMAT APPLIED 0150-35566 C/A GAS PANEL #2 UMBILICAL, 55 FT NEW
catalystparts
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT Applied Materials 0150-76175 OP Panel Cable P26 EMC Comp 25'
catalystparts
[view on eBay]
Used 1
in stock
$125.00
Description: AMAT Applied Materials 0150-10490 C/A OPER Panel EMC Cable 55' 0242-37513
gordonca
[view on eBay]
Used 1
in stock
$798.00
Description: Applied Materials 300MM HP+ AXZ Gas Panel Intlk Module 0100-20458 Rev:012
farmoninc
[view on eBay]
NEW 2
in stock
$45.00
Description: AMAT 0020-83476 Front Panel, Temp Control, 398184
j316gallery
[view on eBay]
Used 1
in stock
$516.84
Description: 1520 NOVELLUS TERMINAL PANEL CONTROLLER 02-252197-00
spsglobal
[view on eBay]
Used 2
in stock
$600.00
Description: 341-0403// AMAT APPLIED 0020-29294 PANEL, FLEX LINE BRACKET USED
usedeqsales
[view on eBay]
Used 1
in stock
$2,008.18
Description: AMAT Applied Materials 0010-21745 Endura 5500 Operator Panel 0100-01906 As-Is
elacross1
[view on eBay]
Used 1
in stock
$4,367.99
Description: AMAT 0090-91620 Beamline Vacuum Control Panel Chassis
elacross1
[view on eBay]
Used 1
in stock
$4,367.99
Description: AMAT 9090-00456 Beamline Vacuum Control Panel Chassis
systasemi
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0150-35222, CABLE ASSY, GAS PANEL POWER
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 145-0101// AMAT APPLIED 0150-16078 (BROKEN) CA GAS PANEL #2 UNBILICAL,50 FT ASIS
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 145-0101// AMAT APPLIED 0150-16077 (BROKEN) CA GAS PANEL #1 UNBILICAL,50 FT ASIS
spsglobal
[view on eBay]
Used 2
in stock
$600.00
Description: 146-0601// AMAT APPLIED 0150-09710 CABLE ASSY SET CONTROL TO PANEL USED
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 148-0401// AMAT APPLIED 0150-35204 C/A GAS PANEL UMBILICAL #2 USED
spsglobal
[view on eBay]
Used 2
in stock
$3,000.00
Description: 148-0301// AMAT APPLIED 0150-35202 CABLE ASSY GAS PANEL UMBILICAL USED
spsglobal
[view on eBay]
Used 2
in stock
$180.00
Description: 147-0701// AMAT APPLIED 0150-35222 OBS, C/A, GAS PANEL POWER [USED]
spsglobal
[view on eBay]
Used 1
in stock
$190.00
Description: 150-0201// AMAT APPLIED 0150-16085 CA GAS PANEL POWER,50 FT USED
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 149-0601// AMAT APPLIED 0150-09700 CABLE ASSY PANEL TO REMOTE MATCH USED
spsglobal
[view on eBay]
Used 1
in stock
$170.00
Description: 150-0501// AMAT APPLIED 0150-09202 CABLE ASSEMBLY TEOS CONTROL TO PANEL USED
spsglobal
[view on eBay]
Used 1
in stock
$380.00
Description: 150-0401// AMAT APPLIED 0150-10504 C/A, APC GAS PANEL CNTLR, INTC, 55FT EMC NEW
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 343-0401// AMAT APPLIED 0020-29298 BRACKET, LL BOTTOM, FRONT PANEL MOUNT NEW
spsglobal
[view on eBay]
Used 13
in stock
$40.00
Description: 344-0102// AMAT APPLIED 0020-09636 PANEL FRONT INTELL INTRFC LIQ NEW
spsglobal
[view on eBay]
Used 1
in stock
$140.00
Description: 344-0101// AMAT APPLIED 0020-89250 PANEL, CLEANROOM REAR, LH NEW
dieseltdiguy
[view on eBay]
NEW 1
in stock
$11.33
Description: Chamber Panel MTG 10-32 x 1 PHH 3690-02032
nissiglobal
[view on eBay]
Used 1
in stock
$192.49
Description: APPLIED MATERIALS 0090-05447 ASSY 2 POS CKT BRKR PANEL
ntsurplus302
[view on eBay]
Used 1
in stock
$175.00
Description: 4408 Applied Materials 0100-00016 Gas Panel Assy.
vizvik16
[view on eBay]
Used 2
in stock
$270.00
Description: APPLIED MATERIALS GAS PANEL CINTROLLER BACKPPLANE 0100-00446
catalystparts
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT Applied Materials 0040-77542 Lower Panel
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 344-0401// AMAT APPLIED 0020-21371 BRKT BREAKER PANEL TRIM NEW
usedeqsales
[view on eBay]
Used 1
in stock
$605.19
Description: Lam Research 810-707102-002 Transport Module Interlock PCB Panel Continuum Spare
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0010-06722 Panel Assembly, 451289
usedeqsales
[view on eBay]
Used 1
in stock
$1,605.19
Description: Lam Research 810-707056-002 TM Node 3 4 PCB Panel 810-707150-001 Continuum Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,605.19
Description: Lam Research 810-707056-003 TM Node 3 4 PCB Panel 810-707150-001 Continuum Spare
usedeqsales
[view on eBay]
Used 3
in stock
$1,605.19
Description: Lam Research 810-707059-001 PM Node 4 PCB Panel 810-707150-001 Continuum Spare
usedeqsales
[view on eBay]
Used 2
in stock
$1,605.19
Description: Lam Research 810-707061-003 PM Node 2 PCB Panel 810-707150-001 Continuum Spare
j316gallery
[view on eBay]
Used 2
in stock
$904.50
Description: 13160 APPLIED MATERIALS PCB GAS PANEL INTERFACE (W/O STANDOFF) 0100-76046
j316gallery
[view on eBay]
Used 3
in stock
$532.06
Description: 13161 APPLIED MATERIALS PCB ASSEMBLY,EXPANDED GAS PANEL INTERFACE 0100-09106
farmoninc
[view on eBay]
Used 1
in stock
$25.00
Description: Novellus 17-103546-00 Panel, Cover, Housing, 451331
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 344-0501// AMAT APPLIED 3690-03311 (4PCS) SCR CAPTIVE PANEL 4-40X.530T NEW
j316gallery
[view on eBay]
NEW 1
in stock
$515.78
Description: 13475 APPLIED MATERIALS CABLE DIGITAL #1 GAS PANEL, CABLE (NEW) 0150-76222
dnd_surplus
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT Applied Materials 0100-01103 Gas Panel Interlock board
sgcequipment
[view on eBay]
NEW 1
in stock
$200.00
Description: Applied Materials (AMAT) 3690-02032 SCR Panel MTG 10-32 X 1/2L PHH W/WSHR-NYL
j316gallery
[view on eBay]
NEW 1
in stock
$516.85
Description: 13650 APPLIED MATERIALS CABLE ASSY, GAS PANEL POWER, 25FT (NEW) 0150-13116
j316gallery
[view on eBay]
NEW 1
in stock
$510.42
Description: 13652 APPLIED MATERIALS EMC COMP, CABLE, DIGITAL #2 GAS PANEL (NEW) 0150-76223
j316gallery
[view on eBay]
NEW 2
in stock
$906.10
Description: 13689 APPLIED MATERIALS EMC COMP, OPER PANEL, EXTENDED 46 FT (NEW) 0150-76217
powersell007
[view on eBay]
Used 1
in stock
$4,399.00
Description: APPLIED MATERIALS 0195-14651 CIOC CONTROLLER/ CONTROL PANEL AMAT EJ8760-1006
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 318-0303// AMAT APPLIED 0040-09020 EXHAUST DUCT GAS PANEL USED
j316gallery
[view on eBay]
Used 1
in stock
$368.09
Description: 14608 APPLIED MATERIALS PANEL,CH B & D FAC TRAY, IO CONNECTIONS 0021-70167
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 322-0201// AMAT APPLIED 0190-35448 PNEUMATIC MANIFOLD,GAS PANEL,4 USED
codex0
[view on eBay]
NEW 1
in stock
$275.00
Description: AMAT 0150-09727 Cable Assembly, 25' Analog #1 Gas Panel Interconnect, 409496
j316gallery
[view on eBay]
NEW 1
in stock
$150.31
Description: 14918 APPLIED MATERIALS PANEL AC OUTLET 20A GEN RACK (NEW) 0020-23959
j316gallery
[view on eBay]
Used 1
in stock
$218.61
Description: 14904 APPLIED MATERIALS CABLE ASSY, MODIFIED FACILITIES PANEL 0150-09064
catalystparts
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT Applied Materials 0040-78402 Panel Assy Lower Side
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 141-0502// AMAT APPLIED 0150-21635 CABLE ASSY, EMO FRONT PANEL INTERCONNECT USED
usedeqsales
[view on eBay]
Used 1
in stock
$1,559.19
Description: Kawasaki 50979-2388L02 Panel Interface Cable AMAT Applied Materials 0190-08517
auctionrus
[view on eBay]
Used 1
in stock
$600.00
Description: LAM 839-103684-002 Indicator Panel, PM3C4FJ-AAAAAAA, 38552P, 3000MR, 452258
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$5,000.00
Description: 0040-04151 / BASE, AMPOULE LIFT, LIQ PANEL, TXZ / APPLIED MATERIALS AMAT
catalystparts
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT Applied Materials 0090-03469 2 Position Circuit Breaker Panel Assy.
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0503// AMAT APPLIED 0226-31108 HARNESS ASSY GAS PANEL COVER S NEW
catalystparts
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT Applied Materials 0040-01891 Panel Chamber C Position 300mm Centura
catalystparts
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT Applied Materials 0040-01937 Panel Chamber B Position 300mm Centura
dr.dantom
[view on eBay]
Used 1
in stock
$80.00
Description: Applied Materials 0242-20566 KIT PANEL SINGLE NT 20 TURBO CONTROLLER
dr.dantom
[view on eBay]
Used 1
in stock
$80.00
Description: Applied Materials 0020-27437 COVER TURBO PANEL SINGLE NT 20
dr.dantom
[view on eBay]
Used 1
in stock
$80.00
Description: Applied Materials 0020-82047 PLATE AC COVER OPERATOR CONTROL PANEL
usedeqsales
[view on eBay]
Used 1
in stock
$812.19
Description: AMAT Applied Materials 0100-00281 Mainframe Rear I/O Panel PCB Centura Working
j316gallery
[view on eBay]
Used 3
in stock
$6,413.46
Description: 15964 APPLIED MATERIALS PCBA, HDPCVD 300MM AP GAS PANEL DISTRIBUTION 0100-01652
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$931.99
Description: 0100-09115 / GAS PANEL ANALOG BD. ASSY / AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$776.95
Description: 0150-09243 / CABLE ASSY EXPANDED GAS PANEL AFCS UPPE / AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0190-14759 Harness Assy Gas Panel 16 Stick Chamber, 327575
roundtable1
[view on eBay]
Used 1
in stock
$99.00
Description: Amat 0242-43088 Kit Mounting Bracket Ch C Or D Std Gas Panel Wi
j316gallery
[view on eBay]
Used 5
in stock
$245.53
Description: 16179 APPLIED MATERIALS PANEL, BLANK TURBO CNTL 0020-20991
j316gallery
[view on eBay]
Used 2
in stock
$423.81
Description: 16764 APPLIED MATERIALS CABLE,DIGITAL #1 GAS PANEL INTERCONNECT, 3M 0150-09599
j316gallery
[view on eBay]
Used 1
in stock
$235.21
Description: 16737 APPLIED MATERIALS EMC COMP.,CABLE ASSY,OPTERATOR PANEL,P26 0150-76175
j316gallery
[view on eBay]
Used 1
in stock
$291.17
Description: 16763 APPLIED MATERIALS CABLE,ANALOG #2 GAS PANEL INTERCONNECT, 10FT 0150-09603
j316gallery
[view on eBay]
Used 1
in stock
$274.77
Description: 16736 APPLIED MATERIALS CABLE ASSY,OPERATOR PANEL,P26 0150-20027
wilus_v3zx7z
[view on eBay]
Used 1
in stock
$800.00
Description: 0100-09115 APPLIED MATERIALS GAS PANEL ANALOG PCB REV-E
dr.dantom
[view on eBay]
Used 1
in stock
$399.00
Description: AMAT Applied Materials 0100-20350 Operator Panel Bulkhead 300mm
dr.dantom
[view on eBay]
Used 2
in stock
$1,800.00
Description: AMAT Applied Materials 0010-21745 Endura 5500 Operator Control Panel I/O Block
dr.dantom
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT Applied Materials 0100-20349 PCB ASSY OPERATOR KEY PANEL
usedeqsales
[view on eBay]
Used 1
in stock
$201.20
Description: Novellus Systems 17-273837-00 Panel Assembly Concept Two New Surplus
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 332-0401// AMAT APPLIED 0010-30528 (#1) ASSEMBLY, UPPER FACILITIES PANEL, NEW
storefarm
[view on eBay]
Used 1
in stock
$548.00
Description: [Used] LAM / MSPR0503567, 810-010473-401 / RF INTERFACE PANEL
dr.dantom
[view on eBay]
Used 2
in stock
$920.00
Description: AMAT Applied Materials 0100-00572 0110-00572 Gas Panel Distribuiton EPI 300MM
j316gallery
[view on eBay]
NEW 1
in stock
$1,874.57
Description: 16532 APPLIED MATERIALS PANEL, FLOOR, CENTER 300MM MF AP(NEW) 0040-44929
j316gallery
[view on eBay]
NEW 1
in stock
$283.49
Description: 17625 APPLIED MATERIALS H/A LINE REACTOR TO HORIZ. PANEL (NEW) 0140-36719
j316gallery
[view on eBay]
NEW 1
in stock
$140.53
Description: 17627 APPLIED MATERIALS CABLE HORIZONTAL PANEL GROUND VAR (NEW) 0150-37230
j316gallery
[view on eBay]
NEW 1
in stock
$283.49
Description: 18031 APPLIED MATERIALS PANEL, BLANK TURBO CNTL (NEW) 0020-20991
j316gallery
[view on eBay]
Used 1
in stock
$2,410.17
Description: 17978 APPLIED MATERIALS CABLE ASSY, GAS PANEL UMBILICAL #2, 40FT 0150-76718
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0041-11006 CLEAR PANEL, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0040-73499 PANEL, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$12,000.00
Description: AMAT 0010-77227 UTILITY PANEL ASSEMBLY, USED, NOT COMPLETED
visionsemi
[view on eBay]
Used 1
in stock
$390.00
Description: KAWASAKI 50979-2388LA-1 PANEL INTERFACE CABLE 0190-16259 APPLIED MATERIALS AMAT
j316gallery
[view on eBay]
Used 2
in stock
$133.83
Description: 18812 APPLIED MATERIALS CABLE ASSY, GND GAS PANEL, 0.7M 0150-32447
j316gallery
[view on eBay]
Used 1
in stock
$4,418.65
Description: 18920 APPLIED MATERIALS CABLE ASSY,GAS PANEL UMBILICAL #2, 75 FT 0150-76668
visionsemi
[view on eBay]
Used 4
in stock
$1,350.00
Description: APPLIED MATERIALS AMAT 0015-03120 ITO GAS PANEL TEMPERATURE CONTROL
grandbirdnet
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-05387 FLAT PANEL DISPLAY ENCLOSURE MIRRA 200MM CMP ,USED
spsglobal
[view on eBay]
Used 3
in stock
$60.00
Description: 348-0202// AMAT APPLIED 0020-40106 BUSSBAR, PANEL TP PANEL, PRIMARY AC [USED]
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 350-0301// AMAT APPLIED 0020-10407 GUARD AC PANEL REAR LIQ CONTROLLER [USED]
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 350-0301// AMAT APPLIED 0020-10406 PANEL REAR AC, LIQUID SOURCE [USED]
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 350-0301// AMAT APPLIED 0020-10021 PANEL EXHAUST LIQ SOURCE CONTROLLER [USED]
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 350-0301// AMAT APPLIED 0020-09646 PANEL,FRONT,FILTER, LIQ SOURCE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 340-0301// AMAT APPLIED 0020-24320 PANEL MTG SMIF ARM PCB [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 340-0301// AMAT APPLIED 0020-09759 PANEL ALCATEL TURBO BLANKOFF [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 114-0501// AMAT APPLIED 0020-20818 COVER PANEL PNEUMATIC DISTR BD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$430.00
Description: 114-0401// AMAT APPLIED 0020-32290 PANEL EXP RS232/DELTA MATCH IN [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 332-0301// AMAT APPLIED 0020-40162 BEZEL, CONTROL PANEL [ASIS]
spsglobal
[view on eBay]
Used 1
in stock
$640.00
Description: 115-0501// AMAT APPLIED 0010-16001 ASSY,LEFT DOOR, GAS PANEL [USED]
spsglobal
[view on eBay]
Used 3
in stock
$40.00
Description: 351-0201// AMAT APPLIED 0020-04282 BRKT, PANEL & SUPPORT STORAGE [NEW]
powersell007
[view on eBay]
Used 1
in stock
$999.00
Description: APPLIED MATERIALS 0010-56675 ASSY, PANEL LID, MF-SIDE, ENCLOSURE SPACER AMAT
usedeqsales
[view on eBay]
Used 2
in stock
$357.20
Description: Weston 283451 RF Monitor Display Panel PCB 283452 AMAT 0220-03190 Working Spare
j316gallery
[view on eBay]
Used 1
in stock
$654.55
Description: 4116 APPLIED MATERIALS FCS PANEL FLOW CTRL PANEL SYS UNIT 125 IFC-125 0190-19316
spsglobal
[view on eBay]
Used 1
in stock
$2,800.00
Description: 136-0401// AMAT APPLIED 0040-22415, 0100-20097 PANEL MANIFOLD GENERATER [USED]
noam-tech
[view on eBay]
Used 1
in stock
$750.00
Description: 0010-22567 Cover Panel, 0010-22567 / Rev 003 / BLF / from 300mm Chamber Lid Top
noam-tech
[view on eBay]
Used 1
in stock
$750.00
Description: 0010-22568 Cover Panel, 0010-22568 / Rev 003 / BLF / from 300mm Chamber Lid Top
j316gallery
[view on eBay]
Used 1
in stock
$207.56
Description: 20155 APPLIED MATERIALS HARNESS ASSEMBLY GAS PANEL POWER JUMPER, 40CM 0150-09203
j316gallery
[view on eBay]
NEW 1
in stock
$254.34
Description: 20160 NOVELLUS PANEL, HOIST, FRAME, TRIM SIDE, 04-258739-01 (NEW) 17-250987-00
capitolareatech
[view on eBay]
NEW 2
in stock
$43.95
Description: AMAT 1270-90284 Panel Switch, 15A, 125, 250 or 480VAC
capitolareatech
[view on eBay]
NEW 2
in stock
$325.95
Description: Applied Materials (AMAT) 0150-09601 CABLE,SPARE DIGITAL GAS PANEL INTERCONNECT
capitolareatech
[view on eBay]
NEW 3
in stock
$874.95
Description: AMAT 0020-37765 PANEL, I/O GAS INPUT
capitolareatech
[view on eBay]
NEW 1
in stock
$232.95
Description: Applied Materials (AMAT) 0020-37739 Panel, Flow SW, Right, RTP
capitolareatech
[view on eBay]
NEW 1
in stock
$298.95
Description: AMAT 0040-09277 Front Panel, Cooling, Heat Exchanger
capitolareatech
[view on eBay]
NEW 1
in stock
$299.95
Description: AMAT 0040-03921 Louver, Left Panel, 300MM 4.0 FI
capitolareatech
[view on eBay]
NEW 1
in stock
$1,266.95
Description: AMAT 0040-03111 PANEL RIGHT SIDE 300MM WAFERLOADER
capitolareatech
[view on eBay]
NEW 14
in stock
$500.95
Description: Applied Materials (AMAT) 0040-00192 Front Panel, Arm
capitolareatech
[view on eBay]
NEW 1
in stock
$549.95
Description: AMAT 0140-14016 Harness Gas Panel
capitolareatech
[view on eBay]
NEW 1
in stock
$248.95
Description: AMAT 0140-03650 Harness Assembly Gas Panel Pneumatic P4 Connect
capitolareatech
[view on eBay]
NEW 1
in stock
$979.95
Description: Applied Materials (AMAT) 0020-10552 PANEL,FACILITIES LOWER
capitolareatech
[view on eBay]
NEW 4
in stock
$24.95
Description: Applied Materials (AMAT) 3300-50714 FTG PANEL UNION 1/2-1/2FNPT BRS
capitolareatech
[view on eBay]
Used 2
in stock
$107.95
Description: Applied Materials (AMAT) 0040-09020 EXHAUST DUCT GAS PANEL
capitolareatech
[view on eBay]
NEW 1
in stock
$10.95
Description: Applied Materials (AMAT) 0060-09025 LABEL FM5 PANEL & SCREEN, 5000 MAINFRAME.
capitolareatech
[view on eBay]
Used 1
in stock
$1,266.95
Description: LAM RESEARCH (LAM) 853-017152-003 Panel
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 342-0402// AMAT APPLIED 0020-23959 PANEL AC OUTLET 20A GEN RACK [USED]
capitolareatech
[view on eBay]
Used 1
in stock
$391.95
Description: Applied Materials (AMAT) 0150-04628 CABLE, GAS PANEL EMO, PRODUCER SE
capitolareatech
[view on eBay]
Used 1
in stock
$80.95
Description: Applied Materials (AMAT) 0150-35031 CABLE, OPERATOR CONTROL PANEL
capitolareatech
[view on eBay]
Used 2
in stock
$19.95
Description: Applied Materials (AMAT) 1015-04233 COVER, PANEL
capitolareatech
[view on eBay]
Used 3
in stock
$19.95
Description: Applied Materials (AMAT) 1020-05052 COVER, PANEL
capitolareatech
[view on eBay]
Used 3
in stock
$19.95
Description: Applied Materials (AMAT) 1015-05037 COVER, PANEL
capitolareatech
[view on eBay]
Used 1
in stock
$254.95
Description: LAM RESEARCH (LAM) 714-024505-100 TRAY CABLE GAS PANEL
capitolareatech
[view on eBay]
Used 1
in stock
$679.95
Description: LAM RESEARCH (LAM) 714-190005-001 CHASIS, CRT, KEY PANEL
spsglobal
[view on eBay]
Used 1
in stock
$1,300.00
Description: 136-0401// AMAT APPLIED 0040-22415 0100-20097 PANEL MANIFOLD RF GENERATOR [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 176-0103// AMAT APPLIED 0050-22361 GASLINE VALVE W/ELBOW GAS PANEL CAJON [ASIS]
spsglobal
[view on eBay]
Used 5
in stock
$150.00
Description: 176-0103// AMAT APPLIED 0050-21529 GASLINE VALVE W/ELBOW GAS PANEL [ASIS]
capitolareatech
[view on eBay]
Used 1
in stock
$202.95
Description: Applied Materials 0140-09484 HARNESS, ASSY MINICONT 25' EXP. GAS PANEL
capitolareatech
[view on eBay]
NEW 1
in stock
$114.95
Description: Applied Materials 0140-35461 HARNESS GAS PANEL EXHAUST MXP CENTURA
capitolareatech
[view on eBay]
Used 2
in stock
$168.95
Description: Applied Materials 0150-09247 HARNESS,ASSY, EXPANDED GAS PANEL PNEUM
grandbirdnet
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0100-00208 PCB ASSY GAS PANEL III,FUSE, USED
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 348-0401// AMAT APPLIED 0020-81051 PANEL FRONT FILAMENT SUPPLY [USED]
j316gallery
[view on eBay]
NEW 6
in stock
$577.17
Description: 21085 APPLIED MATERIALS COVER CH GAS LINES GAS PANEL PRODUCER NEW 0040-44302
svcheck
[view on eBay]
Used 1
in stock
$189.56
Description: AMAT APPLIED MATERIALS 0100-09058 Operator Control Panel PCB Board
j316gallery
[view on eBay]
Used 2
in stock
$335.41
Description: 21354 APPLIED MATERIALS COVER, CH GAS LINES, GAS PANEL, PRODUCER 0040-44302
usedeqsales
[view on eBay]
Used 4
in stock
$1,511.20
Description: AMAT Applied Materials 0010-00888 Mass Flow Controller N2(H2) Gas Panel Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,111.20
Description: AMAT Applied Materials 0010-00888 Mass Flow Controller N2(H2) Gas Panel As-Is
capitolareatech
[view on eBay]
NEW 3
in stock
$139.95
Description: Applied Materials AMAT 0050-03140 GAS LINE 5RA SPOOL O3 UPPER GAS PANEL D
capitolareatech
[view on eBay]
Used 1
in stock
$179.95
Description: Applied Materials (AMAT) 0050-41604 WELDMT,LINE 4,BASIC PANEL FUJIKIN
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,210.00
Description: 0190-24680 / SPECIFICATION CENTURA AP PANEL TEMPERATURE CONTROLLER / AMAT
j316gallery
[view on eBay]
NEW 1
in stock
$4,500.00
Description: 21240 APPLIED MATERIALS GAS PANEL MANIFOLD G (NEW) 0190-35197
banyanteam
[view on eBay]
NEW 2
in stock
$349.89
Description: Applied Materials 0100-00208 (AMAT) PCB Gas Panel III BD Assembly
systasemi
[view on eBay]
NEW 2
in stock
$750.00
Description: AMAT 0090-03653, GAS PANEL LEAK SENSOR
eisale1535
[view on eBay]
Used 1
in stock
$3,969.00
Description: AMAT 0190-22287 producer etch gas panel temparature controller model LOG-1181
grandbirdnet
[view on eBay]
NEW 5
in stock
$2,950.00
Description: AMAT 0190-14224 FLEX DIST. PANEL, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$300.00
Description: AMAT 0100-00736 GAS PANEL DEVICENET ON BOARD2, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,500.00
Description: AMAT 0190-02366 ASSEMBLY, DISPLAY, FLAT PANEL PC, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$384.77
Description: 0150-38433 / OBS CA POWER SUPPLY APC GAS PANEL 55FT / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0020-18382 Bracket Two Final Valves Gas Panel Low K HD, 100121
visionsemi
[view on eBay]
Used 2
in stock
$400.00
Description: APPLIED MATERIALS AMAT GAS PANEL CONTROLLER BACK PLANE 0100-02492 REV 02
j316gallery
[view on eBay]
Used 1
in stock
$1,203.00
Description: 24893 APPLIED MATERIALS PCB ASSY, GAS PANEL INTLK, WCVD W/ 0100-01362 0100-01864
farmoninc
[view on eBay]
Used 1
in stock
$110.00
Description: AMAT 0720-91655 20KV Plug, Panel Mount, 100657
novusferro
[view on eBay]
Used 1
in stock
$399.00
Description: Applied Materials AMAT 0100-76046 Gas Panel Interface PCB Board
novusferro
[view on eBay]
Used 1
in stock
$269.00
Description: Applied Materials 0100-09106 Rev F Expanded Gas Panel Interface BD PCB Board
spsglobal
[view on eBay]
Used 5
in stock
$100.00
Description: 115-0601// AMAT APPLIED 0040-84297 PANEL FRONT 6U 19 RACK 300MM LK REFLEXI [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 114-0401// AMAT APPLIED 0020-09807 EDGE PLATE FIXED PANEL [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$70.00
Description: 346-0201// AMAT APPLIED 0020-09650 COVER RIGHT PANEL [NEW]
usedeqsales
[view on eBay]
Used 4
in stock
$306.21
Description: AMAT Applied Materials 0150-77472 Power MF Standalone Flat Panel Cable New Spare
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 151-0601// AMAT APPLIED 0190-09028 PANEL SHIELD SYSTEM CONTROLLER [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 151-0601// AMAT APPLIED 0190-09027 PANEL SHIELD SYSTEM ELECTRONICS [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 151-0601// AMAT APPLIED 0021-36634 PANEL,LOWER FLOOR,DPS CHBR,POS"D",PH-II [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 117-0501// AMAT APPLIED 0020-09633 RIGHT PANEL I/O COMPARTM [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 117-0501// AMAT APPLIED 0020-09591 LEFT PANEL, I/O COMPARTMENT [NEW]
usedeqsales
[view on eBay]
Used 1
in stock
$2,006.21
Description: HiTek Power A1018950 Power Supply AMAT 0090-91806 ITL Quantum X Bent Panel As-Is
capitolareatech
[view on eBay]
Used 1
in stock
$379.95
Description: Applied Materials (AMAT) 0150-09085 ASSY CABLE L.S. GAS PANEL ANALOG & DIGITAL
capitolareatech
[view on eBay]
Used 3
in stock
$34.95
Description: Applied Materials (AMAT) 0021-35168 OUTRIGGER FRONT PANEL, CENTURA
usedeqsales
[view on eBay]
Used 2
in stock
$428.60
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB Rev. C Working
usedeqsales
[view on eBay]
Used 1
in stock
$428.60
Description: AMAT Applied Materials 0225-99844 Gas Panel Analog Board PCB Rev. A Working
usedeqsales
[view on eBay]
Used 1
in stock
$357.21
Description: AMAT Applied Materials 0100-09106 Expanded Gas Panel Interface PCB Rev. 03 Spare
visionsemi
[view on eBay]
Used 1
in stock
$1,500.00
Description: ALLEN-BRADLEY KIT CH PALLET XGEN HIK INDUSTRIAL CONTROL PANEL AMAT 0195-05504
capitolareatech
[view on eBay]
NEW 1
in stock
$3,499.95
Description: Applied Materials (AMAT) 0190-10541 ASSY, PANEL, FLEX DISTRIBUTION PANEL, C-
capitolareatech
[view on eBay]
Used 12
in stock
$309.95
Description: Applied Materials (AMAT) 0150-09005 ASSY FLT CABLE, GAS PANEL
capitolareatech
[view on eBay]
Used 1
in stock
$199.95
Description: Applied Materials (AMAT) 0226-10291 ENCLOSURE, PANEL
usedeqsales
[view on eBay]
Used 9
in stock
$509.21
Description: Nikon 2S070-043 Microscope Joystick Control Panel 2S700-552 OPTISTATION 3 Spare
usedeqsales
[view on eBay]
Used 1
in stock
$509.21
Description: Nikon 2S070-043 Microscope Panel 2S700-552 OPTISTATION 3 Missing Knob Working
visionsemi
[view on eBay]
Used 3
in stock
$3,000.00
Description: APPLIED MATERIALS AMAT VALVE PANEL 2 SIDE DPSII MODULAR ETCH 0041-11617
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,500.00
Description: 0010-21745 / ENDURA 5500, OPERATOR CONTROL PANEL, I/O BLOCK, 0021-22563 / AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$234.79
Description: 0150-76390 / CABLE ASSY,GAS PANEL INTERCONNECT / APPLIED MATERIALS AMAT
usedeqsales
[view on eBay]
Used 4
in stock
$359.21
Description: AMAT Applied Materials 0100-09106 Expanded Gas Panel Interface PCB Rev. F Spare
j316gallery
[view on eBay]
Used 2
in stock
$803.15
Description: 26273 APPLIED MATERIALS CARDCAGE, GAS PANEL, 3U, 9-SLOT RACKMOUNT 3200-00004
j316gallery
[view on eBay]
Used 1
in stock
$1,838.40
Description: 26274 APPLIED MATERIALS GAS PANEL CTLR BACKPLANE W/ 0100-00580 0100-00582
j316gallery
[view on eBay]
Used 4
in stock
$1,723.50
Description: 26261 SMC GAS PANEL COMMUNICATION BOX, AMAT 4060-00895 IN587-07-C
j316gallery
[view on eBay]
Used 1
in stock
$2,183.10
Description: 26295 APPLIED MATERIALS PCB, GAS PANEL DISTRIBUTION BOARD 0100-02464
j316gallery
[view on eBay]
Used 3
in stock
$1,500.00
Description: 26343 APPLIED MATERIALS SIGNAL DISTRIBUTION 300MM, GAS PANEL 0100-00583
jens.pens
[view on eBay]
Used 1
in stock
$750.00
Description: Applied Materials 3200-00004 Gas Panel Controller Backplane 0100-00582 REV001
capitolareatech
[view on eBay]
Used 1
in stock
$689.95
Description: Applied Materials (AMAT) 0150-76217 EMC COMP, OPER PANEL, EXTENDED
capitolareatech
[view on eBay]
Used 1
in stock
$339.95
Description: Applied Materials (AMAT) 0150-09202 CABLE ASSEMBLY TEOS CONTROL TO PANEL
capitolareatech
[view on eBay]
Used 1
in stock
$394.95
Description: Applied Materials (AMAT) 0150-09604 CABLE,SPARE ANALOG GAS PANEL INTERCONNEC
capitolareatech
[view on eBay]
Used 1
in stock
$69.95
Description: Applied Materials (AMAT) 0020-70462 PANEL BREAKER MOUNTING
capitolareatech
[view on eBay]
Used 1
in stock
$69.95
Description: Applied Materials (AMAT) 0010-76542 PANEL ONLY
capitolareatech
[view on eBay]
Used 12
in stock
$19.95
Description: Applied Materials 3690-02032 EQUIPTO SCR PANEL MTG 10-32 X 1/2L PHH W/WSHR-
fa-parts
[view on eBay]
Used 1
in stock
$500.00
Description: AE ADVANCED ENERGY RFX-600 FRONT PANEL,FROM 3155002-005E FREE SHIP
capitolareatech
[view on eBay]
Used 1
in stock
$159.95
Description: Applied Materials (AMAT) 0040-00576 PANEL
visionsemi
[view on eBay]
Used 1
in stock
$200.00
Description: APPLIED MATERIALS AMAT HARNESS ASSY GAS PANEL EV 0140-62348
capitolareatech
[view on eBay]
Used 1
in stock
$169.95
Description: Applied Materials (AMAT) 0040-22952 PANEL, D-NET I/O STATUS PCB, GEN RACK, 3
katiil3
[view on eBay]
Used 1
in stock
$149.00
Description: AMAT Applied Materials GAS PANEL BD. 0100-76258 Used
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0030-76062 END POINTSIGNAL MONITOR PANEL, 103031
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0030-70085 BEZEL Monitor Front Panel, 103033
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: AMAT 0040-22415 PANEL MANIFOLD REAR WATER RF GENERATER, 103138
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: Lam Research 810-000671-001 Keyboard PCB CONTROL PANEL, 103275
usedeqsales
[view on eBay]
Used 2
in stock
$802.22
Description: AMAT Applied Materials 0100-09114 Gas Panel Board PCB Rev. B P5000 Working
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$11,167.00
Description: 0010-35427 / GAS PANEL ASSY, PLATTER, SIH4-WSIX / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0100-00572 Gas Panel Distribuiton, AMAT 0130-00572, 104025
katiil3
[view on eBay]
Used 1
in stock
$299.00
Description: Applied Materials AMAT 0150-76698 CABLE ASSY,GAS PANEL UMBILICAL#2,25FT.-E
katiil3
[view on eBay]
Used 1
in stock
$599.00
Description: Applied Materials AMAT PCB ASSY, GAS PANEL INTLK 0100-01864, 0090-08120, 0020-16
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0100-09153 GAS PANEL BOARD, PCB, FAB 0110-09153, 104083
j316gallery
[view on eBay]
Used 1
in stock
$1,404.74
Description: 14 APPLIED MATERIALS PCB CHMBR I/F W/O FRONT PANEL, 0190-35208 0100-35054
usedeqsales
[view on eBay]
Used 1
in stock
$2,503.22
Description: AMAT Applied Materials 0190-26387 LCF Sensor Interface PCB Panel Working Surplus
k-semi
[view on eBay]
Used 1
in stock
$2,717.00
Description: Novellus LPB,IXT WTS-HV IMM 02-268284-00 circuit breaker panel power supplies
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0100-09106 Expanded Gas Panel Interface Board, PCB, FAB 0110-09106, 105014
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0100-09106 Expanded Gas Panel Interface Board, PCB, FAB 0110-09106, 105075
j316gallery
[view on eBay]
Used 1
in stock
$1,717.05
Description: 27776 APPLIED MATERIALS PCB, GAS PANEL DEVICENET DISTRIBUTION BOARD 0100-00735
j316gallery
[view on eBay]
Used 1
in stock
$191.78
Description: 27762 APPLIED MATERIALS GAS PANEL DEVICENET DIST BD 2 PARTS 0100-00736
j316gallery
[view on eBay]
Used 1
in stock
$799.00
Description: 27777 APPLIED MATERIALS PCB, SIGNAL DIST 300MM, GAS PANEL (PARTS) 0100-00583
j316gallery
[view on eBay]
Used 1
in stock
$557.38
Description: 27783 APPLIED MATERIALS PCB, BACKPLANE 300MM, GAS PANEL CONTROLLER 0100-00582
j316gallery
[view on eBay]
Used 2
in stock
$9,811.73
Description: 27785 APPLIED MATERIALS PCB, SAFETY INTERLOCK, GAS PANEL, PRODUCER SE 0100-01764
usedeqsales
[view on eBay]
Used 2
in stock
$804.22
Description: AMAT Applied Materials 0100-76046 Gas Panel Board PCB Rev. A P5000 Working
usedeqsales
[view on eBay]
Used 1
in stock
$804.22
Description: AMAT Applied Materials 0100-09114 Gas Panel Board PCB Rev. A P5000 Working
usedeqsales
[view on eBay]
Used 3
in stock
$1,204.22
Description: AE Advanced Energy 1303113 20kW Pinnacle 4-Digit Panel MDX PCB 2303109-A Working
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-76718 H2O PANEL SEMI S2 GEN RACK, RF GENERATOR COLING PANEL, 105160
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0150-10504 CABLE ASSY, APC GAS PANEL CONTROLLER, INTC, 105556
usedeqsales
[view on eBay]
Used 1
in stock
$1,505.22
Description: AMAT Applied Materials 0021-31504 Pneumatic Distribution Panel Producer Working
usedeqsales
[view on eBay]
Used 1
in stock
$705.22
Description: AMAT Applied Materials 0040-86369 Circuit Breaker Panel Producer Working Surplus
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0150-09202 CABLE ASSEMBLY TEOS CONTROL TO PANEL, 105878
farmoninc
[view on eBay]
Used 1
in stock
$595.00
Description: AMAT 0020-31742 PANEL, 105914
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$4,500.82
Description: 0195-07328 / AC PANEL, CENTURA CENTRIS DPS2 / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0020-20416 PANEL,BLANK-OFF,PLUG,RF GEN, CABINET, 106044
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0020-20416 PANEL,BLANK-OFF,PLUG,RF GEN, CABINET, 106047
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0020-20416 PANEL,BLANK-OFF,PLUG,RF GEN, CABINET, 106048
cosplity
[view on eBay]
Used 4
in stock
$3,800.00
Description: AMAT 1270-03143 DIGITAL WATER FLOW SWTICH PANEL ASSY
toro.industrial.surplus
[view on eBay]
Used 1
in stock
$150.00
Description: 4408 Applied Materials 0100-00016 Gas Panel Assy.
hopin-around
[view on eBay]
Used 1
in stock
$2,000.00
Description: AE,ADVANCED ENERGY PLASMA-THERM control panel 4013-016-02
farmoninc
[view on eBay]
Used 1
in stock
$225.00
Description: AMAT 0100-09058 OPERATOR CONTROL PANEL BOARD REV B, 106565
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 4 NEW AMAT APPLIED 0020-09807 EDGE PLATE FIXED PANEL, 106686
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0100-09106 Expanded Gas Panel Interface Board, PCB, FAB 0110-09106, 106823
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-09153 HARNESS ASSY EV VOLTAGE TO GAS PANEL, 106881
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0100-09153 GAS PANEL BOARD, PCB, FAB 0110-09153, 106984
j316gallery
[view on eBay]
NEW 1
in stock
$1,226.47
Description: 28751 APPLIED MATERIALS NSO BRACKET VHP ROBOT UMBILICAL PANEL (NEW) 0040-03154
farmoninc
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-35202 CABLE ASSY GAS PANEL UMBILICAL, 107421
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB Rev. C, 107479
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0150-10490 C/A OPER Panel EMC Cable 55 FEET, 107594
farmoninc
[view on eBay]
Used 1
in stock
$595.00
Description: Lam Research 810-000671-001 Keyboard PCB CONTROL PANEL, 107715
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT Pump Frame Distribution Panel, AMAT 0100-20219 Distribution Board, 107816
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-09153 HARNESS ASSY EV VOLTAGE TO GAS PANEL, 107980
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0150-70019 Cable, HARNESS ASSY EV VOLTAGE TO GAS PANEL, 107979
usedeqsales
[view on eBay]
Used 1
in stock
$2,806.22
Description: AMAT Applied Materials 0010-21745 Operator Control Panel Endura 5500 Working
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$775.68
Description: 713-028977-001 / INSUL, MTG, 1-1-64 PANEL BHD FITTING / LAM RESEARCH CORPORATION
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$32.41
Description: 0150-11174 / CABLE ASSY, GAS PANEL INTLK JUMPER, 300M / APPLIED MATERIALS AMAT
usedeqsales
[view on eBay]
Used 2
in stock
$907.22
Description: AMAT Applied Materials 0100-90533 Beamline Vacuum Control Panel PCB XR80 Surplus
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0150-09202 CABLE ASSEMBLY TEOS CONTROL TO PANEL, 108116
visionsemi
[view on eBay]
Used 2
in stock
$5,000.00
Description: APPLIED MATERIALS 0100-01652 REV 001 HPCVD 300MM AP GAS PANEL DISTRIBUTIONS ASSY
coolgizmos
[view on eBay]
Used 2
in stock
$649.00
Description: Advanced Energy 2107-003-A MDX DC Magnetron Full Size Remote Panel
farmoninc
[view on eBay]
Used 1
in stock
$125.00
Description: Applied Materials (AMAT) 0020-09820 PANEL FILLER, 108282
farmoninc
[view on eBay]
NEW 1
in stock
$160.00
Description: Lot of 2 AMAT 0020-09820 PANEL FILLER, 108277
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0150-09203 Harness, Assy Gas Panel Power Jumper, 108293
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0150-09203 Harness, Assy Gas Panel Power Jumper, 108292
j316gallery
[view on eBay]
Used 1
in stock
$3,843.00
Description: 28618 LAM RESEARCH GAS PANEL ASSY 853-257153-282
surplusautomationequipment
[view on eBay]
Used 1
in stock
$199.99
Description: Advanced Energy AE-50TX Panel Board Cover For Inverter NOP
farmoninc
[view on eBay]
Used 1
in stock
$125.00
Description: 2AMAT 0020-09820 PANEL FILLER, 109327
spsglobal
[view on eBay]
Used 6
in stock
$2,600.00
Description: 129-0102// AMAT APPLIED 0100-02134 (#1) PCB ASSEMBLY, GAS PANEL [USED]
adelrick123
[view on eBay]
Used 1
in stock
$2,900.00
Description: AMAT 0100-01652 HDPCVD 300mm AP Gas Panel Dist. Assy, Unknown History.
adelrick123
[view on eBay]
Used 1
in stock
$2,400.00
Description: AMAT 0100-00446 Gas Panel Ctrl Backplane PCB,comes With Cable and Card Cage
j316gallery
[view on eBay]
Used 7
in stock
$3,668.00
Description: 8092 APPLIED MATERIALS PCB PANEL LATCH CPCI PYRO BOARD 30 0090-00911
maxisemi1349
[view on eBay]
Used 1
in stock
$95.00
Description: 3690-03311 SCR CAPTIVE PANEL 4-40X.530TLX.187THD HEX, lot of 10
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0100-09115 Gas Panel Analog Board PCB Rev. C, 109962
j316gallery
[view on eBay]
Used 2
in stock
$363.66
Description: 29345 APPLIED MATERIALS PCB ASSY, GAS PANEL CONTROLLER BACKPLANE 0100-00446
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0030-76062 END POINTSIGNAL MONITOR PANEL, AMAT 0190-70103 MONITOR, 110125
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB Rev. C, 110433
jiach_9809
[view on eBay]
NEW 1
in stock
$99.00
Description: LAM RESEARCH 796-000722-002 NUT GAS PANEL
farmoninc
[view on eBay]
NEW 1
in stock
$3,900.00
Description: AMAT 0100-00446 Gas Panel Control Backplane PCB, REV P3, VAL-P3-1931-18, 110687
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0190-01543 INTERLOCK GAS PANEL MODULE POLY-300MM, 110686
farmoninc
[view on eBay]
NEW 1
in stock
$3,900.00
Description: AMAT 0100-00446 Gas Panel Control Backplane PCB, REV P3, VAL-P3-1931-08, 110688
farmoninc
[view on eBay]
Used 1
in stock
$2,400.00
Description: AMAT 0100-00446 Gas Panel Control Backplane PCB, REV P3, VAL-P3-1931-12, 110704
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 0040-77310 PANEL, SIDE, UPPER, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$3,500.00
Description: AMAT 0020-78092 PANEL, RIGHT 75 ROBOT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0020-78078 PANEL,FRONT UPPER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0020-78078 PANEL,FRONT UPPER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0040-45123 PANEL UPPER W/ KNOCKOUT KEYSW&VERT/POLE, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 0040-77349 BACK PANEL,112FRAME, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0021-77574 PANEL,FRONT LOWER 75 NOVA INTEGRATAION, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0040-77530 PANEL,LEFT,ROBOT W/LOWER EXHAUST W. NOVA, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0040-77542 PANEL, LOWER LONG, PAD PULLER OPTION, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0021-77281 PANEL, LOWER LONG, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0020-78078 PANEL,FRONT UPPER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0021-77281 PANEL, LOWER LONG, USED
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB Rev. C, 110854
grandbirdnet
[view on eBay]
Used 2
in stock
$500.00
Description: AMAT 0040-77979 PANEL,SIDE CENTER,HINGED NON-NOVA, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$800.00
Description: AMAT 0040-77531 PANEL LOWER FRONT NOVA INTEGRATION, USED
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0150-13116 CABLE ASSY, GAS PANEL POWER 25FT, 111015
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0226-31223 P500 CVD Mini Controller Gas Panel Power Cable, ampule, 111091
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0190-01543 INTERLOCK GAS PANEL MODULE POLY-300MM, 111103
vizko2017
[view on eBay]
NEW 1
in stock
$90.00
Description: APPLIED MATERIALS 0050-50646 GAS LINE N2 PURGE K1S LIQ PANEL TXZ G
equipplus
[view on eBay]
Used 1
in stock
$799.00
Description: Applied Material 0100-03108 Rev03 Next Gen Gas Panel Pcb,EPI 300mm,AMAT,US&8200
farmoninc
[view on eBay]
Used 1
in stock
$2,400.00
Description: AMAT 0040-00250 OPERATOR CONT PANEL ASSY, 111292
farmoninc
[view on eBay]
Used 1
in stock
$2,400.00
Description: AMAT 0040-00250 OPERATOR CONT PANEL ASSY, 111291
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0100-09115 Gas Panel Analog Board PCB Rev. C, 111546
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$560.56
Description: 0100-03320 / PCBA, HDPCVD 300MM AP GAS PANEL DISTRIBUTION / APPLIED MATERIALS
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0100-09115 Gas Panel Analog Board PCB Rev. C, 111594
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0100-76046 Gas Panel Interface PCB, 111610
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0100-76046 Gas Panel Interface PCB, 111609
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0100-76046 Gas Panel Interface PCB, 111608
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0100-09115 Gas Panel Analog Board PCB Rev. C, 111603
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB Rev. C, 111631
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0100-76046 Gas Panel Interface PCB, 111647
farmoninc
[view on eBay]
Used 1
in stock
$795.00
Description: AMAT 0150-09710 CABLE, ASSEMBLY SET CONTROL TO PANEL, 111638
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 129-0102 AMAT APPLIED 0100-02134 PCB ASSEMBLY, GAS PANEL DISTRIBUTION 30 [ASIS]
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 129-0102 AMAT APPLIED 0100-02134 PCB ASSEMBLY, GAS PANEL DISTRIBUTION 30 [USED]
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$4,199.56
Description: 0190-35197 / GAS PANEL MANIFOLD "G" / APPLIED MATERIALS AMAT
j316gallery
[view on eBay]
Used 2
in stock
$800.00
Description: 30332 APPLIED MATERIALS PANEL, PNEUMATIC, LIQUID SOURCE VERSION 0190-09257
farmoninc
[view on eBay]
NEW 3
in stock
$200.00
Description: AMAT 0020-09650 Cover Right Panel, 111957
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0020-21528 PANEL, 111942
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0021-76956 COVER PANEL, 112056
farmoninc
[view on eBay]
Used 1
in stock
$5,000.00
Description: AMAT 0040-04151 BASE, AMPOULE LIFT, LIQ PANEL, TXZ, 112137
j316gallery
[view on eBay]
Used 1
in stock
$312.16
Description: 30367 APPLIED MATERIALS CBL ASSY, EMC COMP., ANALOG #2 GAS PANEL, 3M 0150-76226
j316gallery
[view on eBay]
Used 2
in stock
$243.66
Description: 30369 APPLIED MATERIALS CABLE, DIGITAL #2 GAS PANEL, LENGTH: 3M 0150-09600
j316gallery
[view on eBay]
Used 1
in stock
$485.73
Description: 30374 APPLIED MATERIALS C/A, OPER PANEL, 55FT EMC COMP. 0150-10490
j316gallery
[view on eBay]
Used 2
in stock
$221.30
Description: 30354 APPLIED MATERIALS CABLE ASSY, PANEL TO REMOTE MATCH, 16M 0150-09700
gteprimo
[view on eBay]
Used 1
in stock
$564.35
Description: AMAT Applied 0100-94037 Target Chamber Vacuum System Control Panel Inkl. MwSt
j316gallery
[view on eBay]
Used 1
in stock
$2,745.00
Description: 30421 APPLIED MATERIALS PNEU PANEL ASSY, CMS-458 W/ 0100-00056 0190-00163
farmoninc
[view on eBay]
NEW 2
in stock
$750.00
Description: AMAT 0240-18478 KIT RETROFIT SINGLE PURGE GAS PANEL INTE, 112693
farmoninc
[view on eBay]
NEW 1
in stock
$2,250.00
Description: AMAT 0100-00208, PCB ASSY GAS PANEL III FUSED BOARD, 112977
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB Rev. C, 112995
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB Rev. C, 112994
j316gallery
[view on eBay]
NEW 1
in stock
$584.20
Description: 15271 APPLIED MATERIALS PANEL, ACP FRAME MAINFRAME CH ABC COVER (NEW) 0040-39004
farmoninc
[view on eBay]
Used 1
in stock
$4,000.00
Description: AMAT 0140-78245 H/A Flat Panel Interconnect, 0150-77289 Supply Panel, 113231
farmoninc
[view on eBay]
Used 1
in stock
$895.00
Description: AMAT 0010-70279 Facility Panel, Upper Facility CVD AMAT Precision 5000, 113334
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0100-09106 Expanded Gas Panel Interface Board, PCB, FAB 0110-09106, 113834
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0100-09106 Expanded Gas Panel Interface Board, PCB, FAB 0110-09106, 113832
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: 3 AMAT 0040-32320 PLATE, FRONT PANEL SEALING, OZONATOR, 113953
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$250.00
Description: 0100-00208 / GAS PANEL III BOARD, REV. A / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,605.68
Description: 0270-03451 / 200MM ENDURA FRONT PANEL LABEL TEMPLATE / APPLIED MATERIALS AMAT
pic_insit
[view on eBay]
Used 1
in stock
$2,400.00
Description: APPLIED MATERIALS PCB, Interlock, Gas Panel 5200 Epi, P/N 0100-38039, Stock #926
ghers-0
[view on eBay]
Used 2
in stock
$399.99
Description: AMAT 0090-03653 SNSR GAS PANEL LEAK DESICA SENSOR
visionsemi
[view on eBay]
Used 2
in stock
$15,000.00
Description: AMAT ALLEN BRADLEY 0190-12265 CTRL PANEL EPI 300MM JET PACK ELECTRONICS MODULE
farmoninc
[view on eBay]
Used 1
in stock
$1,900.00
Description: AMAT 0190-09257 Panel, Pneumatic, Liquid Source Version, 8 0140-09410, 115073
gteprimo
[view on eBay]
Used 1
in stock
$84.92
Description: AMAT Applied Materials 0150-76175 OP Panel Kabel P26 EMV Comp
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0100-09115 Gas Panel Analog Board PCB REV. C, 104530
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,262.67
Description: 0190-00064 / CMS-458 PNEUMATIC PANEL W INTERCONNECT (0100-00056) / AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$254.23
Description: AMAT Applied Materials 0100-09058 Operator Control Panel Board PCB P5000 Working
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0100-20254 ASSY OPERATOR CONTROL PANEL WITH INTERLOCK SWITCH, 104663
farmoninc
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0100-09031 PCB ASSY, REMOTE GAS PANEL, 104969
farmoninc
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0100-09031 PCB ASSY, REMOTE GAS PANEL, 104992
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$569.10
Description: 810-017058-002 / PCB GAS PANEL MOTHER / LAM RESEARCH
jtechsemi
[view on eBay]
Used 2
in stock
$5,000.00
Description: Applied Materials CENTURA SMIF KICK PANEL 0100-76280 AMAT
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-24632 DUAL DOOT PANEL, 104994
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-24632 DOOT PANEL, 104993
farmoninc
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0100-09031 PCB ASSY, REMOTE GAS PANEL, 115184
usedeqsales
[view on eBay]
Used 2
in stock
$654.23
Description: AMAT Applied Materials 0100-00572 300mm Gas Panel Distribution PCB Working
farmoninc
[view on eBay]
Used 1
in stock
$145.00
Description: AMAT 0150-18017 Cable Assy Gas Panel Interlock, 115304
farmoninc
[view on eBay]
Used 1
in stock
$550.00
Description: Novellus 08-042394-00 Control Panel, 17-048626-00, PE 6900, 115378
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0100-00446 GAS PANEL CONTROLLER BACKPPLANE, 115400
farmoninc
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0100-09031 PCB ASSY, REMOTE GAS PANEL, 115185
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-41006 PANEL + AMAT 0020-40275 COVER, 115222
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0140-09123 HARNESS ASSY EV VOLTAGE TO GAS PANEL, 115275
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0100-00446 GAS PANEL CONTROLLER BACKPPLANE, 115472
farmoninc
[view on eBay]
Used 1
in stock
$895.00
Description: AMAT 0150-20258 CABLE REMOTE GAS INTERLOCK, EXPANDED GAS PANEL ENDURA, 115285
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0100-00446 GAS PANEL CONTROLLER BACKPPLANE, 115485
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0100-00407 PCB ASSEMBLY, CVD 300MM GAS PANEL STATUS, 115521
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0100-00407 PCB ASSEMBLY, CVD 300MM GAS PANEL STATUS, 115527
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0100-00407 PCB ASSEMBLY, CVD 300MM GAS PANEL STATUS, 115528
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0100-00407 PCB ASSEMBLY, CVD 300MM GAS PANEL STATUS, 115526
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0100-00407 PCB ASSEMBLY, CVD 300MM GAS PANEL STATUS, 115525
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0100-00407 PCB ASSEMBLY, CVD 300MM GAS PANEL STATUS, 115524
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0100-00407 PCB ASSEMBLY, CVD 300MM GAS PANEL STATUS, 115523
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0100-00407 PCB ASSEMBLY, CVD 300MM GAS PANEL STATUS, 115522
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0020-09639 PANEL, FRONT, FILLER 3.20 IN, 115556
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0100-20226 OPERATOR PANEL FOR JENOP W/FAN CONTROL PCB BOARD, 115812
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0100-00443 HDP 300mm GAS PANEL DISTRIBUTION PCB BOARD, 115818
farmoninc
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0020-09900 Panel, 115918
katiil3
[view on eBay]
Used 1
in stock
$499.00
Description: Applied materials AMAT 0022-39078 Panel Bracket, OBIS CRYO Controller IN C
j316gallery
[view on eBay]
Used 1
in stock
$1,575.00
Description: 32772 APPLIED MATERIALS WATER FLOW METER, DISTRIBUTION PANEL 0190-05138
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0100-76046 Gas Panel Interface Board, PCB, FAB 0110-76046, 117157
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: Lam Research 810-017030-005, 810-17030-5 Gas Panel PCB ASSY Rev. 2 , 117371
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0100-76046 Gas Panel Interface PCB, 117644
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0100-76046 Gas Panel Interface PCB, 117643
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0020-34028 GAS PANEL DEGASER FOR AMAT CVD DEGAS MODULE, 0050-10496, 117675
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0030-70085 BEZEL Monitor Front Panel, REV A, 117724
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0030-70085 BEZEL Monitor Front Panel, REV A, 117723
usedeqsales
[view on eBay]
Used 1
in stock
$305.23
Description: AMAT Applied Materials 0100-09058 Operator Control Panel PCB Working Surplus
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: 2 AMAT 0150-76234 EMC COMP, CALBE ASSY, PANEL TO REMOTE, 117967
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB Rev. C, 117973
jtechsemi
[view on eBay]
Used 1
in stock
$600.00
Description: Applied Materials 0190-02820 POWER SUPPLY FLAT PANEL MIRRA 300 MM AMAT
farmoninc
[view on eBay]
Used 1
in stock
$795.00
Description: AMAT 0100-09145 RS232 Video Interconnect Board Assy, PCB, Panel, 118227
j316gallery
[view on eBay]
Used 1
in stock
$4,200.00
Description: 32810 APPLIED MATERIALS GAS PANEL COMMUNICATION BOX ASSY 0190-16176
farmoninc
[view on eBay]
Used 1
in stock
$95.00
Description: AMAT 0150-09701 Cable, Assy. Dual Freq. Interconnect To Panel, 118405
farmoninc
[view on eBay]
Used 1
in stock
$95.00
Description: AMAT 0150-09701 Cable, Assy. Dual Freq. Interconnect To Panel, 118404
farmoninc
[view on eBay]
Used 1
in stock
$95.00
Description: AMAT 0150-09701 Cable, Assy. Dual Freq. Interconnect To Panel, 118406
farmoninc
[view on eBay]
Used 1
in stock
$395.00
Description: AMAT 0150-09604 CABLE,SPARE ANALOG GAS PANEL INTERCONNEC, 118453
farmoninc
[view on eBay]
Used 1
in stock
$190.00
Description: AMAT 0150-09603 CABLE,ANALOG #2 GAS PANEL INTERCONNECT, 118452
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0150-09602 CABLE, ANALOG #1 GAS PANEL INTERCONNECT, 118451
farmoninc
[view on eBay]
Used 1
in stock
$160.00
Description: AMAT 0150-09600 CABLE DIGITAL #2 GAS PANEL, 118450
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0150-09601 CABLE,SPARE DIGITAL GAS PANEL INTERCONNE, 118448
jtechsemi
[view on eBay]
Used 1
in stock
$5,000.00
Description: Applied Materials 0100-35057 wASSY PCB GAS PANEL DISTR AMAT
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-39633 PANEL, REAR, RIGHT, LOWER, SMIF FE, 200M, 117866
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0020-36472 PANEL BLANK, 118323
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0020-39906 BOTTOM PANEL SLD, 118321
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0040-05464 BUS BAR SIDE PANEL FEED, 118598
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0040-13865 REV P1, PANEL, 118600
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0150-09602 CABLE, ANALOG #1 GAS PANEL INTERCONNECT, 118961
farmoninc
[view on eBay]
Used 1
in stock
$190.00
Description: AMAT 0150-09603 CABLE, ANALOG #2 GAS PANEL INTERCONNECT, 116030
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0150-76234 EMC COMP, CALBE ASSY, PANEL TO REMOTE, 116028
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0150-76234 EMC COMP, CALBE ASSY, PANEL TO REMOTE, 116027
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0150-09601 CABLE,SPARE DIGITAL GAS PANEL INTERCONNE, 116052
farmoninc
[view on eBay]
Used 1
in stock
$160.00
Description: AMAT 0150-09600 CABLE DIGITAL #2 GAS PANEL, 116152
katiil3
[view on eBay]
Used 1
in stock
$499.00
Description: Applied materials AMAT 0022-39078 Panel Bracket, OBIS CRYO Controller open box
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0040-13226 OBS-BUS BAR, MAIN PANEL FEED, PH B, CENTURA, 208V, 116302
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0040-13226 OBS-BUS BAR, MAIN PANEL FEED, PH B, CENTURA, 208V, 116301
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0040-13226 OBS-BUS BAR, MAIN PANEL FEED, PH B, CENTURA, 208V, 116300
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0040-13226 OBS-BUS BAR, MAIN PANEL FEED, PH B, CENTURA, 208V, 116299
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0040-13226 OBS-BUS BAR, MAIN PANEL FEED, PH B, CENTURA, 208V, 116298
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0100-09115 Gas Panel Analog Board PCB Rev. P2, 116388
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0100-09115 Gas Panel Analog Board PCB Rev. P2, 116387
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,998.56
Description: 0040-00250 / PANEL TEC OPERATOR CONTROL PANEL ASSY / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0150-13116 CABLE ASSY, GAS PANEL POWER 25FT, 119328
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0020-20084 Panel, 119407
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0060-21215 LABEL ENDURA VHP FRONT PANEL, 116713
svcheck
[view on eBay]
Used 1
in stock
$86.81
Description: AMAT APPLIED MATERIALS 0100-20032 Operator Control Panel
farmoninc
[view on eBay]
Used 1
in stock
$240.00
Description: 2 AMAT 0150-76175 EMC ,COMP, CABLE ASSY, OPTERATOR PANEL, 233067172, 120886
farmoninc
[view on eBay]
NEW 1
in stock
$1,750.00
Description: AMAT 0240-13282 KIT, EXHAUST PANEL SWITCH CLASS 1, 120007
automationlink44
[view on eBay]
Used 1
in stock
$175.00
Description: AMAT Applied Materials 0100-09153 Gas Panel Board PCB cards Rev. E

This tag has been viewed 1 time

Most recent views:

United States of America Thursday, May/09/2024 at 10:12 am CST
United States of America Thursday, May/09/2024 at 9:57 am CST
United States of America Thursday, May/09/2024 at 9:47 am CST
United States of America Thursday, May/09/2024 at 9:37 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 9:23 am CST
India Thursday, May/09/2024 at 9:22 am CST
United States of America Thursday, May/09/2024 at 9:20 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 9:07 am CST
Singapore Thursday, May/09/2024 at 9:03 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 8:51 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
usedeqsales Used - $808.12 1 Aug/16/12 Jun/18/13
Description: TEL Unity II Millipore FC-2979MEP5 MFC Panel Assembly lot of 2 untested as-is
jtmtech Used - $100.00 0 Sep/15/12 Oct/15/12
Description: LAM RESEARCH EMO PANEL 853-017152-003
usedeqsales Used - $3,503.12 1 Mar/14/12 Apr/17/15
Description: LAM Research 4420 Etcher 853-024401-100-9 Orbital Gas Panel Assembly as-is
usedeqsales Used - $1,751.56 4 Mar/14/12 Jun/20/21
Description: Lam Research 853-024403-100-10 Orbital Gas Panel Assembly 4420 Etcher As-Is
usedeqsales Used - $203.56 1 Jul/20/12 Dec/07/12
Description: Pro-Face Operator Panel GP37W2-BG41-24V Used Working
bobsgoodies NEW - $168.00 0 Dec/16/12 Jan/15/13
Description: MIRRA AMAT 1040-01178 Futurestar 154-030 PTFE Flow Meter/Flow Control Panel Mt.
bobsgoodies NEW - $168.00 0 Dec/16/12 Jan/15/13
Description: MIRRA AMAT 1040-00073, Flow Meter 5-75 ML/MIN Futurestar 118-00075 Panel Mt.
athomemarket NEW - $282.99 0 Dec/16/12 Jan/15/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket Used - $284.39 0 Dec/19/12 Jan/18/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $283.49 0 Dec/20/12 Jan/19/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
usedeqsales NEW - $2,242.12 0 Dec/28/12 May/01/15
Description: AMAT Applied Materials 0010-45649 MFC Neon Purge Panel new
systasemi Used - $150.00 0 Jan/01/13 Jan/31/13
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
systasemi Used - $700.00 0 Jan/01/13 Jan/31/13
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
gesemiconductor Used - $350.00 0 Nov/15/12 Dec/19/17
Description: Lam Research 810-000671-001 Board Keyboard Control Panel
capitolareatech Scrap, for parts - $38.25 1 Mar/26/12 Jan/07/13
Description: QTERN DISPLAY, FLAT PANEL W/TOUCHSCR QTERM-K65 *FOR PARTS/NOT WORKING*
capitolareatech NEW - $155.00 2 Dec/12/12 Jan/08/13
Description: OMRON K3MA-J-A2 DISPLAY PANEL INDICATOR
bobsgoodies NEW - $29.50 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1040-01018 Texmate PM-35U Panel Meter 3 1/2" Digit
bobsgoodies NEW - $1,450.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0090-70025 Assy AC-Remote Top Panel
bobsgoodies NEW - $78.00 0 Jan/11/13 Feb/10/13
Description: AMAT 3870-01299 Whitey B-45XF8 Panel Mount 3-Way Brass Ball Valve, 1/2" NPT
bobsgoodies NEW - $8.50 0 Jan/11/13 Feb/10/13
Description: AMAT 1010-01277 Green LED Indicator 249-7968-3332-504 Panel mount 5V
athomemarket NEW - $282.99 0 Jan/16/13 Feb/15/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
bobsgoodies NEW - $168.00 0 Jan/18/13 Feb/17/13
Description: MIRRA AMAT 1040-01178 Futurestar 154-030 PTFE Flow Meter/Flow Control Panel Mt.
athomemarket Used - $315.99 0 Jan/18/13 Feb/17/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $314.99 0 Jan/19/13 Feb/18/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $212.99 0 Jan/20/13 Feb/19/13
Description: NEW Applied Materials AMAT 976505-001 Rev. G Alarm Status Panel Card/Board
surplusa2z NEW - $2,499.99 0 Jan/10/13 Jan/20/13
Description: ASML CELERITY 4022.486.05902 GAS PANEL MODULE
systechaz6024370100 Used - $249.99 1 Jan/22/13 Feb/23/15
Description: Applied Materials Model: 0100-00208 Gas Panel III Board, Rev. A <
metrology123 Used - $1,299.00 0 Jan/23/13 Sep/20/13
Description: Applied materials 300MM FEOL GAS PANEL INTLK 0090-03870
ocean-tech Used - $159.99 0 Jan/29/13 Feb/28/13
Description: Dainippon Screen MFG GESP-0012 Maintenance Operator Panel
gesemiconductor NEW - $480.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1042-219-01 Panel Door Gas Box Rear
gesemiconductor NEW - $640.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1042-220-01 Panel Door Gas Box Side
gesemiconductor NEW - $1,485.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1034-770-01 Enclosure Gas Panel Rear
gesemiconductor NEW - $1,100.00 0 Jan/31/13 Dec/19/17
Description: New ASM 02-196725-01 Assembly Panel Side Upper Pull
surplusa2z NEW - $2,499.99 0 Jan/30/13 Feb/09/13
Description: ASML CELERITY 4022.486.05902 GAS PANEL MODULE
svcstore Scrap, for parts - $41.99 0 Jan/31/13 Feb/03/13
Description: Atmos-Tech FTE22-3LO 23X23X9” Fan Filtration HEPA Panel Air Filter Unit PARTS
systasemi Used - $150.00 0 Feb/03/13 Mar/05/13
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
systasemi Used - $700.00 0 Feb/03/13 Mar/05/13
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
ifstech NEW - $5.00 0 Feb/02/13 Oct/13/21
Description: Applied Materials Led Panel Clip 0690-01025
usedeqsales NEW - $502.13 3 Feb/05/13 May/05/15
Description: AMAT Applied Materials 0190-14224 Flex Distribution Panel Assembly new
bobsgoodies Scrap, for parts - $75.00 0 Feb/11/13 Mar/07/13
Description: Applied Materials 0100-09115 Gas Panel Analog Board Assembly, Rev. C
bobsgoodies NEW - $29.50 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1040-01018 Texmate PM-35U Panel Meter 3 1/2" Digit
bobsgoodies NEW - $1,250.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0090-70025 Assy AC-Remote Top Panel
bobsgoodies NEW - $78.00 0 Feb/11/13 Mar/13/13
Description: AMAT 3870-01299 Whitey B-45XF8 Panel Mount 3-Way Brass Ball Valve, 1/2" NPT
farmoninc Used - $1,750.00 0 Feb/14/13 Sep/12/18
Description: AMAT 0010-00006 RF RACK Control panel, back mount RF generator rack
athomemarket NEW - $282.99 0 Feb/15/13 Mar/17/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
bobsgoodies NEW - $168.00 7 Feb/18/13 Mar/08/13
Description: MIRRA AMAT 1040-01178 Futurestar 154-030 PTFE Flow Meter/Flow Control Panel Mt.
athomemarket Used - $315.99 0 Feb/18/13 Mar/20/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $314.99 0 Feb/19/13 Mar/21/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
systasemi Used - $700.00 0 Mar/07/13 Apr/06/13
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 Mar/07/13 Apr/06/13
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
bobsgoodies Scrap, for parts - $75.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials 0100-09115 Gas Panel Analog Board Assembly, Rev. C
bobsgoodies NEW - $127.00 0 Mar/08/13 Apr/07/13
Description: MIRRA AMAT 1040-01178 Futurestar 154-030 PTFE Flow Meter/Flow Control Panel Mt.
bobsgoodies NEW - $1,250.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-70025 Assy AC-Remote Top Panel
bobsgoodies NEW - $29.50 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1040-01018 Texmate PM-35U Panel Meter 3 1/2" Digit
bobsgoodies NEW - $78.00 0 Mar/13/13 Apr/12/13
Description: AMAT 3870-01299 Whitey B-45XF8 Panel Mount 3-Way Brass Ball Valve, 1/2" NPT
d-dog0818 Used - $399.95 1 Mar/14/13 Sep/23/13
Description: Applied Materials AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
bobsgoodies NEW - $347.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0680-01325 CB Back Panel 54 Positions Square D W/Neutral
bobsgoodies NEW - $157.00 0 Mar/18/13 Apr/17/13
Description: MIRRA AMAT 1040-00073 5-75 cc/min PTFE Flow Meter Futurestar 118-00075 Panel Mt
athomemarket NEW - $282.99 0 Mar/18/13 Apr/17/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
capitolareatech NEW - $350.00 1 Mar/19/13 Apr/19/13
Description: AMAT 0100-09106 PCB ASSY EXPANDED GAS PANEL
athomemarket Used - $315.99 0 Mar/20/13 Apr/19/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $314.99 0 Mar/21/13 Apr/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
systasemi Used - $150.00 0 Apr/07/13 May/07/13
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
systasemi Used - $700.00 0 Apr/07/13 May/07/13
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
bobsgoodies Scrap, for parts - $25.00 0 Apr/08/13 May/08/13
Description: Applied Materials 0100-09115 Gas Panel Analog Board Assembly, Rev. C
bobsgoodies NEW - $87.00 0 Apr/08/13 May/08/13
Description: MIRRA AMAT 1040-01178 Futurestar 154-030 PTFE Flow Meter/Flow Control Panel Mt.
bobsgoodies NEW - $347.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0680-01325 CB Back Panel 54 Positions Square D W/Neutral
bobsgoodies NEW - $157.00 0 Apr/17/13 May/17/13
Description: MIRRA AMAT 1040-00073 5-75 cc/min PTFE Flow Meter Futurestar 118-00075 Panel Mt
athomemarket NEW - $282.99 0 Apr/17/13 May/17/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket Used - $315.99 0 Apr/19/13 May/19/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $314.99 0 Apr/20/13 May/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Used - $409.99 0 Apr/29/13 May/06/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket Used - $409.99 0 May/07/13 Jun/06/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
used1eqsales Used - $1,252.57 0 May/07/13 Sep/04/13
Description: AMAT Operator Control Panel I/O Block 0010-21745
bobsgoodies Scrap, for parts - $25.00 0 May/10/13 May/17/13
Description: Applied Materials 0100-09115 Gas Panel Analog Board Assembly, Rev. C
bobsgoodies NEW - $87.00 0 May/10/13 May/17/13
Description: MIRRA AMAT 1040-01178 Futurestar 154-030 PTFE Flow Meter/Flow Control Panel Mt.
bobsgoodies NEW - $15.75 0 May/17/13 Jul/09/13
Description: Applied Materials 0150-76993 Cable Assy, Dual Freq INT TO Panel
bobsgoodies NEW - $87.00 0 May/17/13 Jul/09/13
Description: MIRRA AMAT 1040-01178 Futurestar 154-030 PTFE Flow Meter/Flow Control Panel Mt.
bobsgoodies NEW - $78.00 0 May/17/13 Jul/09/13
Description: AMAT 3870-01299 Whitey B-45XF8 Panel Mount 3-Way Brass Ball Valve, 1/2" NPT
athomemarket Used - $315.99 0 May/20/13 Jun/19/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $314.99 0 May/21/13 Jun/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
jojoequip NEW - $200.00 0 May/25/13 Jul/17/13
Description: AMAT ADVANCED ENERGY 1140-01202 PS DISPLAY MONITOR PANEL REMOTE FOR MDX-S
farmoninc Used - $350.00 1 May/28/13 Sep/04/19
Description: AMAT 0100-20181 Operator control panel with interlock switch bd
athomemarket Used - $409.99 0 Jun/06/13 Jul/06/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
bobsgoodies NEW - $29.50 0 Jun/07/13 Jul/09/13
Description: Applied Materials 1040-01018 Texmate PM-35U Panel Meter 3 1/2" Digit
bobsgoodies NEW - $1,250.00 0 Jun/07/13 Jun/26/13
Description: Applied Materials 0090-70025 Assy AC-Remote Top Panel
athomemarket NEW - $282.99 0 Jun/16/13 Jul/16/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket Used - $315.99 0 Jun/19/13 Jul/19/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
farmoninc NEW - $3,500.00 1 Jun/19/13 Dec/29/17
Description: AMAT 0242-11215 Kit, Ergo arm with flat panel and keyboard
capitolareatech NEW - $1.33 0 Jun/19/13 Sep/12/14
Description: AMAT 3200-90110 CAB ACC. PANEL FRONT 4HP 6U
athomemarket NEW - $314.99 0 Jun/20/13 Jul/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
supertechshop NEW - $1,150.00 0 Jun/21/13 Jul/21/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Jun/24/13 Jul/24/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
athomemarket Used - $368.99 0 Jul/06/13 Aug/05/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
dr.fantom Used - $600.00 0 Jul/17/13 Feb/12/15
Description: Applied Materials AMAT 0190-14415 PRESSURE DISPLAY 300MM FI PANEL
athomemarket Used - $284.39 0 Jul/19/13 Aug/18/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $283.49 0 Jul/20/13 Aug/19/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
supertechshop NEW - $1,150.00 0 Jul/24/13 Aug/23/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Jul/24/13 Aug/23/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
farmoninc NEW - $150.00 0 Aug/05/13 May/18/23
Description: AMAT 0040-00013 Filter Panel, VME Controller, 323542
athomemarket Used - $368.99 0 Aug/05/13 Sep/04/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
systasemi Used - $150.00 0 Aug/11/13 Sep/10/13
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
systasemi Used - $700.00 0 Aug/11/13 Sep/10/13
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
capitolareatech NEW - $750.00 0 Aug/14/13 Oct/08/14
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS)
athomemarket NEW - $254.69 0 Aug/15/13 Sep/14/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket Used - $284.39 0 Aug/18/13 Sep/17/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $283.49 0 Aug/19/13 Sep/18/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
bobsgoodies NEW - $1,250.00 0 Sep/04/13 Oct/28/13
Description: Applied Materials 0090-70025 Assy AC-Remote Top Panel
athomemarket Used - $368.99 0 Sep/04/13 Oct/04/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
systasemi Used - $150.00 0 Sep/10/13 Oct/10/13
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
systasemi Used - $700.00 0 Sep/10/13 Oct/10/13
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
athomemarket NEW - $254.69 0 Sep/14/13 Oct/14/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket Used - $284.39 0 Sep/17/13 Oct/17/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $283.49 0 Sep/18/13 Oct/18/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
supertechshop NEW - $1,150.00 0 Sep/26/13 Oct/26/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Sep/26/13 Oct/26/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
athomemarket Used - $409.99 0 Oct/04/13 Nov/03/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
adickson NEW - $24.75 1 Oct/05/13 Oct/10/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
wideerp01 NEW - $29.99 0 Oct/09/13 Oct/16/13
Description: Applied Materials 0021-11514 (Gas Panel) Welddement Bracket
adickson NEW - $24.75 0 Oct/10/13 Oct/15/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
systasemi Used - $700.00 0 Oct/14/13 Nov/13/13
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 Oct/14/13 Nov/13/13
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
athomemarket NEW - $282.99 0 Oct/14/13 Nov/13/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
usedeqsales NEW - $1,810.13 0 Oct/15/13 Apr/30/15
Description: NR-498-P035 SMC Control Panel Display Unit AMAT 0190-00645 New
wideerp01 NEW - $29.99 0 Oct/16/13 Oct/23/13
Description: Applied Materials 0021-11514 (Gas Panel) Welddement Bracket
prism_electronics5 NEW - $5,999.95 5 Oct/16/13 Nov/26/14
Description: NEW! Lam Research 853-495374-521 Control Panel Assembly w/ Boards 810-707183-001
prism_electronics1 NEW - $69.99 0 Oct/16/13 Jun/09/16
Description: Lam Research 714-013119-001 Cover Access Front Panel 5508B1
adickson NEW - $24.75 0 Oct/17/13 Oct/22/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
athomemarket NEW - $314.99 0 Oct/18/13 Nov/17/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Used - $315.99 0 Oct/17/13 Nov/16/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
usedeqsales NEW - $1,610.13 0 Oct/21/13 May/01/15
Description: Quality Model 8496 Power Distribution Panel Assembly AMAT 0190-24710 New
adickson NEW - $24.75 0 Oct/22/13 Oct/27/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
sparepartssolution NEW - $500.00 0 Oct/24/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-01052 PANEL BRIDGE ASSY POWER SUPPLY USED
supertechshop NEW - $1,150.00 0 Oct/28/13 Nov/26/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Oct/28/13 Nov/26/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
adickson NEW - $24.75 10 Oct/28/13 Feb/27/14
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
athomemarket Used - $229.99 0 Oct/28/13 Nov/04/13
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket NEW - $419.99 0 Oct/30/13 Nov/06/13
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
wideerp01 NEW - $29.99 0 Nov/01/13 Nov/11/15
Description: Applied Materials 0021-11514 (Gas Panel) Welddement Bracket
athomemarket Used - $409.99 0 Nov/03/13 Dec/03/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket Used - $207.99 0 Nov/05/13 Nov/12/13
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
offerandown Used - $59.00 1 Nov/06/13 Nov/13/13
Description: 1 Mirra Flat Panel Display "A" 0010-77529 with keyboard AMAT
athomemarket NEW - $378.99 0 Nov/07/13 Nov/14/13
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket Used - $207.99 0 Nov/13/13 Dec/13/13
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
systasemi Used - $700.00 0 Nov/14/13 Dec/14/13
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 Nov/14/13 Dec/14/13
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
athomemarket NEW - $282.99 0 Nov/13/13 Dec/13/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $378.99 0 Nov/15/13 Dec/15/13
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
farmoninc Scrap, for parts - $8,500.00 1 Nov/26/13 Dec/02/13
Description: AMAT 0100-76050 ASSY Controller I/O, Back panel PCB board, Schematic 0130-76050
farmoninc Scrap, for parts - $11,500.00 0 Nov/26/13 Dec/05/13
Description: AMAT 0100-35208 Controller I/O, Back panel PCB board, Schematic 0100-35100
mghaines NEW - $12.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 0910-01073 FUSE HLDR BODY HI CURRENT SHOCKPROOF PANEL MTG
supertechshop NEW - $1,150.00 0 Nov/28/13 Dec/28/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Nov/28/13 Dec/28/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
bobsgoodies Scrap, for parts - $150.00 1 Dec/02/13 Dec/19/13
Description: Applied Materials AMAT 0021-77180 MIRRA N010-77345 Pressure Panel
wtservicecompany2011 Used - $500.00 0 Dec/03/13 Apr/23/14
Description: AMAT ASSY PCB GAS PANEL ANALOG / 0100-09115
farmoninc NEW - $60.00 1 Dec/03/13 Nov/25/16
Description: NEW AMAT 0021-08157 PAD, GAS PANEL DOOR LATCH, 300 MM TPG, 300MM
athomemarket Used - $409.99 0 Dec/03/13 Jan/02/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0041-00202 bottom panel final line
capitolareatech NEW - $487.50 1 Dec/12/13 Feb/21/14
Description: Applied Materials (AMAT) 0190-22286 Centura AP Gas Panel Temperature Controller
athomemarket Used - $209.99 0 Dec/13/13 Jan/12/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket NEW - $284.99 0 Dec/13/13 Jan/12/14
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
oka1298 Used - $329.99 0 Dec/14/13 Jun/05/14
Description: Pneumatic panel EASE for 8310 Series, Applied Material / 0100 00056 & 0190-00115
athomemarket NEW - $380.99 0 Dec/15/13 Jan/14/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
systasemi Used - $150.00 0 Dec/16/13 Jan/15/14
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
systasemi Used - $700.00 0 Dec/16/13 Jan/15/14
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
used1eqsales Used - $705.15 0 Dec/16/13 May/26/17
Description: AMAT Applied Materials Beamline Vacuum Control panel 0100-90533 AMAT Quantum X
athomemarket Used - $317.99 0 Dec/16/13 Jan/15/14
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
oka1298 NEW - $4.99 1 Dec/26/13 Sep/19/14
Description: AMAT 3690-02032 SCR PANEL MTG 10-32 X 1/2L PHH W/WSHR-NYL (THK QTY 4)
mghaines NEW - $12.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 0910-01073 FUSE HLDR BODY HI CURRENT SHOCKPROOF PANEL MTG
athomemarket Used - $412.99 0 Jan/02/14 Feb/01/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
bobsgoodies Scrap, for parts - $150.00 0 Jan/13/14 Mar/18/14
Description: Applied Materials AMAT 0021-77180 MIRRA N010-77345 Pressure Panel
athomemarket Used - $209.99 0 Jan/12/14 Feb/11/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket NEW - $316.99 0 Jan/16/14 Feb/15/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
bobsgoodies NEW - $127.00 0 Jan/20/14 Jun/17/14
Description: MIRRA AMAT 1040-00073 5-75 cc/min PTFE Flow Meter Futurestar 118-00075 Panel Mt
tdindustrial NEW - $69.50 2 Jan/21/14 May/19/15
Description: AMAT Kit 0240-05650 LCF I/O Panel, Mainframe, See List Below, New
epicrew01 NEW - $1,990.00 1 Jan/23/14 Jun/27/14
Description: Applied Material AMAT 0242-46557 KIT,FLAT PANEL DISPLAY,NO VESA MOUNTING(#35-2)
epicrew01 Used - $100.00 0 Jan/23/14 Oct/06/21
Description: Applied Material AMAT 0850-00089 PEDESTAL MOUNT, FLAT PANEL DISPLAY VESA(#35-1)
athomemarket Used - $412.99 0 Feb/01/14 Mar/03/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
supertechshop NEW - $1,150.00 0 Feb/04/14 Mar/06/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Feb/04/14 Mar/06/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
excess-solutions Used - $250.00 0 Feb/06/14 Feb/11/14
Description: Datalux LMV10B-0002 touchscreen monitor flat panel LCD display 10"
mghaines NEW - $12.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 0910-01073 FUSE HLDR BODY HI CURRENT SHOCKPROOF PANEL MTG
athomemarket Used - $209.99 0 Feb/11/14 Mar/13/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket NEW - $284.99 0 Feb/11/14 Mar/12/14
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
farmoninc NEW - $350.00 1 Feb/21/14 Dec/30/19
Description: AMAT 0040-32050 Back Panel, Control Box, Degasser
stifflersequipmentllc NEW - $233.75 0 Feb/21/14 Mar/18/15
Description: NOVELLUS TRI-FAB ASSOCIATES INC INTEGRATED FLOW SYSTEMS PANEL 17-166490-00 - NEW
prism_electronics7 Used - $299.94 0 Mar/13/14 Aug/02/22
Description: Novellus Kit Panel Clamshell Interlock Kit 05-162679-00 Rev. A 02-162674-00
athomemarket Used - $209.99 0 Mar/14/14 Apr/13/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
prism_electronics7 Used - $299.94 0 Mar/14/14 Jun/29/22
Description: Novellus 05-162679-00 Clamshell Kit Panel Interlock Kit 02-162674-00
athomemarket NEW - $380.99 0 Mar/16/14 Apr/15/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
prism_electronics5 Used - $309.99 0 Mar/21/14 Aug/23/22
Description: Novellus Kit Panel Clamshell Interlock Kit 05-162679-00 Rev. A 02-162674-00
athomemarket Used - $412.99 0 Apr/03/14 May/03/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
supertechshop NEW - $1,150.00 0 Apr/08/14 May/08/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Apr/08/14 May/08/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
athomemarket Used - $209.99 0 Apr/13/14 May/13/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
visionsemi Used - $225.00 1 Apr/16/14 May/12/14
Description: APPLIED MATERIALS AMAT P5000 FRONT BEZEL PANEL 0010-09066
visionsemi NEW - $595.00 0 Apr/16/14 Dec/07/15
Description: APPLIED MATERIALS AMAT 0150-09797 CABLE CHAMBER HEATER ASSY 28-LINE GAS PANEL
catalystparts NEW - $2,500.00 0 Apr/16/14 Sep/02/16
Description: Applied Materials Equipment Panel 0040-77542 with Pad Puller Option
athomemarket Used - $317.99 0 Apr/16/14 May/16/14
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $380.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket NEW - $199.99 0 Apr/17/14 May/17/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
systasemi Used - $700.00 0 Apr/20/14 May/20/14
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 Apr/20/14 May/20/14
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
wtservicecompany2011 Used - $500.00 0 Apr/28/14 Sep/12/14
Description: AMAT ASSY PCB GAS PANEL ANALOG / 0100-09115
pnpsemi Used - $800.00 0 Apr/30/14 Aug/25/20
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
athomemarket Used - $412.99 0 May/03/14 Jun/02/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $199.99 0 May/17/14 Jun/16/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Used - $317.99 0 May/16/14 Jun/15/14
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $380.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
farmoninc NEW - $350.00 1 May/19/14 Aug/09/17
Description: NEW AMAT 0021-13156 Panel, Right Side Bottom CVD gas box
systasemi Used - $700.00 0 May/22/14 Jun/21/14
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 May/22/14 Jun/21/14
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
usedeqsales Used - $2,805.14 0 May/23/14 Jul/08/22
Description: AMAT Applied Materials 0010-21745 Endura 5500 Operator Control Panel Used
xl-t_com Used - $210.00 3 May/29/14 Aug/31/16
Description: APPLIED MATERIALS - 0150-76234 - EMC COMP.,CALBE ASSY,PANEL TO REMOTE
prism_electronics7 Used - $299.99 0 Jul/22/14 Aug/02/22
Description: Lam Research Holz Precision Panel 714-490361-021 Rev. E2 839-440462-218
athomemarket Used - $412.99 0 Aug/02/14 Sep/01/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket Used - $317.99 0 Aug/14/14 Sep/13/14
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $380.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
farmoninc NEW - $75.00 0 Aug/15/14 Jun/01/23
Description: AMAT 0020-28002 Seal, Interface Panel, Reflexion 300MM
tdindustrial Used - $1,295.00 1 Aug/18/14 Aug/26/14
Description: LAM 2080 TCU Refrigeration System Control Panel, 852-110198-020
athomemarket NEW - $199.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
supertechshop NEW - $1,150.00 0 Aug/19/14 Sep/18/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Aug/19/14 Sep/18/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
usedeqsales Used - $808.14 2 Aug/20/14 Jan/21/20
Description: Lam Research 810-017075-003 Gas Panel Interlock PCB Rainbow 4420 Used Working
athomemarket NEW - $284.99 0 Aug/21/14 Sep/20/14
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
systasemi Used - $500.00 0 Aug/25/14 Sep/24/14
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 Aug/25/14 Sep/24/14
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
bobsgoodies NEW - $87.00 0 Aug/27/14 Oct/02/14
Description: MIRRA AMAT 1040-01178 Futurestar 154-030 PTFE Flow Meter/Flow Control Panel Mt.
bobsgoodies NEW - $97.00 0 Aug/27/14 Sep/18/17
Description: MIRRA AMAT 1040-00073 5-75 cc/min PTFE Flow Meter Futurestar 118-00075 Panel Mt
athomemarket Used - $412.99 0 Sep/01/14 Oct/01/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
usedeqsales Used - $1,209.14 0 Sep/08/14 May/01/15
Description: Celerity 9010-02456 Autoclean Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $1,209.14 0 Sep/08/14 May/01/15
Description: Celerity 9010-02455 Autoclean Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $1,209.14 0 Sep/08/14 May/01/15
Description: Celerity 9010-02214 Autoclean Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $409.14 0 Sep/08/14 May/05/15
Description: Celerity 9240-05462 Mass Flow Controller Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $1,209.14 0 Sep/09/14 May/01/15
Description: Celerity 9010-01379 Autoclean Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $809.14 0 Sep/09/14 May/04/15
Description: Quality Transformer and Electronics 9208 Distribution Panel AMAT 0190-38695 Used
athomemarket Used - $209.99 0 Oct/11/14 Nov/10/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
grandbirdnet NEW - $600.00 0 Dec/03/14 Jun/01/23
Description: AMAT 0140-11548 HARNESS ASSY MFC PANEL 1, NEW
used1eqsales Used - $1,002.57 1 Dec/05/14 Oct/28/15
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev B Quantum X Gas Box used working
used1eqsales Used - $1,002.57 1 Dec/05/14 Sep/27/16
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev A Quantum X Gas Box used working
athomemarket Used - $211.99 0 Dec/10/14 Jan/09/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
dr.fantom Used - $5,000.00 1 Oct/07/14 Dec/01/14
Description: Applied Materials 0100-35086 PCB ASSY GAS PANEL DISTRIBUTION
athomemarket NEW - $383.99 0 Dec/13/14 Jan/12/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket Used - $320.99 0 Dec/13/14 Jan/12/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $199.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
gigabitpartsolutions Refurbished - $375.00 0 Dec/17/14 Jul/24/15
Description: PCB,GAS PANEL INTERFACE W/O STANDOFFS AMAT 0100-09153
athomemarket NEW - $286.99 0 Dec/19/14 Jan/18/15
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
gti-semi Used - $640.00 1 Dec/19/14 Aug/16/17
Description: Lam, PC Board - GAS PANEL, p/n 810-017075-003
tdindustrial Used - $375.00 0 Dec/22/14 Nov/17/15
Description: CTI-Cryogenics Remote Control Panel for On-Board Pump, AMAT 3620-02332
farmoninc NEW - $750.00 0 Dec/23/14 Feb/06/15
Description: AMAT 0040-77319 CLEAR WINDOW PANEL, LOWER
capitolareatech NEW - $20.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-97472 Front Panel to Source MAG Controller
capitolareatech NEW - $150.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-98012 PANEL FRONT 120V PSU 68000VME
capitolareatech NEW - $66.22 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-09100 FRONT PANEL,INTELLIGENT INTFC
capitolareatech NEW - $171.28 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-03921 Louver, Left Panel, 300MM 4.0 FI
capitolareatech NEW - $150.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-06371 Panel, Floor eMAX Position D, C2
capitolareatech NEW - $170.84 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-09277 Front Panel, Cooling, Heat Exchanger
capitolareatech NEW - $167.50 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0030-98014 Moulding, Side Panel, RH
capitolareatech NEW - $35.65 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00435 Cable Assembly, Gas Panel, CH A, SERIPLEX
capitolareatech NEW - $35.32 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-00994 CABLE ASSY.,MF REAR PANEL UPPER INTLK
capitolareatech NEW - $110.36 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-30072 RAIL, UNIVERSAL,MOD. GAS PANEL
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3780-01103 SPR FOR PEN PANEL FASTENER SST .500 LN
capitolareatech NEW - $4.20 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3690-01788 RAF 164-SS-.81-0 SCRCAPTIVE PANEL 10-32X13/
capitolareatech NEW - $500.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-01384 Pneumatic Panel, Gripper
capitolareatech NEW - $450.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9090-00988 9090-00988..BREAKER PANEL ASSEMBLY
capitolareatech NEW - $2,000.00 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 9090-01193 ASSY GAS PANEL CTRL (NO OVENS)
xl-t_com NEW - $3,000.00 0 Dec/29/14 Aug/31/16
Description: APPLIED MATERIALS - 0100-76046 - PCB GAS PANEL INTERFACE (W/O STANDOFFS)
athomemarket Used - $415.99 1 Dec/30/14 Jan/29/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
farmoninc NEW - $150.00 0 Dec/30/14 Dec/12/19
Description: AMAT 0150-20666 Cable Assy, Gas Panel power jumper, 622
farmoninc NEW - $175.00 0 Dec/31/14 Mar/02/23
Description: AMAT 0020-70033 PANEL CIRCUIT BREAKER
supertechshop NEW - $1,150.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
systasemi Used - $500.00 0 Jan/04/15 Feb/03/15
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 Jan/04/15 Feb/03/15
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
athomemarket Used - $564.99 1 Jan/05/15 Jan/12/15
Description: AMAT/Applied Materials 0040-32171 Gas Panel Safety Interlock Box Producer
athomemarket Used - $199.99 0 Jan/09/15 Feb/08/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
bornalliancecom Used - $750.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0040-09149 P-5000 Mark II 28 Line Gas Panel Enclosure (Used)
athomemarket NEW - $367.99 0 Jan/12/15 Feb/11/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket Used - $307.99 0 Jan/12/15 Feb/11/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
farmoninc NEW - $750.00 0 Jan/13/15 Mar/02/23
Description: AMAT 0040-04120 Panel, Front, Operator I/F Wall
farmoninc NEW - $100.00 0 Jan/15/15 Mar/02/23
Description: AMAT 0020-75235 Panel, Blank Chamber, 400448
farmoninc Used - $250.00 0 Jan/15/15 Mar/02/23
Description: AMAT 0040-09154 PANEL TOP GAS CABINET, 400445
farmoninc Used - $250.00 0 Jan/15/15 Mar/02/23
Description: AMAT 0040-09154 PANEL TOP GAS CABINET
athomemarket NEW - $185.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $252.99 0 Jan/18/15 Feb/17/15
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
sparc88 Scrap, for parts - $350.00 0 Jan/19/15 Jan/26/15
Description: ***Lam Research 853-044612-001 Control Panel Assembly w/ Boards Parts/Repair***A
sparc88 Scrap, for parts - $350.00 0 Jan/19/15 Jan/26/15
Description: ***Lam Research 853-800083-013 Control Panel Assembly w/ Boards Parts/Repair***A
sparc88 Scrap, for parts - $150.00 0 Jan/26/15 Feb/02/15
Description: ***Lam Research 853-044612-001 Control Panel Assembly w/ Boards Parts/Repair***A
sparc88 Scrap, for parts - $150.00 0 Jan/26/15 Feb/02/15
Description: ***Lam Research 853-800083-013 Control Panel Assembly w/ Boards Parts/Repair***A
athomemarket Used - $401.99 0 Jan/29/15 Feb/28/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
ewastepals Used - $149.00 0 Feb/01/15 Aug/31/15
Description: Lam Research Control Panel 853-800083-113 Power Supply Interlock Panel
prism_electronics11 Used - $363.95 0 Feb/03/15 Jan/20/22
Description: LAM RESEARCH 714-022384-002 REV B Cov BHD TM 3LPMll V2.1 Safety Cover Panel
systasemi Used - $500.00 0 Feb/05/15 Mar/07/15
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 Feb/05/15 Mar/07/15
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
supertechshop NEW - $1,150.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
athomemarket Used - $199.99 0 Feb/08/15 Mar/10/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
bobsgoodies NEW - $155.00 1 Feb/10/15 Apr/17/15
Description: AMAT 1270-01060 Switch Air 2500 FPM Max Viton Seal, Gas Panel 308403-X2
athomemarket NEW - $367.99 0 Feb/11/15 Mar/13/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket Used - $307.99 0 Feb/11/15 Mar/13/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
dr.fantom NEW - $80.00 0 Feb/12/15 Dec/01/19
Description: Applied Materials 0020-27437 COVER TURBO PANEL SINGLE NT 20
dr.fantom NEW - $80.00 0 Feb/12/15 Dec/01/19
Description: Applied Materials 0020-82047 PLATE AC COVER OPERATOR CONTROL PANEL
dr.fantom Used - $920.00 0 Feb/12/15 Oct/12/19
Description: AMAT Applied Materials 0100-00572 0110-00572 Gas Panel Distribuiton EPI 300MM
dr.fantom Used - $299.00 1 Feb/12/15 Apr/10/17
Description: AMAT Applied Materials 0100-09106 Expanded Gas Panel Interface Board
athomemarket NEW - $185.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $252.99 0 Feb/17/15 Mar/19/15
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
wilus_v3zx7z Used - $395.00 0 Feb/25/15 Mar/27/15
Description: 0100-09115 Applied Materials Gas Panel Analog PCB
athomemarket Used - $401.99 0 Feb/28/15 Mar/30/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
ewastepals Used - $149.00 0 Mar/05/15 Aug/31/15
Description: Lam Research Control Panel 853-800083-113 Power Supply Interlock Panel
ewastepals Used - $299.99 0 Mar/06/15 Jul/09/15
Description: Lam Research Interlock Panel 853-044612-001 No Watlow Anafaze Card
ewastepals Used - $149.00 0 Mar/06/15 Aug/31/15
Description: Lam Research Interlock Panel 853-044612-001 With Watlow Anafaze Card
supertechshop NEW - $1,150.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
microwavetech Used - $499.99 1 Mar/08/15 Mar/22/15
Description: Lam Research Watlow Anafaze 778-900046-343 Panel Controller
systasemi Used - $150.00 0 Mar/09/15 Apr/08/15
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
systasemi Used - $500.00 0 Mar/09/15 Apr/08/15
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
xsysengineering NEW - $3,500.00 1 Oct/21/14 Mar/09/15
Description: Applied Materials (AMAT) 0100-35086 Gas Panel Dist PCB
athomemarket Used - $199.99 0 Mar/10/15 Apr/09/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket NEW - $367.99 0 Mar/13/15 Apr/12/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket Used - $307.99 0 Mar/13/15 Apr/12/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $185.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $252.99 0 Mar/20/15 Apr/19/15
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
wilus_v3zx7z Used - $395.00 0 Mar/27/15 Apr/26/15
Description: 0100-09115 Applied Materials Gas Panel Analog PCB
svcompucycle NEW - $1,150.00 0 Apr/08/15 May/08/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
svcompucycle NEW - $349.95 1 Apr/08/15 May/08/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
athomemarket Used - $199.99 0 Apr/09/15 May/09/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $307.99 0 Apr/12/15 May/12/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $367.99 0 Apr/13/15 May/13/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket NEW - $185.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $252.99 0 Apr/19/15 May/19/15
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
svcstore Used - $249.99 0 Apr/22/15 Apr/25/15
Description: Envirco 11111-001B Mac10-XL RSR HEPA 3-Speed Fan Filter Unit w/Light Panel
systasemi Used - $500.00 0 Apr/23/15 May/23/15
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 Apr/23/15 May/23/15
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
farmoninc NEW - $150.00 0 Apr/24/15 Mar/02/23
Description: AMAT 0150-00993 Cable Assembly, MF Lower Panel Interlock Ext, 401502
wilus_v3zx7z Used - $395.00 0 Apr/26/15 May/26/15
Description: 0100-09115 Applied Materials Gas Panel Analog PCB
athomemarket Used - $401.99 0 Apr/30/15 May/30/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
svcompucycle NEW - $349.95 2 May/08/15 Jun/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
svcompucycle NEW - $1,150.00 0 May/08/15 Jun/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
athomemarket Used - $199.99 0 May/10/15 Jun/09/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
used1eqsales Used - $3,005.15 0 May/11/15 Dec/10/16
Description: AMAT 0180-76109 300mm Mainframe AC Centura Panel Missing used working
athomemarket Used - $299.99 0 May/13/15 Jun/12/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $367.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
usedeqsales Used - $205.15 1 May/15/15 Nov/08/22
Description: AMAT Applied Materials 0100-09058 Operator Control Panel Board PCB Rev. G Used
athomemarket NEW - $149.99 0 May/16/15 Jun/15/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $252.99 0 May/19/15 Jun/18/15
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
microwavetech Used - $1,052.99 0 May/24/15 May/12/19
Description: Lam Research Watlow Anafaze 778-900046-403 Sensor TC Type J Panel Controller
systasemi Used - $150.00 0 May/26/15 Jun/25/15
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
systasemi Used - $500.00 0 May/26/15 Jun/25/15
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
keykorea Used - $1,119.00 0 May/27/15 Oct/10/18
Description: AMAT 0010-00006 RF RACK Control panel, back mount RF generator rack , USED
athomemarket Used - $401.99 0 May/30/15 Jun/29/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
gesemiconductor Used - $500.00 0 Jun/08/15 Dec/05/15
Description: APPLIED MATERIALS 0030-70085 Front Panel and Light Pen (no monitor cable)
gigabitpartsolutions NEW - $82.50 0 Jun/08/15 Jun/02/16
Description: RF Systems LAM RESEARCH (LAM) 853-190612-001 RF Cable 14in panel mount
athomemarket Used - $199.99 0 Jun/09/15 Jul/09/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
svcompucycle NEW - $349.95 2 Jun/10/15 Jun/10/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
svcompucycle NEW - $1,150.00 0 Jun/10/15 Jul/10/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
athomemarket NEW - $367.99 0 Jun/12/15 Jul/12/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket Used - $299.99 0 Jun/12/15 Jul/12/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
svcompucycle NEW - $349.95 0 Jun/13/15 Jul/13/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
athomemarket NEW - $134.99 0 Jun/15/15 Jul/15/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
gosemicat NEW - $450.00 0 Jun/15/15 Jan/16/24
Description: 0150-01686 AMAT Etch, Cable, Gas Panel AI/O
athomemarket NEW - $252.99 0 Jun/18/15 Jun/06/17
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
gosemicat NEW - $600.00 0 Jun/18/15 Jan/16/24
Description: 0150-01683 AMAT Etch, Cable Gas Panel DI/O
wilus_v3zx7z Used - $325.00 0 Jun/23/15 Jul/23/15
Description: 0100-09115 Applied Materials Gas Panel Analog PCB
systasemi Used - $500.00 0 Jun/26/15 Jul/26/15
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 Jun/26/15 Jul/26/15
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
athomemarket Used - $299.99 0 Jun/29/15 Jun/17/17
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
bobsgoodies2 Used - $150.00 0 Jul/02/15 Mar/31/22
Description: Applied Materials 0227-64935 Patlite Light Tower, panel mount R-G-Y AMAT
bobsgoodies2 Used - $5.25 0 Jul/07/15 Mar/31/22
Description: AMAT 3300-05879 PLC120-06 PLC Series Acetal Straight thru Panel Mount Ferruless
athomemarket Used - $199.99 0 Jul/09/15 Jun/27/17
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket NEW - $294.39 0 Jul/12/15 Nov/09/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket Used - $239.99 0 Jul/12/15 Nov/09/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
svcompucycle NEW - $1,150.00 0 Jul/13/15 Aug/12/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
svcompucycle NEW - $349.95 1 Jul/13/15 Jul/17/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
athomemarket NEW - $99.99 0 Jul/15/15 Jul/03/17
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
cjcollective2012 NEW - $100.00 0 Jul/27/15 Nov/24/15
Description: APPLIED MATERIALS 0150-18944 Cable EV MANIFOLD NO 2 GAS PANEL
systasemi Used - $500.00 0 Aug/02/15 Sep/01/15
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 Aug/02/15 Sep/01/15
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
svcompucycle NEW - $1,150.00 0 Aug/12/15 Sep/11/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
austieiscute Used - $1,799.99 1 Aug/13/15 Apr/11/17
Description: AMAT Applied Materials Assembly Gas Panel H2 Sensor 0010-35350 0090-35042
wilus_v3zx7z Used - $230.00 0 Aug/19/15 Sep/18/15
Description: 0100-09115 Applied Materials Gas Panel Analog PCB
systasemi Used - $500.00 0 Sep/03/15 Oct/03/15
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 Sep/03/15 Oct/03/15
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
usedeqsales Used - $508.15 0 Sep/08/15 Dec/07/15
Description: Lam Research 685-017705-005 AC Control Panel 210-017705-005 Used Working
svcompucycle NEW - $1,150.00 0 Sep/12/15 Oct/12/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
wilus_v3zx7z Used - $230.00 0 Sep/18/15 Oct/18/15
Description: 0100-09115 Applied Materials Gas Panel Analog PCB
systasemi Used - $500.00 0 Oct/04/15 Nov/03/15
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 Oct/04/15 Nov/03/15
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
svcompucycle NEW - $1,150.00 0 Oct/12/15 Nov/11/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
wilus_v3zx7z Used - $200.00 0 Oct/18/15 Nov/17/15
Description: 0100-09115 Applied Materials Gas Panel Analog PCB
bobsgoodies NEW - $40.00 0 Oct/23/15 Nov/22/15
Description: AMAT 0150-18014 CBL ASSY, GAS PANEL COUSTOMER INTERLOCK JUMPER APPLIED MATERIALS
usedeqsales Used - $161.15 0 Nov/05/15 Dec/05/15
Description: AMAT Applied Materials 0150-13116 Centura Gas Panel PC Power Cable Used Working
usedeqsales Used - $461.15 2 Nov/09/15 Oct/11/22
Description: AMAT Applied Materials 0100-09126 Remote Wiring Distribution Panel PCB Used
usedeqsales Used - $511.15 1 Nov/10/15 Feb/09/19
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB P5000 Used Working
auctionrus NEW - $150.00 1 Nov/10/15 Nov/15/22
Description: AMAT 0021-04010 COVER, FRONT PANEL, GPLIS2 ENC. 411091
usedeqsales Used - $361.15 0 Nov/10/15 Dec/10/15
Description: AMAT Applied Materials 0100-76000 N2 DryVac Distribution Board PCB Panel Used
svliquidate NEW - $1,150.00 0 Nov/12/15 Dec/12/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
wilus_v3zx7z Used - $200.00 0 Nov/27/15 Dec/27/15
Description: 0100-09115 Applied Materials Gas Panel Analog PCB
auctionrus NEW - $110.00 0 Nov/30/15 Jan/06/23
Description: AMAT 0720-91655 20KV Plug, Panel Mount
wilus_v3zx7z Used - $200.00 0 Dec/27/15 Jan/26/16
Description: 0100-09115 Applied Materials Gas Panel Analog PCB
svliquidate NEW - $349.95 0 Dec/29/15 Jan/28/16
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
usedeqsales Used - $3,001.16 0 Jan/04/16 Nov/03/16
Description: Hirata HQPLP-2DHP Quarter Panel Load Port Transfer System HQPLP2001 Used Working
used1eqsales Used - $701.16 0 Jan/04/16 Mar/15/18
Description: Nikon 2S017-450 SW-I/F1 Control Panel PCB 2S701-457 KAB11050/3201A-0 used
systasemi Used - $500.00 0 Jan/13/16 Feb/12/16
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 0 Jan/13/16 Feb/12/16
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
auctionrus NEW - $150.00 0 Jan/22/16 Apr/11/18
Description: AMAT 0020-18467 Rev.P1, Panel, Source Conditioning. 413616
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-64935 Patlight LIGHT TOWER, panel mount R-G-Y W
capitolareatech NEW - $333.29 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00754 Harness Assembly., MF UP/LOW Panel INTLK
capitolareatech NEW - $80.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-39337 C/A GAS PANEL 300MM OXIDE
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-75100 BRACKET, CB PANEL MTG
capitolareatech NEW - $170.84 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09277 Front Panel, Cooling, Heat Exchanger
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-52304 PANEL, LOWER ENLARGE
capitolareatech NEW - $53.42 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-10432 PANEL EXHAUST BOX REAR UPPER
capitolareatech NEW - $93.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-10431 PANEL EXHAUST BOX REAR LOWER
capitolareatech NEW - $672.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09657 Rear Panel CBL Liquid Source
capitolareatech NEW - $66.22 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09100 FRONT PANEL,INTELLIGENT INTFC
capitolareatech NEW - $48.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-81051 PANEL FRONT FILAMENT SUPPLY
capitolareatech NEW - $50.77 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78596 Panel, Ceiling, Right, Rear 18 3/8" X 17
capitolareatech NEW - $90.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78594 Panel, Ceiling Left
capitolareatech NEW - $28.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-77118 ROD, SUPPORT PANEL
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-76396 STANDOFF,PANEL BD BRKT,LONG
wilus_v3zx7z Used - $200.00 0 Jan/26/16 Feb/25/16
Description: 0100-09115 Applied Materials Gas Panel Analog PCB
auctionrus NEW - $200.00 0 Jan/27/16 Apr/11/18
Description: AMAT 0020-77371, 200010695, Cover, Plate, Panel. 413751
ecomicron NEW - $4,000.00 0 Feb/01/16 Oct/17/18
Description: 0140-70381, 0010-76046, 0190-09308, 0140-09329 AMAT,KIT GAS PANEL BASIC ELECT.
svliquidate NEW - $349.95 0 Feb/05/16 Mar/06/16
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
svliquidate NEW - $349.95 0 Feb/05/16 Feb/05/16
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
wafersystemsolution NEW - $199.00 0 Feb/09/16 Oct/13/21
Description: AMAT 3690-02032 SCR PANEL 10-32X 1/2 PHH W/WSHER-NYL (BOXQTY: 48), NEW
systasemi Used - $500.00 0 Feb/18/16 Mar/19/16
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
systasemi Used - $150.00 1 Feb/18/16 Mar/16/16
Description: AMAT 0100-09058 REV.H / Operator Control Panel BD / P5000 BD
ecomicron NEW - $3,500.00 2 Feb/22/16 Aug/22/17
Description: 0100-35086, AMAT, WGAS PANEL DISTRIBUTION BOARD
capitolareatech NEW - $150.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-10344 Assembly, Floor Panel, Right CTR 5.3 FI
capitolareatech NEW - $32.81 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-39412 PANEL FRONT CHAMBER INTERLOCKS RTP
capitolareatech NEW - $500.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-37765 PANEL, I/O GAS INPUT
capitolareatech NEW - $132.88 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-37739 Panel, Flow SW, Right, RTP
capitolareatech NEW - $87.27 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-36281 HARNESS, PRESS XDCR, STD VME GAS PANEL C
capitolareatech NEW - $313.87 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-14016 Harness Gas Panel
capitolareatech NEW - $49.88 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-09236 HARNESS ASSY EXPANDED GAS PANEL POWER
capitolareatech NEW - $2,000.00 1 Feb/25/16 Apr/14/16
Description: AMAT 0660-00223 Industrial Panel PC with 15" LCD display
capitolareatech NEW - $2,000.00 0 Feb/25/16 May/25/16
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS)
capitolareatech NEW - $450.00 0 Feb/25/16 Jun/24/16
Description: AMAT 9090-00988 9090-00988..BREAKER PANEL ASSEMBLY
capitolareatech NEW - $1,000.00 0 Feb/25/16 Jun/24/16
Description: AMAT 9010-02083 X-CRIPP Panel
capitolareatech NEW - $500.00 0 Feb/25/16 Jun/24/16
Description: AMAT 9010-01384 Pneumatic Panel, Gripper
wilus_v3zx7z Used - $190.00 0 Feb/25/16 Mar/26/16
Description: 0100-09115 Applied Materials Gas Panel Analog PCB
capitolareatech Used - $22.75 0 Feb/29/16 Jun/28/16
Description: AMAT 0150-37037 C/A ADAPATER STATUS LIGHT PANEL
capitolareatech Used - $55.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0140-35095 HARNESS ASSEMBLY; GAS PANEL
capitolareatech Used - $700.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0150-76177 GAS PANEL UMBILICAL CABLE ASSY
capitolareatech Used - $550.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0150-76178 EMC COMP., CABLE ASSY, GAS PANEL UMBILIC.
capitolareatech Used - $100.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0150-76175 EMC COMP.,CABLE ASSY,OPTERATOR PANEL,P26
capitolareatech Used - $175.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0150-35222 CABLE ASSEMBLY; GAS PANEL POWER
dr.dantom NEW - $30.00 1 Mar/02/16 Mar/17/16
Description: AMAT Applied Materials 3690-02150 SCR PANEL MTG 10-32 X 1.0L PHH W/WSHR-NYL NKL
capitolareatech Used - $5.00 0 Mar/02/16 Jun/30/16
Description: AMAT 4000-01276 CDCGE FRONT PANEL BLANK 3U 4HP AL ANDZ
capitolareatech Used - $25.00 0 Mar/02/16 Jun/30/16
Description: AMAT 4000-01273 CDCGE FRONT PANEL 4HP 6V
capitolareatech Used - $250.00 1 Mar/02/16 Jun/08/16
Description: AMAT 0100-20181 PCB ASSY, OPERATOR CONTROL PANEL WITH IN
svliquidate NEW - $349.95 0 Mar/07/16 Apr/06/16
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
intek22 Used - $299.00 1 Mar/10/16 Jun/19/17
Description: Applied Materials Mirra® - Front Panel Display, Video & Keyboard 0010-05388 AMAT
capitolareatech NEW - $250.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0040-52304 PANEL, LOWER ENLARGE
capitolareatech NEW - $250.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0030-98014 Moulding, Side Panel, RH
capitolareatech NEW - $250.00 0 Mar/16/16 Mar/16/16
Description: AMAT 0030-98014 Moulding, Side Panel, RH
capitolareatech NEW - $237.99 0 Mar/16/16 Sep/15/16
Description: AMAT 0020-10866 INSULATOR,PANEL,TOP,HOT BOX
rfsurplus123 NEW - $300.00 0 Mar/22/16 Jul/06/16
Description: 0100-09153, AMAT, PCB GAS PANEL BD
rfsurplus123 NEW - $350.00 0 Mar/22/16 Jul/06/16
Description: 0100-76046, AMAT, PCB GAS PANEL INTERFACE
svcstore Used - $599.99 0 Mar/23/16 Apr/22/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
wilus_v3zx7z Used - $190.00 0 Mar/26/16 Apr/25/16
Description: 0100-09115 Applied Materials Gas Panel Analog PCB
capitolareatech NEW - $150.00 0 Mar/27/16 Jun/25/16
Description: AMAT 0020-98012 PANEL FRONT 120V PSU 68000VME
rrbosox07061974 NEW - $19.99 0 Mar/29/16 Apr/28/16
Description: NEW AMAT Applied Materials 0140-03151 Centura Gas Panel PC Power Cable FREE SHIP
bobsgoodies2 NEW - $145.00 3 Mar/31/16 Mar/31/22
Description: AMAT 3870-01691 Whitey SS-43VCO4-A 1/4" VCR Angle Ball valve Panel mount
capitolareatech NEW - $25.00 0 Apr/05/16 Jul/04/16
Description: AMAT 1270-90284 Panel Switch, 15A, 125, 250 or 480VAC
svliquidate NEW - $349.95 0 Apr/07/16 May/07/16
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
gti-semi Used - $3,950.00 0 Apr/08/16 Nov/26/19
Description: AMAT, BEAMLINE VACUUM CONTROL PANEL, p/n 0090-91621 ITL
gti-semi Used - $4,720.00 0 Apr/08/16 Nov/26/19
Description: AMAT, Cambridge Fluid Systems GAS PANEL, p/n 0020-99377
buynsell3030 NEW - $80.00 0 Apr/09/16 May/09/16
Description: LAM RESEARCH 839-014410-101 Weldmt, Gas Inlet (Gas Panel)
systasemi Used - $500.00 0 Apr/11/16 May/11/16
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
capitolareatech NEW - $14.95 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-18382 BRKT TWO FINAL VALVES GAS PANEL LOW K HD
wilus_v3zx7z Used - $190.00 0 Apr/25/16 May/25/16
Description: 0100-09115 Applied Materials Gas Panel Analog PCB
cjcollective2012 NEW - $75.00 0 May/02/16 Jul/24/18
Description: APPLIED MATERIALS 0150-18944 Cable EV MANIFOLD NO 2 GAS PANEL
capitolareatech NEW - $1.00 0 May/02/16 Jul/01/16
Description: AMAT 0690-01542 Clamp Bushing STRN RLF 6N-4 BLK NYL 1/16 PANEL
capitolareatech NEW - $7.50 0 May/02/16 Jul/01/16
Description: NOVELLUS 15-115095-00 STRIP, BTM, REAR PANEL, OVEN
sammy_etek NEW - $2,500.00 0 May/10/16 Jun/01/17
Description: 0100-37901, Applied Materials, PCB,ASSY, GAS PANEL SAFETY INTLK
autoquip7 NEW - $4,900.00 0 May/09/16 Jul/25/22
Description: 0190-01543, Applied Materials, INTERLOCK GAS PANEL MODULE POLY-300MM
svcstore Used - $599.99 0 May/23/16 Jun/22/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
systasemi Used - $500.00 0 May/31/16 Jun/30/16
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
supertechshop NEW - $349.95 0 Jun/05/16 Jul/05/16
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
bobsgoodies NEW - $75.00 0 Jun/07/16 Jun/08/18
Description: Applied Materials 0150-76993 Cable Assy, Dual Freq INT TO Panel (Lot of 21)
gigabitpartsolutions NEW - $71.50 1 Jun/08/16 Feb/05/24
Description: Cable RF 14in panel mount (LAM) 853-190612-001
discount_computer_parts Used - $299.95 1 Jun/09/16 Jun/25/19
Description: Applied Material Bracket Guide Front Panel Door 0020-23034 0020-23033
visionsemi NEW - $595.00 0 Jun/10/16 May/26/17
Description: APPLIED MATERIALS AMAT 0150-09797 CABLE CHAMBER HEATER ASSY 28-LINE GAS PANEL
svcstore Used - $509.99 0 Jun/23/16 Jul/23/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
visionsemi NEW - $285.00 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0150-35539 CABLE ASSY GAS PANEL POWER 55FT
systasemi Used - $500.00 1 Jun/30/16 Jun/20/22
Description: AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
ecomicron Used - $300.00 1 Jul/07/16 Apr/22/21
Description: 0140-38236, AMAT, HARNESS GAS PANEL EXH/DR SW INTLK
capitolareatech NEW - $9.74 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-91783 CFA,PUMP PIPEWORK/ROOF PANEL
capitolareatech NEW - $150.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-10344 Assembly, Floor Panel, Right CTR 5.3 FI
capitolareatech NEW - $147.89 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-30243 PANEL, RIGHT SIDE, HEAT EXCHANGER, AMAT-
capitolareatech NEW - $80.34 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-30242 PANEL,REAR, HEAT EXCHANGER, AMAT-1
capitolareatech NEW - $33.77 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-27908 PANEL FRONT HATD DRIVE
capitolareatech NEW - $22.53 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-92531 PANEL,FRONT KEYSWITCH
capitolareatech NEW - $29.50 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-90266 PANEL SWITCH FACIA
capitolareatech NEW - $60.22 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-90077 PANEL, FRONT
capitolareatech NEW - $35.32 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-00994 CABLE ASSY.,MF REAR PANEL UPPER INTLK
capitolareatech NEW - $35.65 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-00435 Cable Assembly, Gas Panel, CH A, SERIPLEX, MEI, P
capitolareatech NEW - $110.36 0 Jul/08/16 Sep/15/16
Description: AMAT 0226-30072 RAIL, UNIVERSAL,MOD. GAS PANEL
capitolareatech NEW - $2,000.00 0 Jul/09/16 Sep/15/16
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS)
capitolareatech NEW - $450.00 0 Jul/09/16 Sep/15/16
Description: AMAT 9090-00988 9090-00988..BREAKER PANEL ASSEMBLY
capitolareatech NEW - $1,000.00 0 Jul/09/16 Sep/15/16
Description: AMAT 9010-02083 X-CRIPP Panel
capitolareatech Used - $22.75 0 Jul/09/16 Sep/15/16
Description: AMAT 0150-37037 C/A ADAPATER STATUS LIGHT PANEL
capitolareatech Used - $55.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0140-35095 HARNESS ASSEMBLY; GAS PANEL
capitolareatech Used - $700.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0150-76177 GAS PANEL UMBILICAL CABLE ASSY
capitolareatech Used - $550.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0150-76178 EMC COMP., CABLE ASSY, GAS PANEL UMBILIC.
capitolareatech Used - $100.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0150-76175 EMC COMP.,CABLE ASSY,OPTERATOR PANEL,P26
capitolareatech Used - $175.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0150-35222 CABLE ASSEMBLY; GAS PANEL POWER
capitolareatech Used - $25.00 0 Jul/10/16 Sep/15/16
Description: AMAT 4000-01273 CDCGE FRONT PANEL 4HP 6V
usedeqsales Used - $407.16 0 Jul/12/16 Apr/02/23
Description: AMAT Applied Materials 0040-07477 Enclosure CB Cleaner Panel 0180-00385 Used
ecomicron NEW - $2,500.00 1 Jul/21/16 Jul/07/22
Description: 0150-76205, AMAT, CABLE ASSY, GAS PANEL #2 UMBI
ecomicron Used - $2,500.00 0 Jul/21/16 Jul/10/18
Description: 0150-35202, AMAT, CABLE ASSY GAS PANEL UMBILICAL #1
ecomicron Used - $1,400.00 0 Jul/22/16 Jun/14/21
Description: 0150-76177, AMAT, EMC COMP.,CABLE ASSY,GAS PANEL UMBILICAL
electronics-abq Used - $349.95 1 Jul/25/16 Aug/31/22
Description: Applied Materials Gas Panel Board AMAT 0100-09153 Precision 5000
bornalliancecom Used - $995.00 0 Jul/25/16 Jul/20/20
Description: Applied Materials 0040-09149 P-5000 Mark II 28 Line Gas Panel Enclosure
smartelektronikgmbh NEW - $168.00 0 Jul/27/16 Jan/01/18
Description: AMAT 0240-10455 // KIT UPPER FACILITIES PANEL ETCH CHAMBER
bbs_express Used - $645.00 0 Jul/29/16 Aug/29/22
Description: Applied Materials AMAT 0100-90533 Rev C Beamline Vacuum Control panel
svcstore Used - $599.99 0 Jul/29/16 Aug/28/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
autoquip7 NEW - $1,100.00 0 Jul/31/16 Jul/25/22
Description: 0050-60944, APPLIED MATERIALS, WLDMT MANIFOLD, 2 VALVES LFT, LPCVD GAS PANEL
j316gallery Used - $149.55 0 Aug/05/16 Oct/22/21
Description: 5229 APPLIED MATERIALS PCB, OPERATOR CONTROL PANEL BOARD 0100-09058
farmoninc Used - $2,000.00 0 Aug/17/16 Mar/17/23
Description: AMAT 0010-77242 Valve Panel, 415767
farmoninc Used - $2,500.00 0 Aug/17/16 Mar/17/23
Description: AMAT 0010-77242 Valve Panel, 415765
farmoninc Used - $2,500.00 0 Aug/17/16 Mar/17/23
Description: AMAT 0010-77242 Valve Panel, 415766
gti-semi Used - $150.00 0 Aug/22/16 Nov/26/19
Description: Applied Materials, AMAT, PANEL, TOP, SOURCE CONDITIONING, p/n 0020-18465
kakkisung-6 Used - $1,499.00 1 Aug/26/16 Mar/18/21
Description: AMAT Applied Materials Assembly Gas Panel H2 Sensor 0090-35042
electronics-abq Used - $199.95 1 Aug/31/16 Jan/12/21
Description: Applied Materials Operator Control Panel Board 0100-09058 AMAT Precision 5000
svcstore Used - $599.99 0 Aug/29/16 Sep/28/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
autoquip7 Used - $510.00 0 Sep/06/16 Jul/25/22
Description: 0100-09106, Applied Materials, PCB ASSEMBLY,EXPANDED GAS PANEL INTERFACE BOARD
farmoninc NEW - $1,450.00 0 Sep/13/16 May/08/17
Description: AMAT 4060-01088 Rev.B, BES 6098-01,Pneumatic Manifold, Chamber Gas Panel. 416172
capitolareatech NEW - $124.71 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-06812 CABLE ASSEMBLY ETCH AP GAS PANEL CH A DN
capitolareatech NEW - $45.01 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-04859 CABLE, OPERATORS PANEL TO ADO
capitolareatech NEW - $150.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-02783 CABLE ASSY,GAS PANEL EV CONTROL 2, CVD
capitolareatech NEW - $125.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-02782 Cable Assembly, Gas Panel EV Control 1, CVD
capitolareatech NEW - $295.97 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-08083 4 CH TO BACK PANEL CABLE ASSEMBLY
capitolareatech NEW - $2,000.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0500-00190 HELIX IS CONTROLLER 19" PANEL
capitolareatech NEW - $25.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-90284 Panel Switch, 15A, 125, 250 or 480VAC
capitolareatech NEW - $2,000.00 0 Sep/20/16 Nov/19/16
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS)
capitolareatech NEW - $450.00 0 Sep/20/16 Nov/19/16
Description: AMAT 9090-00988 9090-00988..BREAKER PANEL ASSEMBLY
capitolareatech NEW - $1,000.00 0 Sep/20/16 Nov/19/16
Description: AMAT 9010-02083 X-CRIPP Panel
capitolareatech NEW - $18.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0021-21656 PANEL, BLANKOFF, DISK DRIVE SUPPORT, CON
svcstore Used - $599.99 0 Sep/29/16 Oct/29/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
powersell007 Used - $1,199.00 0 Oct/03/16 Dec/03/23
Description: APPLIED MATERIALS 0100-00581 IGL BASE BOARD/CARD GAS PANEL 300MM INTERLOCK *NEW*
sparesllc09 Used - $1,330.00 1 Oct/17/16 Oct/26/16
Description: 0190-35763 / WGAS PANEL SERIPLEX PCB,CH-SET C, PROD X / APPLIED MATERIALS
spsglobal Used - $350.00 0 Oct/24/16 Feb/10/17
Description: AMAT APPLIED MATERIALS 0240-22034 KIT LIFTER CONTROL PANEL USED
svcstore Used - $599.99 0 Oct/31/16 Nov/30/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
farmoninc NEW - $115.00 0 Nov/07/16 Apr/05/18
Description: AMAT 0040-75432 Panel, Front Light Tower Assy CMP Control, 418178
alamedaauction NEW - $295.00 0 Nov/09/16 Dec/09/16
Description: NEW AMAT 3620-02332 CTI-Cryogenics On-Board Pump Remote Keyboard Control Panel
testeqe NEW - $1,499.99 0 Nov/21/16 Jul/19/17
Description: NEW Novellus PN: 60-186996-00 C3 Altus Manifold Panel Assembly Pneucon
spsglobal NEW - $10.00 0 Nov/26/16 Mar/01/21
Description: AMAT APPLIED MATERIALS 0910-01020 FUSE HLDR 15A 250C PANEL MOUNT QIK CONN NEW
farmoninc NEW - $450.00 0 Nov/30/16 Jul/17/17
Description: AMAT 0040-78040 Rev.P2, AJX-P2-441, Panel, Drip, Pan. 419466
allforsale555 Used - $339.00 1 Dec/01/16 Sep/15/19
Description: AMAT 0100-20181 Operator control panel with interlock switch
svcstore Used - $599.99 0 Dec/01/16 Dec/31/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
allforsale555 Used - $299.00 0 Dec/11/16 Jul/29/21
Description: AMAT Applied Materials GAS PANEL BD. 0100-76258
alamedaauction NEW - $295.00 1 Dec/14/16 Jan/13/17
Description: NEW AMAT 3620-02332 CTI-Cryogenics On-Board Pump Remote Keyboard Control Panel
capitolareatech NEW - $66.22 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-09100 FRONT PANEL,INTELLIGENT INTFC
capitolareatech NEW - $53.42 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-10432 PANEL EXHAUST BOX REAR UPPER
capitolareatech NEW - $672.92 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-09657 Rear Panel CBL Liquid Source
capitolareatech NEW - $45.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-53247 BRACKET AC PANEL 300MM REFLEXION
capitolareatech NEW - $128.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-48893 PANEL, SLURRY BLANKOFF BULKHEAD CMP300MM
capitolareatech NEW - $250.00 1 Dec/19/16 Aug/19/19
Description: AMAT 0030-98014 Moulding, Side Panel, RH
capitolareatech NEW - $144.85 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36208 LINE DOPANT CHAM B GAS PANEL
capitolareatech NEW - $313.87 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-14016 Harness Gas Panel
capitolareatech NEW - $49.88 2 Dec/19/16 Mar/01/17
Description: AMAT 0140-09236 HARNESS ASSY EXPANDED GAS PANEL POWER
capitolareatech NEW - $203.95 0 Dec/19/16 Feb/21/19
Description: AMAT 0224-02124 Top, Panel 13" SLD
capitolareatech NEW - $402.60 0 Dec/19/16 Jun/19/20
Description: AMAT 0224-00119 CABLE ASSY,POWER SUPPLY,APC GAS PANEL
capitolareatech NEW - $25.00 0 Dec/19/16 Jun/19/20
Description: AMAT 1270-90284 Panel Switch, 15A, 125, 250 or 480VAC
capitolareatech NEW - $2,000.00 0 Dec/20/16 Jun/20/20
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS)
capitolareatech NEW - $450.00 0 Dec/20/16 Jun/20/20
Description: AMAT 9090-00988 9090-00988..BREAKER PANEL ASSEMBLY
capitolareatech NEW - $1,000.00 0 Dec/20/16 Jun/20/20
Description: AMAT 9010-02083 X-CRIPP Panel
capitolareatech NEW - $500.00 0 Dec/20/16 Jun/20/20
Description: AMAT 9010-01384 Pneumatic Panel, Gripper
capitolareatech Used - $22.75 0 Dec/20/16 Jun/06/17
Description: AMAT 0150-37037 C/A ADAPATER STATUS LIGHT PANEL
capitolareatech Used - $55.00 0 Dec/20/16 Jun/06/17
Description: AMAT 0140-35095 HARNESS ASSEMBLY; GAS PANEL
capitolareatech Used - $700.00 0 Dec/20/16 Jan/03/17
Description: AMAT 0150-76177 GAS PANEL UMBILICAL CABLE ASSY
capitolareatech Used - $100.00 0 Dec/20/16 Jun/06/17
Description: AMAT 0150-76175 EMC COMP.,CABLE ASSY,OPTERATOR PANEL,P26
capitolareatech Used - $175.00 0 Dec/20/16 Jun/06/17
Description: AMAT 0150-35222 CABLE ASSEMBLY; GAS PANEL POWER
capitolareatech Used - $25.00 0 Dec/20/16 Jun/06/17
Description: AMAT 4000-01273 CDCGE FRONT PANEL 4HP 6V
svcstore Used - $599.99 0 Jan/03/17 Feb/02/17
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
svcstore Used - $5,999.99 0 Jan/07/17 Feb/06/17
Description: Novellus 02-274832-02 Circuit PVD Rack 27-185798-00 Power Panel Rittal Enclosure
alamedaauction NEW - $295.00 0 Jan/17/17 Feb/16/17
Description: NEW AMAT 3620-02332 CTI-Cryogenics On-Board Pump Remote Keyboard Control Panel
nedosada-0 Used - $490.00 0 Jan/23/17 Sep/23/19
Description: 0100-09153 REV.D GAS PANEL BD. ASSY.
spsglobal Used - $350.00 0 Jan/30/17 Jun/15/23
Description: 143-0301// AMAT APPLIED 0140-20255 HARNESS ASSY STEC MFC PANEL 2ND SOURCE NEW
allforsale555 Used - $499.00 0 Jan/30/17 Jul/29/21
Description: APPLIED MATERIALS 0150-76698 CABLE ASSY,GAS PANEL UMBILICAL#2,25FT.-E
visionsemi NEW - $285.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0150-35539 CABLE ASSY GAS PANEL POWER 55FT
allforsale555 Used - $599.00 0 Feb/07/17 Jul/29/21
Description: APPLIED MATERIALS 0040-35196 PANEL, TOP FRONT, FRONT PANEL
allforsale555 Used - $549.00 0 Feb/07/17 Jul/29/21
Description: APPLIED MATERIALS 0020-40978 BRACKET, FRONT PANEL, SHIPPING
svcstore Used - $599.99 0 Feb/14/17 Mar/16/17
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
svcstore Used - $5,999.99 0 Feb/14/17 Mar/16/17
Description: Novellus 02-274832-02 Circuit PVD Rack 27-185798-00 Power Panel Rittal Enclosure
alamedaauction NEW - $295.00 0 Feb/17/17 Mar/19/17
Description: NEW AMAT 3620-02332 CTI-Cryogenics On-Board Pump Remote Keyboard Control Panel
intek22 Used - $2,500.00 2 Mar/08/17 Oct/02/17
Description: Novellus 02-377999-00 ASSY,LPB,C2SEQ-X,MOD Main Power Panel Control Box
svcstore Used - $5,999.99 0 Mar/18/17 Apr/17/17
Description: Novellus 02-274832-02 Circuit PVD Rack 27-185798-00 Power Panel Rittal Enclosure
alamedaauction NEW - $295.00 0 Mar/20/17 Apr/19/17
Description: NEW AMAT 3620-02332 CTI-Cryogenics On-Board Pump Remote Keyboard Control Panel
levmucciacciar0 Used - $1,000.00 1 Mar/22/17 Mar/23/17
Description: 0100-35086, AMAT, WGAS PANEL DISTRIBUTION BOARD
cubit001 NEW - $10.00 0 Mar/22/17 Apr/21/17
Description: APPLIED MATERIALS 0910-01020 FUSE HLDR 15A 250C PANEL MOUNT QIK CONN NEW
j316gallery Used - $900.00 0 Apr/06/17 Jan/22/18
Description: 8092 APPLIED MATERIAL PCB PANEL LATCH CPCI PYRO BOARD 30 0090-00911
bobsgoodies NEW - $20.00 13 Apr/12/17 May/16/18
Description: BUSSMAN HPF Panel Mount FUSE HOLDER; HPF 30A 600V. (LOT OF 3 ) AMAT 0910-01208
techequipsales Used - $125.00 0 Apr/12/17 Jun/15/23
Description: AMAT Applied Materials 0100-20349 Operator Key Panel Circuit Board 300mm
usedeqsales Used - $2,504.17 1 Apr/18/17 Sep/14/21
Description: AMAT Applied Materials 0010-09263 Precision 5000 Pneumatic Panel P5000 Used
alamedaauction NEW - $295.00 0 Apr/19/17 May/19/17
Description: NEW AMAT 3620-02332 CTI-Cryogenics On-Board Pump Remote Keyboard Control Panel
svcstore Used - $5,999.99 0 Apr/17/17 May/17/17
Description: Novellus 02-274832-02 Circuit PVD Rack 27-185798-00 Power Panel Rittal Enclosure
katiil3 Scrap, for parts - $799.00 1 Apr/20/17 Jun/07/17
Description: APPLIED MATERIALS 0190-35763 GAS PANEL SERIPLEX PCB,CH-SET C, PROD X
qrecycle NEW - $999.00 0 Apr/20/17 Apr/25/17
Description: Applied Material gas panel distrubution bd 0100-35086
bobsgoodies Used - $595.00 1 Apr/21/17 May/11/17
Description: AMAT 0090-35042 Assembly Gas Panel H2 Sensor SNT467 H2 0100-35079
usedeqsales Used - $5,004.17 1 Apr/26/17 May/02/17
Description: AMAT Applied Materials 0290-76069 Main AC Panel Endura System 3820 5500 Used
qrecycle NEW - $999.00 0 Apr/25/17 Apr/28/17
Description: Applied Material gas panel distrubution bd 0100-35086
usedeqsales Used - $354.17 0 Apr/25/17 Sep/15/21
Description: AMAT Applied Materials 0100-09106 Expanded Gas Panel Interface PCB P5000 Used
tmh_inc Used - $840.00 0 Apr/25/17 Oct/01/21
Description: AMAT 0050-03145, MANIFOLD 1-1 O3 TO O2 FUJIKIN GAS PANEL
usedeqsales Used - $1,204.17 5 Apr/28/17 Jul/29/22
Description: AMAT Applied Materials 9010-01382ITL Celerity SiF4 MFC Gas Panel Assembly Used
usedeqsales Used - $1,204.17 1 Apr/28/17 Oct/13/17
Description: Celerity 9010-02276 ITL CO2 Gas Panel Assembly AMAT 9240-05618ITL Used Working
qrecycle NEW - $799.00 0 Apr/30/17 May/05/17
Description: Applied Material gas panel distrubution bd 0100-35086
usedeqsales Used - $305.17 1 May/02/17 May/03/17
Description: AMAT Applied Materials 0020-10666 Power Supply Panel Precision 5000 P5000 Used
usedeqsales Used - $305.17 1 May/08/17 Jun/17/17
Description: AMAT Applied Materials 0020-10666 Power Supply Panel Precision 5000 P5000 Used
cubit001 Used - $305.00 0 May/09/17 Jun/08/17
Description: AMAT 0020-10666, 0020-10665 Power Supply Panel Precision 5000 P5000 Used
j316gallery Used - $304.38 1 May/10/17 Nov/18/22
Description: 8549 APPLIED MATERIALS PCB GAS PANEL BOARD 0100-09153
lasar Used - $999.95 1 May/17/17 Jun/21/17
Description: AMAT APPLIED MATERIALS GAS PANEL H2 SENSOR ASSEMBLY 009035042 & 0100-35079
asset_asset NEW - $1,597.31 0 May/19/17 Jan/30/18
Description: Applied Materials 0190-06402 MANIFOLD, GAS PANEL PNUEMATICS PROD SE
svcstore Used - $5,999.99 0 May/19/17 Jun/18/17
Description: Novellus 02-274832-02 Circuit PVD Rack 27-185798-00 Power Panel Rittal Enclosure
alamedaauction NEW - $295.00 0 May/20/17 Jun/19/17
Description: NEW AMAT 3620-02332 CTI-Cryogenics On-Board Pump Remote Keyboard Control Panel
used1eqsales Used - $705.15 0 Jun/02/17 Dec/20/17
Description: AMAT Applied Materials Beamline Vacuum Control panel 0100-90533 AMAT Quantum X
athomemarket NEW - $177.09 0 Jun/06/17 Sep/28/18
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
j316gallery Used - $1,430.00 0 Jun/08/17 Apr/22/21
Description: 8354 LAM RESEARCH GAS & LIQUID PANEL, 853-024002-006 785-016037-001
j316gallery Used - $400.00 0 Jun/12/17 Dec/07/17
Description: 8765 APPLIED MATERIAL CABLE ASSY OPERATOR PANEL INTCNT 60FT (18.288M) 0150-21225
athomemarket Used - $75.00 1 Jun/17/17 Oct/30/17
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
katiil3 Scrap, for parts - $999.00 1 Jun/18/17 Jan/14/18
Description: APPLIED MATERIALS 0190-35763 GAS PANEL SERIPLEX PCB,CH-SET C, PROD X
svcstore Used - $5,999.99 0 Jun/21/17 Jul/21/17
Description: Novellus 02-274832-02 Circuit PVD Rack 27-185798-00 Power Panel Rittal Enclosure
lasar Used - $999.95 2 Jun/23/17 Mar/06/18
Description: AMAT APPLIED MATERIALS GAS PANEL H2 SENSOR ASSEMBLY 009035042 & 0100-35079
athomemarket Used - $159.99 4 Jun/27/17 Dec/06/17
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
testeqe NEW - $1,499.99 0 Jun/28/17 Aug/27/17
Description: NEW Novellus PN: 60-186996-00 C3 Altus Manifold Panel Assembly Pneucon
cubit001 Used - $299.00 0 Jun/29/17 Jul/29/17
Description: AMAT 0020-10666, 0020-10665 Power Supply Panel Precision 5000 P5000 Used
farmoninc Used - $4,950.00 0 Jun/29/17 Oct/10/17
Description: AMAT 0090-00324 Gas Panel Assy, Seriplex Chamber C, Centura, Endura, 423510
farmoninc Used - $4,950.00 0 Jun/30/17 Jul/13/17
Description: AMAT 0090-00322 Gas Panel Assy, Seriplex Chamber C, Centura, Endura, 423514
athomemarket NEW - $74.99 1 Jul/03/17 Dec/06/17
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
j316gallery Used - $385.00 1 Jul/04/17 Aug/01/19
Description: 8741 APPLIED MATERIAL FLOW PANEL W/ FLOW STAT, FLOW SWITCH 0010-34016
sammy_etek NEW - $4,020.00 1 Jul/04/17 Mar/19/19
Description: 0100-35086, Applied Material Gas Panel PCB
svcstore Used - $499.99 0 Jul/12/17 Aug/11/17
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
autoquip7 NEW - $2,175.00 0 Jul/16/17 Jul/25/22
Description: 0190-01543, AMAT, INTERLOCK GAS PANEL MODULE
spsglobal Used - $300.00 1 Jul/16/17 Jul/24/17
Description: 130-0301// AMAT APPLIED 0100-09063 w PCB ASSY GAS PANEL USED
spsglobal Used - $300.00 0 Jul/16/17 Jan/16/22
Description: 130-0303// AMAT APPLIED 0100-09106 PCB ASSY EXPANDED GAS PANEL USED
spsglobal Used - $1,000.00 0 Jul/16/17 Oct/29/19
Description: 130-0303// AMAT APPLIED 0100-00164 PCB ASSY, REMOTE GAS PANEL USED
qrecycle NEW - $299.00 1 Jul/17/17 Jul/20/17
Description: Applied material gas panel dist. 0100-35086
svcstore Used - $5,999.99 0 Jul/24/17 Aug/23/17
Description: Novellus 02-274832-02 Circuit PVD Rack 27-185798-00 Power Panel Rittal Enclosure
bobsgoodies2 Used - $29.00 0 Aug/08/17 Mar/31/22
Description: AMAT 0150-18014 CBL ASSY, GAS PANEL COUSTOMER INTERLOCK JUMPER APPLIED MATERIALS
cubit001 Used - $299.00 1 Aug/11/17 Aug/12/17
Description: AMAT 0020-10666, 0020-10665 Power Supply Panel Precision 5000 P5000 Used
spsglobal Used - $250.00 0 Aug/16/17 Sep/06/18
Description: 129-0201// AMAT APPLIED 0100-09153 PCB,GAS PANEL INTERFACE W/O STANDOFFS USED
gesemiconductor NEW - $40.00 0 Aug/17/17 Dec/19/17
Description: Applied Materials 0021-11514 Gas Panel Misc
spsglobal NEW - $10,000.00 0 Aug/24/17 Nov/22/17
Description: 000-0000// AMAT 0010-25799 ASSY GP WCVD GAS PANEL 300MM [NEW]
svcstore Used - $499.99 1 Aug/24/17 Sep/21/17
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
svcstore Used - $5,999.99 0 Aug/24/17 Sep/23/17
Description: Novellus 02-274832-02 Circuit PVD Rack 27-185798-00 Power Panel Rittal Enclosure
testeqe NEW - $1,249.99 2 Aug/29/17 Jun/15/22
Description: NEW Novellus PN: 60-186996-00 C3 Altus Manifold Panel Assembly Pneucon
bobsgoodies NEW - $67.90 0 Sep/18/17 Oct/25/17
Description: MIRRA AMAT 1040-00073 5-75 cc/min PTFE Flow Meter Futurestar 118-00075 Panel Mt
svcstore Used - $5,999.99 0 Sep/24/17 Oct/24/17
Description: Novellus 02-274832-02 Circuit PVD Rack 27-185798-00 Power Panel Rittal Enclosure
j316gallery Used - $500.00 0 Sep/25/17 Dec/07/17
Description: 9738 APPLIED MATERIAL EMC COMP, CABLE ASSY, GAS PANEL UMBILIC (7.48M) 0150-76178
cubit001 Used - $499.00 0 Oct/19/17 Nov/03/17
Description: AMAT 0020-10666, 0020-10665, 02010FM Lambda Switching Power Supply Panel
svcstore Used - $5,999.99 0 Oct/24/17 Nov/23/17
Description: Novellus 02-274832-02 Circuit PVD Rack 27-185798-00 Power Panel Rittal Enclosure
spsglobal NEW - $600.00 0 Oct/26/17 Jun/25/18
Description: AMAT APPLIED MATERIALS 0040-75196 PANEL SYSTEM I/O DIRECT DRIVE ROBOT NEW
spsglobal Used - $3,500.00 0 Oct/31/17 Aug/23/18
Description: 000-0000// AMAT APPLIED 0040-40049 PANEL, FRONT USED
cubit001 Used - $730.00 1 Nov/03/17 Nov/15/17
Description: AMAT 0020-10666, 0020-10665, 02010FM Lambda Switching Power Supply Panel
vizvik16 Used - $450.00 0 Nov/09/17 Oct/28/19
Description: APPLIED MATERIALS HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001
vizvik16 Used - $650.00 0 Nov/09/17 Oct/28/19
Description: APPLIED MATERIALS GAS PANEL CONTROLLER BACKPLANE 0100-00446, 0130-00446
allforsale555 Scrap, for parts - $599.00 1 Nov/12/17 Jan/08/18
Description: AMAT 0190-35653 GAS PANEL SERIPLEX PCB,CH-SET A, PROD X
svcstore Used - $5,999.99 0 Nov/29/17 Dec/29/17
Description: Novellus 02-274832-02 Circuit PVD Rack 27-185798-00 Power Panel Rittal Enclosure
jens.pens Used - $750.00 0 Dec/08/17 Oct/12/21
Description: Applied Materials 3200-00004 Gas Panel Controller Backplane 0100-00582 REV001
spsglobal Used - $20,000.00 0 Dec/12/17 Mar/14/18
Description: 000-0000// 0010-75004 0010-75005 LEFT NB RIGHT NB CHAMBER FRONT PANEL [ASIS]
spsglobal Used - $500.00 0 Dec/13/17 Mar/03/22
Description: 342-0203// AMAT APPLIED 0010-01052 PANEL BRIDGE ASSY PWR SPLY NEW
usedeqsales Used - $24.00 0 Dec/20/17 Jan/02/18
Description: Applied Materials 0020-22770 Bracket 2/L SST Panel
svcheck Used - $3,498.43 1 Dec/20/17 Feb/09/18
Description: AMAT APPLIED MATERIALS 0100-35086 36 Port Gas Panel Distribution
usedeqsales Used - $307.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0030-70085 Front Panel and Light Pen
usedeqsales NEW - $40.00 0 Dec/20/17 Jan/02/18
Description: Applied Materials 0021-11514 Gas Panel Misc
usedeqsales Used - $601.18 0 Dec/20/17 Jan/24/18
Description: Lam Research 810-000671-001 Board Keyboard Control Panel
svcheck Used - $189.56 0 Dec/26/17 Oct/08/20
Description: AMAT APPLIED MATERIALS 0100-09058 Operator Control Panel PCB Board
svcstore Used - $999.99 0 Dec/29/17 Jan/28/18
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve
usedeqsales Used - $251.18 3 Jan/18/18 Oct/11/21
Description: AMAT Applied Materials 0040-48973 SD Stepper Interface PCB Panel 0130-00537 Used
capitolareatech NEW - $135.00 0 Jan/25/18 Aug/13/20
Description: Applied Materials (AMAT) 0140-01545 HARNESS ASSY, GAS PANEL EXH/DOOR SW INTE
svcstore Used - $999.99 0 Feb/01/18 Mar/03/18
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve
allforsale555 Used - $259.00 0 Feb/03/18 Jul/29/21
Description: Applied materials 0090-02290 ASSEMBLY PANEL LATCH CPCI FUSE STATUS BO
noam-tech Used - $750.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Cover Panel, 0010-22567 / Rev 003 / BLF / from 300mm Chamber
noam-tech Used - $750.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Cover Panel, 0010-22568 / Rev 003 / BLF / from 300mm Chamber
qrecycle Used - $488.00 0 Feb/12/18 Feb/15/18
Description: Applied Materials Remote Panel 0030-70085
levmucciacciar0 Used - $680.00 0 Feb/15/18 Mar/15/20
Description: AMAT Applied Materials 0100-00572 0110-00572 Gas Panel Distribuiton EPI 300MM
qrecycle Used - $488.00 0 Feb/19/18 Feb/22/18
Description: Applied Materials Remote Panel 0030-70085
prism_electronics7 Used - $1,999.99 0 Feb/20/18 Sep/03/20
Description: LAM RESEARCH 810-017075-003 GAS PANEL PCB INTERLOCK RAINBOW
qrecycle Used - $88.88 0 Feb/23/18 Feb/26/18
Description: Applied Materials Remote Panel 0030-70085
capitolareatech NEW - $795.00 0 Feb/24/18 Aug/09/19
Description: Applied Materials (AMAT) 0050-41614 WELDMT,LINE 2,BASIC PANEL VERIFLO
capitolareatech NEW - $795.00 0 Feb/24/18 Feb/24/18
Description: Applied Materials (AMAT) 0050-41614 WELDMT,LINE 2,BASIC PANEL VERIFLO
qrecycle Used - $88.88 0 Feb/26/18 Mar/01/18
Description: Applied Materials Remote Panel 0030-70085
wilus_v3zx7z Used - $500.00 0 Mar/01/18 Mar/31/18
Description: APPLIED MATERIALS 0100-09115 GAS PANEL ANALOG PCB REV-E
qrecycle Used - $88.88 0 Mar/01/18 Mar/06/18
Description: Applied Materials Remote Panel 0030-70085
capitolareatech NEW - $24.95 0 Mar/03/18 Aug/13/20
Description: Applied Materials (AMAT) 3300-50714 FTG PANEL UNION 1/2-1/2FNPT BRS
svcstore Used - $999.99 0 Mar/05/18 Apr/04/18
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve
qrecycle Used - $88.88 0 Mar/07/18 Mar/14/18
Description: Applied Materials Remote Panel 0030-70085
capitolareatech NEW - $422.95 0 Mar/14/18 Aug/09/19
Description: Applied Materials (AMAT) 0020-09638 FRONT PANEL FILLER 2.40"
qrecycle Used - $88.88 0 Mar/15/18 Mar/22/18
Description: Applied Materials Remote Panel 0030-70085
bobsgoodies Used - $2,230.00 1 Mar/20/18 Apr/10/19
Description: AMAT Applied Materials 0010-96959 Precision 5000 Mainframe Pneumatic Panel P5000
usedeqsales Used - $1,506.15 0 Mar/20/18 Aug/19/21
Description: Acrom 7041-64423-004-102 Desktop PC AMAT 9090-00810 Missing Panel Used Tested
lucky_ducky_sells_online NEW - $12.60 3 Mar/20/18 Sep/11/18
Description: BUSSMAN HPF Panel Mount FUSE HOLDER; HPF 30A 600V. (LOT OF 3 ) AMAT 0910-01208
bobsgoodies2 Used - $1,240.00 1 Mar/21/18 Oct/11/21
Description: AMAT 0010-09263 Precision 5000 Pneumatic Panel ASSY, PNEUMATIC MANIFOLD BASIC
qrecycle Used - $88.88 0 Mar/22/18 Mar/29/18
Description: Applied Materials Remote Panel 0030-70085
capitolareatech NEW - $59.95 0 Mar/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-10672 HINGE RIGHT GAS PANEL
capitolareatech NEW - $19.95 0 Mar/29/18 Nov/20/19
Description: Applied Materials (AMAT) 0020-20416 PANEL,BLANK-OFF,PLUG,RF GEN, CABINET
capitolareatech NEW - $509.95 0 Mar/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0100-09153 PCB,GAS PANEL INTERFACE W/O STANDOFFS
capitolareatech NEW - $135.00 0 Mar/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-06014 BRACKET,OPERATOR CONTROL PANEL
wilus_v3zx7z Used - $500.00 0 Mar/31/18 Apr/30/18
Description: APPLIED MATERIALS 0100-09115 GAS PANEL ANALOG PCB REV-E
qrecycle Used - $88.88 0 Apr/01/18 Apr/06/18
Description: Applied Materials Remote Panel 0030-70085
capitolareatech NEW - $979.95 0 Apr/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-10552 PANEL,FACILITIES LOWER
svcstore Used - $999.99 0 Apr/05/18 May/05/18
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve
capitolareatech NEW - $424.95 0 Apr/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0100-09106 PCB ASSY EXPANDED GAS PANEL
capitolareatech NEW - $59.95 1 Apr/08/18 Aug/13/19
Description: Applied Materials (AMAT) 0020-13063 EMC COMP., PANEL REMOTES DIST BULKHEAD
kenjap Scrap, for parts - $99.00 1 Apr/08/18 Apr/15/18
Description: AMAT HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652
kenjap Scrap, for parts - $199.00 0 Apr/08/18 Apr/15/18
Description: AMAT HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652
qrecycle Used - $88.88 0 Apr/08/18 Apr/13/18
Description: Applied Materials Remote Panel 0030-70085
intek22 Scrap, for parts - $49.99 1 Apr/11/18 Apr/18/18
Description: Applied Materials AMAT Mirra * Front Panel LCD Monitor w/ Keyboard * 0010-05388
qrecycle Used - $88.88 0 Apr/15/18 Apr/20/18
Description: Applied Materials Remote Panel 0030-70085
kenjap Scrap, for parts - $199.00 0 Apr/18/18 Apr/25/18
Description: AMAT HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652
spsglobal Used - $40.00 0 Apr/19/18 May/19/20
Description: 201-0302// AMAT APPLIED 0020-23034 BRACKET GUIDE, FRONT PANEL DOOR, PVD USED
qrecycle Used - $88.88 0 Apr/20/18 Apr/25/18
Description: Applied Materials Remote Panel 0030-70085
qrecycle Used - $88.88 0 Apr/25/18 May/02/18
Description: Applied Materials Remote Panel 0030-70085
kenjap Scrap, for parts - $99.00 0 Apr/25/18 May/02/18
Description: AMAT HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652
techknowledge-e Scrap, for parts - $500.00 0 Apr/29/18 May/06/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
wilus_v3zx7z Used - $500.00 0 Apr/30/18 May/30/18
Description: APPLIED MATERIALS 0100-09115 GAS PANEL ANALOG PCB REV-E
qrecycle Used - $88.88 0 May/03/18 May/08/18
Description: Applied Materials Remote Panel 0030-70085
techknowledge-e Scrap, for parts - $500.00 0 May/06/18 May/13/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
svcstore Used - $999.99 0 May/07/18 Jun/06/18
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve
bobsgoodies NEW - $474.00 1 May/08/18 Jun/11/18
Description: AMAT 0190-35197 GAS PANEL MANIFOLD "G" 40 valve manifold Humphrey
qrecycle Used - $88.88 0 May/08/18 May/11/18
Description: Applied Materials Remote Panel 0030-70085
qrecycle Used - $50.00 0 May/11/18 May/14/18
Description: Applied Materials Remote Panel 0030-70085
capitolareatech NEW - $171.50 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-10407 SIDE PANEL
capitolareatech NEW - $57.50 0 May/12/18 Aug/09/19
Description: Applied Materials (AMAT) 0020-09759 PANEL ALCATEL TURBO BLANKOFF
capitolareatech NEW - $225.00 0 May/12/18 Nov/01/18
Description: Applied Materials (AMAT) 0150-76175 EMC COMP.,CABLE ASSY,OPTERATOR PANEL,P26
capitolareatech NEW - $213.25 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-09250 PANEL FAB EXPANDED
capitolareatech NEW - $81.75 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-09013 PANEL COVER PNEUMATIC MANIFOLD
capitolareatech NEW - $99.50 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-09827 PANEL ACCESS
capitolareatech NEW - $19.75 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-09812 EDGE PLATE ACCESS PANEL
capitolareatech NEW - $18.50 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-09807 EDGE PLATE FIXED PANEL
capitolareatech NEW - $553.50 0 May/12/18 Jun/21/19
Description: Applied Materials (AMAT) 0100-76046 PCB GAS PANEL INTERFACE (W/O STANDOFFS)
techknowledge-e Scrap, for parts - $500.00 0 May/13/18 May/20/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
qrecycle Used - $88.88 0 May/14/18 May/17/18
Description: Applied Materials Remote Panel 0030-70085
capitolareatech NEW - $299.95 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0100-09114 Gas Panel Board
qrecycle Used - $88.88 0 May/17/18 May/22/18
Description: Applied Materials Remote Panel 0030-70085
capitolareatech NEW - $295.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0020-40116 STAND OFF PANEL BD. SHORT, NO, HDP DIELECTRI
techknowledge-e Scrap, for parts - $500.00 0 May/20/18 May/27/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
katiil3 Used - $249.00 0 May/21/18 Oct/23/21
Description: AMAT Applied Materials GAS PANEL BD. 0100-76258 ( LOT OF 2 )
capitolareatech NEW - $47.50 0 May/22/18 Aug/09/19
Description: Applied Materials (AMAT) 0015-09019 HINGE,MOD. FACILITIES PANEL
qrecycle Used - $88.88 0 May/23/18 May/28/18
Description: Applied Materials Remote Panel 0030-70085
qrecycle Used - $88.88 0 Jun/07/18 Jun/12/18
Description: Applied Materials Remote Panel 0030-70085
svcstore Used - $999.99 0 Jun/07/18 Jul/07/18
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve
qrecycle Used - $88.88 0 Jun/15/18 Jun/17/18
Description: Applied Materials Remote Panel 0030-70085
kenjap Scrap, for parts - $99.00 0 Jun/17/18 Jun/24/18
Description: AMAT APPLIED MATERIALS 0100-01652 HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY
techknowledge-e Scrap, for parts - $500.00 0 Jun/18/18 Jun/25/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
farmoninc NEW - $450.00 0 Jun/18/18 Mar/06/20
Description: AMAT 0100-20073 Assembly, Wafer Detector (28 Per Panel), PCB, DPS A1, 424608
bobsgoodies Scrap, for parts - $750.00 1 Jun/19/18 Jun/20/18
Description: MIRRA 0010-06227 Panel
kenjap Scrap, for parts - $90.00 0 Jun/24/18 Jul/24/18
Description: AMAT APPLIED MATERIALS 0100-01652 HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY
techknowledge-e Scrap, for parts - $500.00 0 Jun/25/18 Jul/02/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
techknowledge-e Scrap, for parts - $500.00 0 Jul/02/18 Jul/09/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
svcstore Used - $999.99 0 Jul/08/18 Aug/07/18
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve
techknowledge-e Scrap, for parts - $500.00 0 Jul/09/18 Jul/16/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
spsglobal NEW - $40.00 0 Jul/11/18 Apr/23/20
Description: 202-0402// AMAT APPLIED 0020-23959 PANEL AC OUTLET 20A GEN RACK NEW
asmtk Used - $4,000.00 1 Jul/16/18 Jan/24/19
Description: Applied Materials 0100-35086 PCB ASSEMBLY, GAS PANEL DISTRIBUTION AMAT
techknowledge-e Scrap, for parts - $500.00 0 Jul/16/18 Jul/23/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
techknowledge-e Scrap, for parts - $500.00 0 Jul/23/18 Jul/30/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
kenjap Scrap, for parts - $90.00 0 Jul/24/18 Aug/23/18
Description: AMAT APPLIED MATERIALS 0100-01652 HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY
smi-sales Used - $9,500.00 0 Jul/26/18 Apr/08/19
Description: AMAT P/N 0100-35107 PCB ASSY, GAS PANEL DISTRIBUTION, METCH
novusferro NEW - $199.00 0 Jul/31/18 Jun/13/21
Description: Applied Materials (AMAT) 0242-53318 Gas Panel (Chamber B)
svcheck Used - $221.01 1 Aug/01/18 Jun/13/19
Description: AMAT APPLIED MATERIALS 0100-70034 EP VGA I/O Interconnect Panel
pic_insit Used - $950.00 0 Aug/05/18 Sep/04/18
Description: AMAT Gas Panel Leak Detect Board P/n 0090-75017, #166
svcstore Used - $999.99 0 Aug/07/18 Sep/06/18
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve
yericomfg Used - $3,900.00 0 Aug/08/18 Aug/27/19
Description: Distribution panel, 400A, 3 Phase, AMAT 0242-60869
storemanager-2009 Used - $374.00 0 Aug/09/18 Aug/09/18
Description: 0100-09153 PCB GAS PANEL INTERFACE (w/o S
storemanager-2009 Used - $895.00 0 Aug/09/18 Aug/09/18
Description: 0040-00571 MOUNTING BRACKET HELIUM PANEL
storemanager-2009 Used - $215.00 0 Aug/09/18 Aug/09/18
Description: 0100-09106 PCB ASSY, EXPANDED GAS PANEL,
storemanager-2009 Used - $1,693.00 0 Aug/09/18 Aug/09/18
Description: 0100-09115 Assy PCB Gas Panel Analo
yericomfg Used - $1,100.00 5 Aug/08/18 Sep/12/18
Description: Lam Research Control Panel PS 853-800083-601, 1019688, Used As Is
pic_insit NEW - $4,900.00 0 Aug/14/18 Sep/13/18
Description: AMAT P/N 0050-34815 Gas Panel Valve Manifold, Centura. Stock #193
wyse_avenue NEW - $150.00 0 Aug/18/18 Sep/17/18
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW
usedeqsales Used - $2,008.18 0 Aug/21/18 Mar/03/22
Description: AMAT Applied Materials 0010-21745 Endura 5500 Operator Panel 0100-20350 As-Is
capitolareatech Used - $595.00 1 Aug/23/18 Sep/16/18
Description: LAM RESEARCH (LAM) 852-017500-003 CRT Display Monitor Operator Panel for LAM 442
capitolareatech Used - $745.00 0 Aug/23/18 Jun/23/20
Description: LAM RESEARCH (LAM) 853-017152-003 Panel
bobsgoodies NEW - $27.50 0 Aug/27/18 Apr/15/19
Description: AMAT 0150-76993 CABLE ASSY, DUAL FREQ INT TO PANEL
prism_electronics5 Used - $84.99 0 Aug/30/18 Jun/29/22
Description: AMAT 0150-97519 CABLE GAS PANEL SERIPLEX DC POWER MODULE A PROD S
storemanager-2009 NEW - $75.00 0 Aug/30/18 May/22/20
Description: 80-164086-99 PANEL, SIDE, L/L, LEXAN,
techknowledge-e Scrap, for parts - $450.00 0 Sep/05/18 Sep/12/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
pic_insit Used - $940.00 0 Sep/05/18 Oct/05/18
Description: AMAT Gas Panel Leak Detect Board P/n 0090-75017, #166
prism_electronics7 Used - $100.00 0 Sep/06/18 Sep/03/20
Description: APPLIED MATERIALS 0030-20003 PLASTIC CONTROL PANEL BEZEL
svcstore Used - $999.99 0 Sep/07/18 Oct/07/18
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve
spsglobal Used - $140.00 0 Sep/17/18 Feb/08/22
Description: 145-0601// AMAT APPLIED 0150-20027 CABLE ASSY,OPERATOR PANEL,P26 USED
prism_electronics8 Used - $54.99 0 Sep/17/18 Aug/26/22
Description: APPLIED MATERIALS 0020-36937 EMO Conduit Bulkhead Panel
prism_electronics5 Used - $425.00 0 Sep/19/18 Aug/03/22
Description: APPLIED MATERIALS AMAT 0040-09345 PANEL ELECT I/O EXPANDED DELTA
prism_electronics5 Used - $127.50 0 Sep/19/18 Aug/03/22
Description: APPLIED MATERIALS AMAT 0040-09250 PANEL,FAB,EXPANDED RS-232 INTE
wyse_avenue NEW - $150.00 0 Sep/20/18 Oct/20/18
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW
prism_electronics5 Used - $425.00 0 Sep/21/18 Jul/25/22
Description: APPLIED MATERIALS AMAT 0150-20027 CABLE OPERATOR PANEL P26
prism_electronics5 Used - $85.00 0 Sep/26/18 Aug/20/22
Description: APPLIED MATERIALS AMAT 0020-35961 GAS PANEL BLANK OFF
spsglobal Used - $800.00 0 Sep/28/18 Dec/11/18
Description: 147-0201// AMAT APPLIED 0150-76177 EMC COMP.,CABLE ASSY,GAS PANEL USED
athomemarket NEW - $151.79 0 Sep/28/18 Dec/26/19
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
capitolareatech NEW - $175.00 0 Sep/29/18 Aug/15/19
Description: Applied Materials (AMAT) 0020-36392 COVER, BACK GAS PANEL TOP
capitolareatech NEW - $95.00 0 Sep/29/18 Aug/15/19
Description: Applied Materials (AMAT) 0020-36391 COVER, FRONT GAS PANEL TOP
capitolareatech NEW - $47.50 0 Sep/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0015-09019 HINGE,MOD. FACILITIES PANEL
kenjap Scrap, for parts - $30.00 0 Sep/30/18 Oct/30/18
Description: AMAT APPLIED MATERIALS 0100-01652 HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY
systasemi Used - $2,000.00 0 Oct/01/18 Feb/25/22
Description: AMAT 0150-35202, CABLE ASSY GAS PANEL UMBILICAL #1
systasemi Used - $1,500.00 0 Oct/01/18 Mar/10/19
Description: AMAT 0150-35204, C/A GAS PANEL UMBILICAL #2
prism_electronics5 Used - $425.00 0 Oct/02/18 Jul/25/22
Description: APPLIED MATERIALS AMAT 0040-09020 DUCT EXHAUST GAS PANEL
sparesllc09 Used - $1,500.00 0 Oct/02/18 Dec/03/18
Description: 9010-02279 / GAS PANEL MODULE HP-BF3-QX / APPLIED MATERIALS AMAT
prism_electronics5 Used - $425.00 0 Oct/04/18 Jun/11/22
Description: APPLIED MATERIALS AMAT 0100-09063 PCB ASSY GAS PANEL BOARD
prism_electronics8 Used - $59.99 0 Oct/04/18 Aug/30/22
Description: APPLIED MATERIALS 0150-09701 Dual Frequency Interface to Panel Cable Assembly
pic_insit Used - $930.00 0 Oct/06/18 Nov/05/18
Description: AMAT Gas Panel Leak Detect Board P/n 0090-75017, #166
sparesllc09 Used - $1,500.00 1 Oct/08/18 Apr/23/19
Description: 9010-02279 / GAS PANEL MODULE HP-BF3 / APPLIED MATERIALS
prism_electronics8 Used - $154.99 0 Oct/08/18 Aug/23/22
Description: APPLIED MATERIALS 0150-97509 Chaser Gas Panel Cable Assembly
bobsgoodies2 Used - $599.50 1 Oct/09/18 Jan/21/22
Description: AMAT 0010-00013 Digital Water Flow Switch Panel Assy PFW720-04-27-Q
svcstore Used - $594.99 0 Oct/10/18 Nov/09/18
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve
pic_insit NEW - $4,700.00 0 Oct/13/18 Nov/12/18
Description: AMAT P/N 0050-34815 Gas Panel Valve Manifold, Centura. Stock #193
wyse_avenue NEW - $150.00 0 Oct/20/18 Nov/19/18
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW
sparesllc09 Used - $3,900.03 0 Nov/05/18 Nov/17/20
Description: 0190-24680 / SPECIFICATION CENTURA AP PANEL TEMPERATURE CONTROLLER / AMAT
pic_insit Used - $920.00 1 Nov/05/18 Nov/06/18
Description: AMAT Gas Panel Leak Detect Board P/n 0090-75017, #166
svcstore Used - $199.99 0 Nov/05/18 Dec/05/18
Description: AMAT 0090-07106 TAHOE UV CIOC Distribution Chassis Interface Panel Housing Assy
wyse_avenue NEW - $150.00 0 Nov/19/18 Dec/19/18
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW
j316gallery Used - $3,300.00 0 Nov/23/18 Apr/15/19
Description: 12464 APPLIED MATERIAL GAS PANEL SERIPLEX PCB, CH-SET A, PROD X 0190-35653
dieseltdiguy NEW - $11.89 0 Dec/06/18 Jan/05/19
Description: AMAT Chamber Panel MTG 10-32 x 1 PHH 3690-02032
pic_insit NEW - $3,800.00 0 Dec/14/18 May/15/20
Description: AMAT P/N 0050-34815 Gas Panel Valve Manifold, Centura. Stock #193
svcstore Used - $430.99 0 Dec/14/18 Jan/13/19
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve
svcstore Used - $199.99 0 Dec/15/18 Jan/14/19
Description: AMAT 0090-07106 TAHOE UV CIOC Distribution Chassis Interface Panel Housing Assy
j316gallery Used - $5,133.15 0 Jan/08/19 Jan/04/21
Description: 12281 APPLIED MATERIAL PCB, GAS PANEL SERIPLEX PCB,CH-SET C, PROD X 0190-35763
visionsemi Used - $9,500.00 1 Jan/09/19 Jan/24/19
Description: APPLIED MATERIALS CMP PANEL 0010-44322 ENTEGRIS ELECTRONIC FLOW METER NT6510
usedeqsales Used - $301.19 0 Jan/11/19 Dec/11/19
Description: Kawasaki 50979-2388LA1 Panel Robot Cable AMAT 0190-16259 Used Working
svcstore Used - $387.99 0 Jan/15/19 Feb/14/19
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve
svcstore Used - $169.99 0 Jan/16/19 Feb/15/19
Description: AMAT 0090-07106 TAHOE UV CIOC Distribution Chassis Interface Panel Housing Assy
wyse_avenue NEW - $150.00 0 Jan/18/19 Feb/17/19
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW
svcstore Used - $329.99 2 Feb/15/19 Mar/13/19
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve
svcstore Used - $152.99 0 Feb/16/19 Mar/18/19
Description: AMAT 0090-07106 TAHOE UV CIOC Distribution Chassis Interface Panel Housing Assy
wyse_avenue NEW - $150.00 0 Feb/17/19 Mar/19/19
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW
dom0808 Used - $2,717.00 0 Feb/20/19 Feb/11/22
Description: Novellus LPB,IXT WTS-HV IMM 02-268284-00 circuit breaker panel power supplies
sgcequipment Used - $400.00 0 Mar/14/19 Mar/14/22
Description: Applied Materials (AMAT) 0140-13583 REV 003 TLB SM18089/1 32/6 CONNECTOR PANEL
svcstore Used - $137.99 0 Mar/19/19 Sep/16/19
Description: AMAT 0090-07106 TAHOE UV CIOC Distribution Chassis Interface Panel Housing Assy
wyse_avenue NEW - $150.00 0 Mar/19/19 May/03/19
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW
asmtk NEW - $200.00 0 Mar/21/19 Oct/01/21
Description: Applied Materials 0021-25953 PANEL, DISPLAY BOARD, DC POWER SUPPLY ,AMAT
intek22 Used - $950.00 0 Mar/25/19 Mar/26/19
Description: Novellus Concept One Breaker Electrical Panel SI02/Nitride * 76-032567-00
getspares.com_sparesllc09 Used - $560.00 0 Mar/28/19 Nov/21/22
Description: 0100-03320 / PCBA, HDPCVD 300MM AP GAS PANEL DISTRIBUTION / APPLIED MATERIALS
getspares.com_sparesllc09 Used - $4,200.99 0 Apr/01/19 Nov/29/22
Description: 0190-35197 / GAS PANEL MANIFOLD "G" / APPLIED MATERIALS AMAT
dgold32 NEW - $699.99 1 Apr/05/19 Oct/10/22
Description: Lam Research 27-319917-00 17" Flat Panel for Vector New Surplus
usedeqsales Used - $1,605.19 0 May/02/19 Jun/29/23
Description: Lam Research 810-802902-006 TM Node 2 PCB Panel 810-707150-001 Continuum Spare
getspares.com_sparesllc09 Used - $1,200.82 0 Apr/29/19 Feb/17/23
Description: 9010-01378 / CELERITY TCP PANEL MANI VALVE ASSY. W/5CC BF3 MFC / AMAT
j316gallery Used - $4,346.89 0 Apr/26/19 May/31/23
Description: 14334 LAM RESEARCH 2300 FLEX E AC POWER DISTRIBUTION PANEL 685-020105-004 RPDB
usedeqsales Used - $1,605.19 0 May/09/19 Jun/29/23
Description: Lam Research 810-707060-001 PM Node 3 PCB Panel 810-707150-001 Continuum Spare
usedeqsales Used - $605.19 0 May/08/19 Jun/29/23
Description: Lam Research 810-707019-001 System Interlock Board Panel PCB FPD Continuum Spare
getspares.com_sparesllc09 NEW - $3,495.66 0 May/13/19 Mar/28/23
Description: 0040-00250 / OPERATOR CONT PANEL ASSY / APPLIED MATERIALS AMAT
asmtk NEW - $60.00 0 May/21/19 Oct/01/21
Description: Applied Materials 0021-55674 LOT OF 20 FRONT PANEL,CH INTKS 300MM AMAT
bt_store1 Used - $1,300.00 0 May/30/19 Jul/15/21
Description: AMAT 0150-21556 CABLE ASSY OPERATOR PANEL INTCT 40 FT EMC
bt_store22 Used - $4,950.00 1 May/30/19 Jul/30/20
Description: AMAT 0010-10895 WXZ GAS PANEL DC PWER SUPPLY
bt_store22 Used - $3,200.00 0 Jun/03/19 Jul/15/21
Description: 'AMAT 0190-35197 GAS PANEL MANIFOLD G
j316gallery Used - $300.00 0 Jun/02/19 Jun/07/19
Description: 14334 LAM RESEARCH 2300 FLEX E AC POWER DISTRIBUTION PANEL 685-020105-004 RPDB
bt_store1 Used - $125.00 0 Jun/05/19 Jul/15/21
Description: AMAT 0227-02731 HARNESS ASSY GAS PANEL EXHAUST FLOW
bt_store1 Used - $1,800.00 1 Jun/05/19 Jul/02/19
Description: AMAT 0100-35086 PCB ASSEMBLY GAS PANEL DISTRIBUTION
bt_store1 Used - $245.00 0 Jun/10/19 Jul/15/21
Description: AMAT 0150-35539 C A GAS PANEL POWER 55 FT
j316gallery Used - $300.00 0 Jun/09/19 Jun/14/19
Description: 14334 LAM RESEARCH 2300 FLEX E AC POWER DISTRIBUTION PANEL 685-020105-004 RPDB
athomemarket NEW - $14.97 1 Jun/18/19 Nov/16/20
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly w/ Board
myriadindustrial Used - $1,499.99 0 Jun/20/19 Jun/17/22
Description: Test & Tool Engineering 0270-01917 Testing Panel AMAT ID 10951
wyse_avenue NEW - $150.00 0 Jun/25/19 Jul/09/19
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW
j316gallery NEW - $1,600.00 0 Jun/30/19 Oct/17/19
Description: 13625 APPLIED MATERIALS KIT, 40FT. GAS PANEL UMB, CABLE SET (NEW) 0242-01385
storemanager-2009 Used - $112.50 0 Jul/03/19 Jul/17/21
Description: NOVELLUS 17-116722-00 PANEL, BTM, TOP EXH, SPD-S
kenjap Used - $59.99 0 Jul/08/19 Jul/15/19
Description: APPLIED MATERIALS HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001
kenjap Used - $59.99 0 Jul/15/19 Jul/22/19
Description: APPLIED MATERIALS HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001
bt_store1 Used - $40.00 0 Jul/16/19 Aug/23/19
Description: AMAT 0020-22234 PANEL SIDE DEGAS DRIVER PERF
smartelektronikgmbh Used - $200.00 0 Jul/16/19 Nov/10/20
Description: 0100-01764 / SAFETY INTERLOCK GAS PANEL PRODUCER SE
smartelektronikgmbh Used - $1,300.00 1 Jul/24/19 Nov/27/20
Description: 0190-35197 / GAS PANEL MANIFOLD "G" / APPLIED MATERIALS AMAT
kenjap Used - $59.99 1 Jul/22/19 Jul/29/19
Description: APPLIED MATERIALS HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001
j316gallery Used - $496.79 0 Aug/01/19 Jan/26/22
Description: 14545 APPLIED MATERIALS CHAMBER PANEL 0041-49417
spsglobal Used - $120.00 0 Aug/04/19 Jun/29/23
Description: 347-0301// AMAT APPLIED 0020-30729 PANEL,BACK,DOPANT, 7AMP L.S. E NEW
svcstore Used - $449.99 0 Aug/01/19 Sep/16/19
Description: LAM Research 810-707059-001 Rev.E3 PM Node 4 I/O Motherboard PCB Assembly Panel
bt_store1 Used - $85.00 0 Aug/06/19 Aug/23/19
Description: AMAT 0030-76004 BEZEL CONTROL PANEL
bt_store1 Used - $80.00 0 Aug/06/19 Jul/15/21
Description: AMAT 0150-20027 OBS CABLE ASSY OPERATOR PANEL P26
storemanager-2009 Used - $150.00 0 Aug/09/19 Jul/17/21
Description: NOVELLUS, 02-146360-00, PNEUMATIC PANEL, TEOS
storemanager-2009 Used - $75.00 0 Aug/15/19 Nov/12/20
Description: NOVELLUS 16-034266-00 PANEL, RF ENCLOSURE, LT
catalystparts Used - $250.00 0 Aug/15/19 Jun/29/23
Description: AMAT Applied Materials 0021-77282 Lower Rear Panel
gigabitpartsolutions NEW - $110.00 1 Aug/20/19 Mar/17/21
Description: Cable Applied Materials (AMAT) 0140-20574 HARNESS ASSY STEC MFC PANEL 2 SYS
itchelll57-5 Used - $160.00 0 Aug/22/19 Aug/29/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
capitolareatech Used - $47.50 0 Aug/23/19 Jun/23/20
Description: Applied Materials AMAT 0015-09019 HINGEMOD FACILITIES PANEL
capitolareatech Used - $28.58 0 Aug/23/19 Sep/06/19
Description: Applied Materials AMAT 0015-77063 PANEL MODIFIED
galacticmarketplace Used - $129.99 1 Aug/23/19 Dec/16/19
Description: APPLIED MATERIALS AMAT THREE CLIPPARD PANEL SWITCHES 0110-09263 REV A USED
j316gallery Used - $5,200.00 0 Aug/27/19 Sep/18/19
Description: 15903 APPLIED MATERIALS PCB GAS PANEL DISTRIBUTION BOARD 0100-35086
capitolareatech Used - $34.95 0 Aug/29/19 Dec/14/19
Description: Applied Materials (AMAT) 0021-35168 OUTRIGGER FRONT PANEL, CENTURA
capitolareatech Used - $36.95 0 Aug/29/19 Dec/14/19
Description: Applied Materials (AMAT) 3300-04539 FTG TBG UNION PANEL 3/8T 3.7LG PFA
itchelll57-5 Used - $100.00 0 Aug/29/19 Sep/05/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
j316gallery Used - $14,903.70 0 Sep/01/19 Jun/02/22
Description: 5262 APPLIED MATERIALS AC REMOTE CTRL PANEL 0180-02652
jayselectronics8 NEW - $6,455.00 0 Sep/02/19 Oct/02/20
Description: AMAT 0660-00223, Industrial Panel PC, 15" LCD w/ Touchscreen, Advantech
bt_store1 Used - $85.00 0 Sep/04/19 Jul/15/21
Description: AMAT 0030-76004 BEZEL CONTROL PANEL
j316gallery NEW - $496.79 0 Sep/05/19 Jan/04/23
Description: 15271 APPLIED MATERIALS PANEL, ACP FRAME MAINFRAME CH ABC COVER (NEW) 0040-39004
j316gallery NEW - $2.30 12 Sep/05/19 Sep/12/21
Description: 15316 APPLIED MATERIALS SCR PANEL MTG 10-32 X 1/2L PHH W/WSHR-NYL NEW 3690-02032
capitolareatech Used - $699.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-31874 PANEL SHIELD A & C POSITION SYSTEM CONTROL
capitolareatech Used - $250.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0100-20181 PCB ASSY OPERATOR CONTROL PANEL WITH IN
capitolareatech Used - $25.00 0 Sep/05/19 Sep/26/19
Description: Applied Materials AMAT 0020-15910 PANEL BLANK 19 X 522
capitolareatech Used - $12.95 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0020-18382 BRKT TWO FINAL VALVES GAS PANEL LOW K HD
capitolareatech Used - $28.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0020-77118 ROD SUPPORT PANEL
capitolareatech Used - $30.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0040-75100 BRACKET CB PANEL MTG
capitolareatech Used - $95.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0020-36391 COVER FRONT GAS PANEL TOP
capitolareatech Used - $175.00 0 Sep/05/19 Sep/18/19
Description: Applied Materials AMAT 0020-36392 COVER BACK GAS PANEL TOP
capitolareatech Used - $795.00 0 Sep/05/19 Sep/26/19
Description: Applied Materials AMAT 0050-41614 WELDMTLINE 2BASIC PANEL VERIFLO
itchelll57-5 Used - $80.00 0 Sep/05/19 Sep/12/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
capitolareatech Used - $98.10 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0050-03140 GAS LINE 5RA SPOOL O3 UPPER GAS PANEL D
itchelll57-5 Used - $60.00 0 Sep/12/19 Sep/19/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
spsglobal Used - $30.00 0 Sep/15/19 Oct/12/23
Description: 141-0701// AMAT APPLIED 0150-18014 CBL ASSY,GAS PANEL CUSTOMER INTERLOCK NEW
svcstore Used - $106.99 0 Sep/16/19 Jan/21/20
Description: AMAT 0090-07106 TAHOE UV CIOC Distribution Chassis Interface Panel Housing Assy
svcstore Used - $404.99 0 Sep/18/19 Sep/08/22
Description: LAM Research 810-707059-001 Rev.E3 PM Node 4 I/O Motherboard PCB Assembly Panel
itchelll57-5 Used - $60.00 0 Sep/19/19 Sep/26/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
pdcsystems Used - $500.00 0 Sep/25/19 Oct/25/19
Description: AMAT Applied Materials 0090-35042 Assembly Gas Panel H2 Sensor
itchelll57-5 Used - $60.00 0 Sep/26/19 Oct/03/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
j316gallery Used - $9,776.80 0 Oct/02/19 Sep/30/21
Description: 10411 APPLIED MATERIALS GAS MANIFOLD PANEL 0040-52548
itchelll57-5 Used - $60.00 0 Oct/03/19 Oct/10/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
itchelll57-5 Used - $60.00 0 Oct/10/19 Oct/17/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
epicrew01 Used - $1,580.00 0 Oct/15/19 Oct/06/21
Description: Applied Material AMAT 0100-35071 ASSY,PCB GAS PANEL DISTRIBUTION
usedeqsales Used - $810.19 0 Oct/17/19 Nov/18/20
Description: AMAT 0100-90533 H1 Beamline Vacuum Mimic Control Panel 0110-90533 Working Spare
itchelll57-5 Used - $60.00 0 Oct/17/19 Oct/24/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
spsglobal Used - $30.00 0 Oct/21/19 Oct/27/22
Description: 142-0701// AMAT APPLIED 0140-09121 HARNESS GAS PANEL COVER SWITCH USED
gesemiconductor Used - $50.00 0 Nov/07/19 Feb/09/24
Description: Applied Materials 0150-25963 Cable Assembly Interconnect MFC to Gas Panel
gesemiconductor Used - $100.00 0 Nov/07/19 Feb/09/24
Description: Applied Materials 0020-05833 Panel Floor Rear AC Box Cover MCE
gesemiconductor Used - $120.00 0 Nov/07/19 Feb/09/24
Description: Applied Materials 0021-35565 Panel Floor Pneumatics
gesemiconductor Used - $120.00 0 Nov/07/19 Feb/09/24
Description: Applied Materials 0021-38017 Panel Floor Rear DPS Chamber POS A/C PH-II FAC
itchelll57-5 Used - $55.00 0 Nov/08/19 Nov/15/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
novusferro Used - $599.00 1 Nov/18/19 Jan/20/20
Description: Applied Materials AMAT 0050-34815 Centura Gas Panel Valve Manifold
itchelll57-5 Used - $55.00 0 Nov/24/19 Dec/01/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
j316gallery Used - $888.80 0 Nov/28/19 Sep/23/21
Description: 14 APPLIED MATERIALS PCB CHMBR I/F W/O FRONT PANEL 0190-35208 0100-35054
itchelll57-5 Used - $55.00 0 Dec/01/19 Dec/08/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
capitolareatech Used - $199.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-04478 MOUNTING STRIP, FRONT PANEL
capitolareatech Used - $95.00 1 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0150-09203 HARNESS ASSEMBLY GAS PANEL POWER JUMPER
capitolareatech Used - $475.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0100-00208 PCB ASSY GAS PANEL 111 FUSED
capitolareatech Used - $285.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0100-00208 PCB, GAS PANEL 3 BOARD 
capitolareatech Used - $495.95 0 Dec/07/19 Aug/13/20
Description: Applied Materials (AMAT) 0150-09725 CABLE, ASSY 25' SPARE ANALOG GAS PANEL INT.
capitolareatech Used - $399.95 0 Dec/07/19 Aug/13/20
Description: Applied Materials (AMAT) 0150-09722 CABLE, ASSY 25' SIGITAL #1 GAS PANEL
itchelll57-5 Used - $55.00 0 Dec/08/19 Dec/15/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
itchelll57-5 Used - $55.00 0 Dec/15/19 Dec/22/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
capitolareatech Used - $2,795.95 1 Dec/18/19 Jan/30/20
Description: Applied Materials (AMAT) 0010-05014 ASSEMBLY SMIF KICK PANEL
capitolareatech Used - $289.95 0 Dec/20/19 Jun/20/20
Description: Applied Materials (AMAT) 0150-35314 Cable, Assy. Gas Panel Power 40FT
capitolareatech Used - $79.95 0 Dec/21/19 Jun/21/20
Description: Applied Materials (AMAT) 0020-31901 PANEL SHIELD SYSTEM ELECTRONICS GV B&D POS
capitolareatech Used - $59.95 0 Dec/21/19 Jun/21/20
Description: Applied Materials (AMAT) 0020-36049 PANEL CONVENIENCE OUTLET
itchelll57-5 Used - $55.00 0 Dec/22/19 Dec/29/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
capitolareatech Used - $79.95 0 Dec/22/19 Jun/22/20
Description: Applied Materials (AMAT) 0020-09801 PANEL FIXED
athomemarket NEW - $151.79 0 Dec/26/19 Mar/24/21
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
itchelll57-5 Used - $55.00 0 Dec/29/19 Dec/29/19
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
vincent-senior Used - $600.00 0 Jan/01/20 Jan/14/20
Description: 0100-01652 AMAT HDP CVD GAS PANEL BD.
farmoninc NEW - $750.00 0 Jan/06/20 Nov/11/22
Description: AMAT 0190-14760 Harness Assy Gas Panel 16 Stick Chamber, 327577
farmoninc Scrap, for parts - $1,400.00 0 Jan/06/20 Nov/08/20
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 416581
farmoninc Scrap, for parts - $1,400.00 0 Jan/06/20 Nov/08/20
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 423341
farmoninc Scrap, for parts - $1,400.00 0 Jan/06/20 Nov/08/20
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 423337
farmoninc Scrap, for parts - $1,050.00 0 Jan/06/20 Nov/08/20
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 416679
capitolareatech Used - $39.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-09642 PANEL CONNECTION 9PIN-D LIQ SOURCE
capitolareatech Used - $1,595.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials (AMAT) 0010-09417 RF MATCH, CVD NO COVER PANEL 
spsglobal Used - $3,000.00 1 Jan/12/20 Aug/23/21
Description: 320-0402// AMAT APPLIED 0090-03402 ASSEMBLY, PANEL LATCH CPCI PYRO BOARD 30 USED
spsglobal Used - $1,500.00 1 Jan/12/20 Jun/28/21
Description: 320-0402// AMAT APPLIED 0090-03402 ASSEMBLY, PANEL LATCH CPCI PYRO BOARD 30 ASIS
capitolareatech NEW - $129.95 0 Jan/15/20 Aug/13/20
Description: Applied Materials (AMAT) 0190-09027 PANEL SHIELD SYSTEM ELECTRONICS
capitolareatech NEW - $129.95 0 Jan/15/20 Aug/13/20
Description: Applied Materials (AMAT) 0190-21162 PCB, OPERATOR PANEL 
capitolareatech NEW - $1,495.95 0 Jan/15/20 Aug/13/20
Description: Applied Materials (AMAT) 0190-40011 PNEUMATIC MANIFOLD, GAS PANEL, 40 SATATION
j316gallery Used - $126.56 0 Jan/16/20 Apr/05/23
Description: 16762 APPLIED MATERIALS CABLE SPARE ANALOG GAS PANEL INTERCONNEC 10FT 0150-09604
farmoninc Scrap, for parts - $1,400.00 0 Jan/21/20 Nov/08/20
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 422368
farmoninc Scrap, for parts - $1,050.00 0 Jan/21/20 Nov/08/20
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 416172
farmoninc Scrap, for parts - $1,400.00 0 Jan/21/20 Nov/08/20
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 422370
surplus2012 NEW - $2,000.00 0 Jan/24/20 Jan/29/20
Description: APPLIED MATERIALS PCB GAS PANEL DISTRIBUTION BOARD 0100-35086
capitolareatech Used - $39.95 0 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0020-09557 PANEL
capitolareatech NEW - $89.95 0 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0020-18290 PANEL, TURBO CONTROLLER, EBARA 
capitolareatech Used - $189.95 0 Jan/25/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-09833 PANEL, FRONT LAMINAR FLOW
senior-inc Used - $120.00 1 Jan/27/20 Oct/28/22
Description: AMAT 0100-09068 OPERATOR CONTROL PANEL BD ASSY
capitolareatech Used - $239.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0040-09540 PANEL, FIXED DELTA MATCH INTERCONNECT
capitolareatech NEW - $55.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-70123 PANEL GENERIC ELECTRICAL I/O EXP GAS PAN
capitolareatech Used - $55.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-70123 Panel, Electrical I/O EXP GP
capitolareatech Used - $56.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-70462 PANEL, BREAKER MOUNTING 
capitolareatech Used - $19.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0600-01025 PANEL, LOUVERED
capitolareatech Used - $1,045.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0040-09149 ENC., GAS PANEL 28 LINE 0040-09152/09344
capitolareatech Used - $2,195.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-31859 Panel, Facilities Upp Phase IIA Metal Etch
dom0808 Used - $6,050.00 0 Jan/28/20 Feb/11/22
Description: Lam Research SPEC,Module,Remote Power Distribution Panel,2-Wide 853-109616-800
capitolareatech Used - $195.95 0 Jan/29/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-37303 PANEL, POS A/C BILKHEAD D-CONNS, CHMBR TRAY
capitolareatech Used - $189.95 0 Jan/29/20 Aug/13/20
Description: Applied Materials(AMAT) 0020-31835 PANEL, FACILITIES UPPER PHASE IIA NETAL ETCH
dom0808 Used - $1,551.00 0 Jan/30/20 Feb/11/22
Description: Lam Research ASSY,LEFT PANEL,AAMP HTR 853-066517-226
dom0808 Used - $1,551.00 0 Jan/30/20 Feb/11/22
Description: Lam Research ASSY,RIGHT PANEL,AAMP HTR 853-066517-225
dom0808 Used - $1,551.00 0 Jan/30/20 Feb/11/22
Description: Lam Research ASSY,RIGHT PANEL,AAMP HTR 853-066517-250
dom0808 Used - $1,551.00 0 Jan/30/20 Feb/11/22
Description: Lam Research ASSY,LEFT PANEL,AAMP HTR 853-066517-251
spsglobal Used - $2,000.00 1 Feb/03/20 Apr/18/24
Description: 332-0401// AMAT APPLIED 0010-30528 (#2) ASSEMBLY, UPPER FACILITIES PANEL, NEW
auctionrus Used - $350.00 0 Feb/10/20 Aug/25/22
Description: AMAT 0100-76046 Gas Panel Interface PCB, 452660
capitolareatech NEW - $189.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0040-76064 BEZEL, CONTROL PANEL
xsysengineering Used - $499.00 0 Feb/14/20 Jun/12/21
Description: Applied Materials P5000 Mainframe, Facility Panel, Assembly Upper 0010-09300
capitolareatech NEW - $9.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 0150-91783 CFAPUMP PIPEWORKROOF PANEL
capitolareatech NEW - $7.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials (AMAT) 0060-09025 LABEL FM5 PANEL & SCREEN, 5000 MAINFRAME.
miracbever NEW - $3,200.00 0 Mar/03/20 Mar/13/20
Description: AMAT APPLIED MATERIALS 0090-03402 ASSEMBLY PANEL LATCH CPCI PYRO BOARD
miracbever NEW - $3,200.00 0 Mar/15/20 Mar/25/20
Description: AMAT APPLIED MATERIALS 0090-03402 ASSEMBLY PANEL LATCH CPCI PYRO BOARD
spsglobal Used - $100.00 0 Mar/18/20 Feb/17/22
Description: 322-0502// AMAT APPLIED 0200-09657 PANEL REAR CBL LIQUID SOURCE [USED]
j316gallery Used - $921.36 1 Mar/19/20 Feb/17/22
Description: 16845 APPLIED MATERIALS PCB, NEXT GEN GAS PANEL PCB, EPI 300MM 0100-02723
j316gallery Used - $523.50 0 Apr/17/20 Jun/17/21
Description: 16508 APPLIED MATERIALS 12 SLOT MANF GAS PANEL PNUEMATICS PROD SE 0190-06402
miracbever NEW - $3,200.00 0 Apr/22/20 May/02/20
Description: AMAT APPLIED MATERIALS 0090-03402 ASSEMBLY PANEL LATCH CPCI PYRO BOARD
sigmasurplus Used - $634.92 1 Apr/23/20 May/12/21
Description: Applied Materials 0190-14224 Distribution Panel Revision 001
j316gallery Used - $1,361.10 0 May/10/20 Jan/19/21
Description: 18625 APPLIED MATERIALS EMC COMP. CABLE ASSY GAS PANEL #1 UMBI 12.2M 0150-76204
j316gallery Used - $1,361.10 0 May/10/20 Jun/13/21
Description: 18622 APPLIED MATERIALS EMC COMP.,CABLE ASSY,GAS PANEL UMBILICAL 0150-76177
j316gallery Used - $942.30 0 May/10/20 Jun/21/21
Description: 9738 APPLIED MATERIALS EMC COMP, CABLE ASSY, GAS PANEL UMBILIC, 7.48M 0150-76178
dalau-8931 NEW - $125.00 0 May/27/20 Jun/03/20
Description: Applied Materials Operator Control Panel Board 0100-09058 AMAT Precision 5000
dalau-8931 NEW - $119.00 0 Jun/03/20 Jun/10/20
Description: Applied Materials Operator Control Panel Board 0100-09058 AMAT Precision 5000
dalau-8931 NEW - $113.00 0 Jun/10/20 Jun/17/20
Description: Applied Materials Operator Control Panel Board 0100-09058 AMAT Precision 5000
dalau-8931 NEW - $107.00 0 Jun/17/20 Jun/24/20
Description: Applied Materials Operator Control Panel Board 0100-09058 AMAT Precision 5000
dalau-8931 NEW - $101.00 0 Jun/24/20 Jul/01/20
Description: Applied Materials Operator Control Panel Board 0100-09058 AMAT Precision 5000
pdcsystems Used - $405.00 1 Jun/25/20 Sep/07/20
Description: AMAT Applied Materials 0090-35042 Assembly Gas Panel H2 Sensor
usedeqsales Used - $706.20 0 Jun/29/20 Jul/14/22
Description: AMAT Applied Materials 0100-90533 Beamline Vacuum Control Panel PCB XR80 No Keys
dalau-8931 NEW - $95.00 1 Jul/01/20 Jul/02/20
Description: Applied Materials Operator Control Panel Board 0100-09058 AMAT Precision 5000
j316gallery Scrap, for parts - $1,045.95 1 Jul/08/20 Feb/23/21
Description: 19583 APPLIED MATERIALS PCB GAS PANEL SERIPLEX PCB CH-SET C (PARTS) 0190-35763
spsglobal Used - $40.00 0 Jul/08/20 Jun/18/23
Description: 340-0301// AMAT APPLIED 0020-24320 PANEL MTG SMIF ARM PCB [2ND NEW SOURCE]
spsglobal Used - $40.00 0 Jul/08/20 Jun/18/23
Description: 340-0301// AMAT APPLIED 0020-09759 PANEL ALCATEL TURBO BLANKOFF [2ND NEW SOURCE]
spsglobal Used - $280.00 0 Jul/09/20 Jun/29/23
Description: 141-0401// AMAT APPLIED 0020-23970 PANEL FRONT DC POWER/REMOTE GA [USED]
liquidationbazar Used - $1,999.67 0 Jul/15/20 Jul/30/20
Description: APPLIED MATERIALS GAS PANEL III BD. 0100-00208 A
usedeqsales Used - $507.20 0 Jul/23/20 Apr/13/23
Description: AMAT Applied Materials 0100-00582 Gas Panel Controller Backplane PCB Working
adelrick123 Used - $3,350.00 0 Aug/06/20 Apr/06/22
Description: Amat 0100-00446 Gas Panel Ctrl Backplane
adelrick123 Used - $2,650.00 0 Aug/06/20 Apr/06/22
Description: Amat 0100-01652 HDPCVD 300MM AP GAS Panel Dist.
capitolareatech NEW - $25.00 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-90284 Panel Switch, 15A, 125, 250 or 480VAC
gesemiconductor Used - $1,000.00 2 Aug/12/20 Mar/20/22
Description: LAM Research 810-017075-003 PCB Gas Panel Interlock Board
capitolareatech NEW - $32.81 1 Aug/14/20 Jun/14/21
Description: Applied Materials (AMAT) 0020-39412 PANEL FRONT CHAMBER INTERLOCKS RTP
capitolareatech NEW - $250.00 1 Aug/14/20 Apr/14/21
Description: AMAT 0030-98014 Moulding, Side Panel, RH
capitolareatech Used - $189.95 1 Aug/15/20 Jun/15/21
Description: Applied Materials (AMAT) 0040-76064 BEZEL, CONTROL PANEL
j316gallery Used - $313.05 0 Aug/23/20 Jan/12/21
Description: 20431 APPLIED MATERIALS PCB GAS PANEL INTLK W/PLASMA DETECT ULTIMA TE 0100-01859
capitolareatech Used - $179.95 0 Aug/24/20 May/10/23
Description: Applied Materials (AMAT) 0150-18017 Cable, Assy. Gas Panel Interlock
capitolareatech Used - $843.95 0 Aug/24/20 May/10/23
Description: Applied Materials (AMAT) 0150-09710 CABLE, ASSEMBLY SET CONTROL TO PANEL
capitolareatech Used - $99.95 0 Aug/24/20 May/10/23
Description: Applied Materials (AMAT) 0150-09203 Harness, Assy Gas Panel Power Jumper
capitolareatech Used - $539.95 0 Aug/24/20 Aug/31/23
Description: Applied Materials (AMAT) 0150-09603 CABLE,ANALOG #2 GAS PANEL INTERCONNECT
capitolareatech Used - $29.95 0 Aug/24/20 Dec/27/22
Description: Applied Materials (AMAT) 0150-18014 Cable, Assy. Gas Panel Customer Interlock
capitolareatech Used - $279.95 0 Aug/24/20 May/10/23
Description: Applied Materials (AMAT) 0150-09601 CABLE, SPARE DIGITAL GAS PANEL INTERCONNE
capitolareatech Used - $26.95 0 Aug/24/20 May/10/23
Description: Applied Materials (AMAT) 0140-09228 HARNESS ASSY GAS PANEL COVER SWITCH
capitolareatech Used - $107.95 0 Aug/24/20 Aug/31/23
Description: Applied Materials (AMAT) 0140-01159 Harness, Assy. Gas Panel Door SW INTLK
capitolareatech Used - $229.95 0 Aug/24/20 Aug/31/23
Description: Applied Materials (AMAT) 0140-35859 HARNESS, PRESS XDCR, STD VME GAS PANEL C
capitolareatech Used - $19.95 0 Aug/24/20 May/10/23
Description: Applied Materials (AMAT) 1015-03668 PANEL, COVER
capitolareatech Used - $19.95 0 Aug/24/20 May/10/23
Description: Applied Materials (AMAT) 1015-03700 PANEL, COVER
prism_electronics12 Used - $637.49 1 Sep/03/20 Oct/02/20
Description: LAM RESEARCH 810-017075-003 GAS PANEL PCB INTERLOCK RAINBOW
spsglobal Used - $80.00 0 Sep/07/20 Mar/03/22
Description: 136-0201// AMAT APPLIED 0020-09763 PANEL TURBO CONTROLLER [USED]
spsglobal Used - $80.00 0 Sep/07/20 Jun/18/23
Description: 136-0201// AMAT APPLIED 0020-09763 PANEL TURBO CONTROLLER [2ND SOURCE]
capitolareatech Used - $580.95 0 Sep/09/20 Mar/07/24
Description: Applied Materials 0150-09599 CABLE, ASSY DIGITAL #1 GAS PANEL INTER
capitolareatech Used - $580.95 0 Sep/09/20 Mar/07/24
Description: Applied Materials 0150-09599 CABLE, ASSEMBLY DIGITAL #1 GAS PANEL INTER
capitolareatech Used - $607.95 0 Sep/09/20 Mar/07/24
Description: Applied Materials 0150-09723 CABLE, ASSEMBLY 25' DIGITAL #2 GAS PANEL INTER
capitolareatech Used - $539.95 0 Sep/09/20 Mar/07/24
Description: Applied Materials 0150-09604 CABLE, ASSEMBLY SPARE ANALOG GAS PANEL INTER
capitolareatech Used - $607.95 0 Sep/09/20 Mar/07/24
Description: Applied Materials 0150-09727 CABLE, ASSEMBLY 25" ANALOG #1 GAS PANEL INTER
capitolareatech Used - $607.95 0 Sep/09/20 Mar/07/24
Description: Applied Materials 0150-09724 CABLE, ASSEMBLY 25" SPARE DIGITAL GAS PANEL INTER
gesemiconductor Used - $3,250.00 0 Oct/02/20 Feb/02/24
Description: Applied Materials 0090-91621 Beamline Vacuum Control Panel Chassis ITL
talon664-6 NEW - $129.20 0 Oct/13/20 Dec/01/20
Description: Novellus 10-272457-00 Tube Assembly, Panel Upgrade, V48A Long, C2ALT
talon664-6 NEW - $51.68 0 Oct/13/20 Dec/01/20
Description: Novellus 03-270684-00 Cable Assembly, C2ALT Panel Adaptor, A36PD to INTE
talon664-6 NEW - $51.68 0 Oct/13/20 Dec/01/20
Description: Novellus 03-270685-00 Cable Assembly, C2ALT Panel Adapter, MKS to Tylan
j316gallery Used - $150.00 0 Oct/18/20 Nov/24/21
Description: 21346 APPLIED MATERIALS CABLE ASSY GAS PANEL 4 STICK DNET MANIFO 0150-12543
farmoninc NEW - $95.00 1 Nov/03/20 Mar/23/21
Description: AMAT 0150-76175 EMC Comp Cable Assy, Operator Panel, P26, 453446
smartelektronikgmbh Used - $200.00 1 Nov/11/20 Apr/21/22
Description: 0100-01764 / SAFETY INTERLOCK GAS PANEL PRODUCER SE
galacticmarketplace NEW - $14.99 0 Nov/11/20 May/26/22
Description: Applied Materials Assy Panel Filler Rev.A 0010-10007 X1 #26
getspares.com_sparesllc09 Used - $1,662.77 0 Nov/12/20 May/26/22
Description: 0190-00064 / CMS-458 PNEUMATIC PANEL W/ INTERCONNECT (0100-00056) / AMAT
talon664-6 NEW - $72.67 0 Nov/13/20 Dec/01/20
Description: Novellus 15-270277-00 Mounting Plate, Interlocking, Panel, Altus
athomemarket NEW - $14.97 90 Nov/16/20 Dec/17/20
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly w/ Board
instockindustrial NEW - $63.24 0 Nov/24/20 Dec/24/20
Description: Novellus 15-270277-00 Mounting Plate, Interlocking, Panel, Altus
instockindustrial NEW - $119.04 0 Nov/24/20 Dec/24/20
Description: Novellus 10-272457-00 Tube Assembly, Panel Upgrade, V48A Long, C2ALT
instockindustrial NEW - $44.64 0 Nov/24/20 Dec/24/20
Description: Novellus 03-270685-00 Cable Assembly, C2ALT Panel Adapter, MKS to Tylan
instockindustrial NEW - $53.57 0 Nov/24/20 Dec/24/20
Description: Novellus 03-270684-00 Cable Assembly, C2ALT Panel Adaptor, A36PD to INTE
talon664-6 NEW - $80.75 0 Dec/01/20 Jan/01/21
Description: Novellus 15-270277-00 Mounting Plate, Interlocking, Panel, Altus
talon664-6 NEW - $152.00 0 Dec/01/20 Jan/01/21
Description: Novellus 10-272457-00 Tube Assembly, Panel Upgrade, V48A Long, C2ALT
talon664-6 NEW - $60.80 0 Dec/01/20 Jan/01/21
Description: Novellus 03-270684-00 Cable Assembly, C2ALT Panel Adaptor, A36PD to INTE
talon664-6 NEW - $60.80 0 Dec/01/20 Jan/01/21
Description: Novellus 03-270685-00 Cable Assembly, C2ALT Panel Adapter, MKS to Tylan
spareparts4computers Used - $872.44 0 Dec/11/20 Jan/04/24
Description: APPLIED MATERIALS 0100-20353 LIGHT TOWER OPERATION PANEL I/O BOARD (R5S8.7B2)
spareparts4computers Used - $327.17 0 Dec/11/20 Jan/04/24
Description: APPLIED MATERIALS 0100-20350 Operator Panel Bulkhead 300mm (R5S8.7B2)
instockindustrial NEW - $84.85 0 Dec/26/20 Sep/09/22
Description: Novellus 15-270277-00 Mounting Plate, Interlocking, Panel, Altus
instockindustrial NEW - $63.98 2 Dec/26/20 Aug/01/21
Description: Novellus 10-272457-00 Tube Assembly, Panel Upgrade, V48A Long, C2ALT
instockindustrial NEW - $63.85 0 Dec/26/20 Sep/09/22
Description: Novellus 03-270685-00 Cable Assembly, C2ALT Panel Adapter, MKS to Tylan
instockindustrial NEW - $63.85 0 Dec/26/20 Sep/09/22
Description: Novellus 03-270684-00 Cable Assembly, C2ALT Panel Adaptor, A36PD to INTE
spsglobal Used - $2,500.00 0 Jan/18/21 Mar/16/21
Description: 320-0202// AMAT APPLIED 0100-02134 PCB ASSEMBLY, GAS PANEL DISTRIBUTION [USED]
getspares.com_sparesllc09 Used - $604.80 0 Feb/04/21 Oct/19/21
Description: 810-017059-001 / PCB, GAS, PANEL, INTERLOCK / LAM RESEARCH CORPORATION
farmoninc NEW - $45.00 0 Feb/25/21 Apr/20/23
Description: 2 AMAT 0020-09972 Bracket Connector Gas Panel, 100311
athomemarket NEW - $101.79 0 Mar/24/21 May/22/22
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
xl-t_com Used - $424.00 0 Mar/29/21 Oct/19/21
Description: APPLIED MATERIALS - 0100-09106 - EXPANDED GAS PANEL INTERFACE BD.
xl-t_com Used - $320.00 0 Mar/29/21 Oct/19/21
Description: APPLIED MATERIALS - 0100-09153 - PCB,GAS PANEL INTERFACE W/O STANDOFFS (used)
xl-t_com Used - $600.00 0 Mar/30/21 Oct/19/21
Description: APPLIED MATERIALS - 0150-76234 - EMC COMP.,CALBE ASSY,PANEL TO REMOTE
xl-t_com Used - $50.00 0 Apr/02/21 Oct/19/21
Description: APPLIED MATERIALS - 0150-00240 - PNEUMATIC PANEL CABLE ASSY
xl-t_com Used - $50.00 0 Apr/02/21 Oct/19/21
Description: APPLIED MATERIALS - 0020-02104 - SEALING PANEL, SMALL, REMATA MODULE E
socotek1 NEW - $40.80 1 Apr/06/21 May/02/23
Description: NEW Applied Materials AMAT APC Power Supply Gas Panel Circuit Breaker 0242-76243
banyanteam NEW - $125.00 0 Apr/22/21 May/18/23
Description: Novellus 03-032760-00 Interlock Panel Seal Cable Assembly Rev D
j316gallery Used - $2,406.01 0 Apr/22/21 May/18/23
Description: 8354 LAM RESEARCH WATER COOLING PANEL, 853-024002-006 785-016037-001
spsglobal Used - $2,500.00 0 May/03/21 Aug/03/22
Description: 320-0202// AMAT APPLIED 0100-02134 PCB ASSEMBLY, GAS PANEL DISTRIBUTION [USED]
mac-tek Used - $499.99 2 Jun/16/21 Aug/18/21
Description: CTI Cryogenics Dual On-Board Touchpad Keyboard Control Panel
patkor1 Used - $94.50 0 Jun/16/21 Aug/18/21
Description: AdVanced Energy water cooling panel 190x120x20 radiator / # T L7B 7532
ecrunch Used - $450.00 0 Jun/16/21 Jun/30/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II FR/A
ecrunch Used - $850.00 0 Jun/16/21 Jun/15/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
ecrunch Used - $850.00 0 Jun/16/21 Jul/01/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
abcelectronics_saradean3 Used - $449.99 1 Jun/21/21 Oct/02/21
Description: CTI-CRYOGENICS ON-BOARD TERMINAL OPERATOR PANEL TM2500-001B
levmucciacciar0 Used - $700.00 0 Jun/22/21 Jul/11/23
Description: AMAT Applied Materials 0100-00572 0110-00572 Gas Panel Distribuiton EPI 300MM
visionsemi Used - $1,000.00 1 Jun/25/21 Sep/25/22
Description: ADVANCED ENERGY MAGNETRON REMOTE MINI PANEL MDX-052
llscience Used - $3,755.00 1 Jun/29/21 Jun/29/21
Description: AMAT Applied Materials 0100-35086 Gas Panel Distribution PCB Assembly 2024R3-IMF
electronicswest Used - $147.50 1 Jun/29/21 Jul/05/21
Description: CTI Cryogenics On-Board Keyboard Control Panel
farmoninc NEW - $295.00 0 Jun/29/21 Aug/01/22
Description: 2 AMAT 0020-04477 Stop, Front Panel Upper Retainer PIK, 101437
ecrunch Used - $450.00 0 Jul/03/21 Jul/25/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II FR/A
ecrunch Used - $850.00 0 Jul/03/21 Jul/25/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
rtxparts Used - $900.00 0 Jul/10/21 Sep/14/21
Description: AMAT (Applied Materials) GAS PANEL INTERFACE . 0100-76258 Rev A
buyama-3 Used - $1,500.00 0 Jul/10/21 Jul/18/21
Description: APPLIED MATERIAL 0010-09263 Pneumatic Panel, Assy. AMAT P-5000
buyama-3 Used - $1,500.00 0 Jul/19/21 Jul/28/21
Description: APPLIED MATERIAL 0010-09263 Pneumatic Panel, Assy. AMAT P-5000
ecrunch Used - $450.00 0 Jul/28/21 Aug/02/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II FR/A
ecrunch Used - $850.00 0 Jul/28/21 Aug/02/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
buyama-3 Used - $1,500.00 0 Jul/28/21 Aug/07/21
Description: APPLIED MATERIAL 0010-09263 Pneumatic Panel, Assy. AMAT P-5000
xl-t_com Used - $400.00 0 Jul/30/21 Oct/19/21
Description: LAM Research - 810-000671-001 - ASSY, PCB KEYBOARD CONT PANEL
ecrunch Used - $450.00 0 Aug/02/21 Aug/09/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II FR/A
ecrunch Used - $850.00 0 Aug/02/21 Aug/12/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
buyama-3 Used - $1,500.00 0 Aug/07/21 Aug/17/21
Description: APPLIED MATERIAL 0010-09263 Pneumatic Panel, Assy. AMAT P-5000
ecrunch Used - $450.00 0 Aug/09/21 Aug/15/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II FR/A
electronicswest Used - $180.50 1 Aug/09/21 Aug/16/21
Description: CTI Cryogenics On-Board Keyboard Control Panel
katiil3 Used - $149.00 0 Aug/11/21 Oct/23/21
Description: AMAT Applied Materials GAS PANEL BD. 0100-76258 Used
ecrunch Used - $850.00 0 Aug/12/21 Aug/23/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
ecrunch Used - $450.00 0 Aug/18/21 Aug/23/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II FR/A
usedeqsales Used - $708.21 0 Aug/18/21 Feb/29/24
Description: AMAT Applied Materials 0100-09106 Expanded Gas Panel Interface PCB Rev. 002 New
j316gallery NEW - $7,500.00 0 Aug/18/21 Dec/22/21
Description: 25897 APPLIED MATERIALS PCB ASSEMBLY, GAS PANEL DISTRIBUTION (NEW) 0100-35086
buyama-3 Used - $1,500.00 0 Aug/18/21 Aug/27/21
Description: APPLIED MATERIAL 0010-09263 Pneumatic Panel, Assy. AMAT P-5000
ecrunch Used - $850.00 0 Aug/23/21 Aug/31/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
ecrunch Used - $450.00 0 Aug/24/21 Sep/13/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II FR/A
usedeqsales Used - $1,608.21 1 Aug/27/21 Apr/20/23
Description: Novellus Systems 15-028190-00 Driver Motor Panel Parker OEM300 OEM750 Working
usedeqsales Used - $2,008.21 1 Aug/27/21 Aug/28/21
Description: Novellus Systems 02-032615-00 Metro NG Terminal Panel PCB Working Surplus
buyama-3 Used - $1,500.00 0 Aug/27/21 Sep/06/21
Description: APPLIED MATERIAL 0010-09263 Pneumatic Panel, Assy. AMAT P-5000
ecrunch Used - $850.00 0 Sep/07/21 Sep/15/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
buyama-3 Used - $1,500.00 0 Sep/07/21 Sep/16/21
Description: APPLIED MATERIAL 0010-09263 Pneumatic Panel, Assy. AMAT P-5000
xl-t_com Used - $1,200.00 0 Sep/10/21 Oct/19/21
Description: APPLIED MATERIALS - 0040-00250 - OPERATOR CONTROL PANEL ASSEMBLY
xl-t_com Used - $100.00 0 Sep/10/21 Oct/19/21
Description: APPLIED MATERIALS - 0040-00553 - HELIUM SUPPLY LINE (PANEL TO CONTROLLER)
buyama-3 Used - $1,995.00 1 Sep/10/21 Sep/15/21
Description: APPLIED MATERIAL 0010-09263 Pneumatic Panel, Assy. AMAT P-5000
katiil3 Used - $299.00 0 Sep/11/21 Sep/12/21
Description: Applied Materials AMAT 0150-76698 CABLE ASSY,GAS PANEL UMBILICAL#2,25FT.-E
katiil3 Used - $299.00 0 Sep/13/21 Oct/23/21
Description: Applied Materials AMAT 0150-76698 CABLE ASSY,GAS PANEL UMBILICAL#2,25FT.-E
xl-t_com Used - $900.00 0 Sep/13/21 Oct/19/21
Description: APPLIED MATERIALS - 0100-00572 - PCB ASSEMBLY GAS PANEL EPI 300MM
ecrunch Used - $450.00 0 Sep/14/21 Sep/26/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II FR/A
ecrunch Used - $850.00 0 Sep/15/21 Sep/26/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
rtxparts Used - $900.00 0 Sep/15/21 Dec/09/21
Description: AMAT (Applied Materials) GAS PANEL INTERFACE . 0100-76258 Rev A
usedeqsales Used - $859.21 0 Sep/16/21 Mar/03/22
Description: AMAT Applied Materials 0226-47607 Gas Panel Analog PCB Rev. A Working Surplus
usedeqsales Used - $359.21 3 Sep/17/21 Jan/25/22
Description: AMAT Applied Materials 0100-09106 Expanded Gas Panel Interface PCB Rev. E Spare
ecrunch Used - $450.00 0 Sep/27/21 Sep/30/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II FR/A
ecrunch Used - $850.00 0 Sep/27/21 Oct/03/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
ecrunch Used - $450.00 0 Sep/30/21 Oct/03/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II FR/A
katiil3 Used - $599.00 0 Sep/30/21 Oct/23/21
Description: Applied Materials AMAT PCB ASSY, GAS PANEL INTLK 0100-01864, 0090-08120, 0020-16
j316gallery Used - $400.00 1 Sep/30/21 Jun/09/22
Description: 26297 APPLIED MATERIALS PCB, MAINFRAME SIDE I/O PANEL (PARTS) 0100-00280
j316gallery Used - $11,233.50 0 Sep/30/21 Apr/24/23
Description: 10411 APPLIED MATERIALS GAS MANIFOLD PANEL 0040-52548
ecrunch Used - $850.00 0 Oct/03/21 Nov/14/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
ecrunch Used - $450.00 1 Oct/03/21 Oct/11/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II FR/A
40-30sourcing Used - $80.00 0 Oct/14/21 Jun/07/22
Description: PCB ASSY OPERATOR CONTROL PANEL 0100-09058 REV H
xl-t_com Used - $90.00 0 Oct/14/21 Oct/19/21
Description: APPLIED MATERIALS - 0020-33998 - PLATE COVER ACCESS PANEL P/C END POINT
capitolareatech NEW - $39.95 0 Oct/20/21 Jun/30/22
Description: Applied Materials (AMAT) 0020-20416 PANEL,BLANK-OFF,PLUG,RF GEN, CABINET
j316gallery Used - $7,500.00 0 Oct/28/21 Nov/08/21
Description: 26195 APPLIED MATERIALS ASSY, PS, APC GAS PANEL, 55FT, 0100-35173 0010-30377
capitolareatech NEW - $59.95 0 Nov/08/21 Jun/15/23
Description: Applied Materials (AMAT) 0150-37037 C/A ADAPATER STATUS LIGHT PANEL
katiil3 Used - $599.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT PCB ASSY, GAS PANEL INTLK 0100-01864, 0090-08120, 0020-16
katiil3 Used - $299.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0150-76698 CABLE ASSY,GAS PANEL UMBILICAL#2,25FT.-E
ecrunch Used - $850.00 0 Nov/14/21 Dec/01/21
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
ecrunch Used - $850.00 0 Dec/01/21 Jan/02/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
usedeqsales Used - $1,011.21 3 Dec/02/21 Apr/11/22
Description: AMAT Applied Materials 0100-00162 Expanded I/O PCB Chamber Panel Assembly Spare
rtxparts Used - $900.00 0 Dec/09/21 Feb/21/22
Description: AMAT (Applied Materials) GAS PANEL INTERFACE . 0100-76258 Rev A
ecrunch Used - $850.00 0 Jan/02/22 Feb/02/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
farmoninc Used - $350.00 0 Jan/08/22 Jun/15/23
Description: AMAT 0030-70085 BEZEL Monitor Front Panel, 103030
fa-parts Used - $2,000.00 1 Jan/08/22 May/31/23
Description: 1PCS AE ADVANCED ENERGY MDX II,3152264-001 A CONTROL PANEL WORKING FREE SHIP
ecrunch Used - $850.00 0 Feb/01/22 Feb/27/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
farmoninc Used - $850.00 0 Feb/09/22 May/04/23
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB Rev. C, 103542
powersell007 Used - $509.00 1 Feb/18/22 Jan/18/23
Description: ADVANCED ENERGY AE MDX-052 2052-015-B MINI PANEL REMOTE CONTROLLER DC MAGNETRON
rtxparts Used - $900.00 0 Feb/21/22 Aug/21/22
Description: AMAT (Applied Materials) GAS PANEL INTERFACE . 0100-76258 Rev A
ecrunch Used - $850.00 0 Feb/27/22 Mar/13/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
farmoninc Used - $350.00 0 Mar/12/22 Jan/30/23
Description: AMAT 0100-09106 Expanded Gas Panel Interface Board, PCB, FAB 0110-09106, 104082
toro.industrial.surplus Used - $450.00 2 Mar/12/22 Mar/31/22
Description: INTELLIGENT INSTRUMENTATION Cti Cryogenics ON-BOARD TERMINAL PANEL TM2500-001B
ecrunch Used - $850.00 0 Mar/13/22 Mar/30/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
farmoninc Used - $895.00 0 Mar/21/22 Jun/15/23
Description: AMAT 0010-70279 Facility Panel, Upper Facility CVD AMAT Precision 5000, 104131
ecrunch Used - $850.00 0 Mar/30/22 Apr/03/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
ecrunch Used - $850.00 0 Apr/03/22 Apr/27/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
uninaut_lc Used - $1,958.00 3 Apr/03/22 Jul/16/22
Description: ADVANCED ENERGY PINNACLE REMOTE MINI PANEL 31522327-100 A
farmoninc Used - $500.00 0 Apr/14/22 Jan/30/23
Description: AMAT 0100-09153 GAS PANEL BOARD, PCB, FAB 0110-09153, 105013
farmoninc Used - $500.00 0 Apr/19/22 Jan/30/23
Description: AMAT 0100-09153 GAS PANEL BOARD, PCB, FAB 0110-09153, 105068
j316gallery Used - $760.00 0 Apr/20/22 Jun/15/22
Description: 27781 APPLIED MATERIALS PCB, MAINFRAME SIDE I/O PANEL 0100-00280
farmoninc Used - $650.00 0 Apr/26/22 May/04/23
Description: AMAT 0020-76718 H2O PANEL SEMI S2 GEN RACK, RF GENERATOR COLING PANEL, 105168
ecrunch Used - $850.00 0 Apr/27/22 May/16/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
usedeqsales Used - $1,754.35 0 May/09/22 Mar/10/23
Description: AMAT Applied Materials 0041-39918 Mass Flow Controller Gas Panel Producer Spare
usedeqsales Used - $505.22 1 May/09/22 Mar/30/23
Description: Lam Research 852-014681-583 RF Generator Cart Power Panel Working Surplus
ecrunch Used - $850.00 0 May/16/22 May/30/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
cosplity Used - $1,800.00 0 May/20/22 Aug/20/22
Description: AMAT 4060-00999 GAS PANEL COMMUNICATION BOX 4060-00999B
athomemarket NEW - $101.79 0 May/22/22 Jul/20/23
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
farmoninc Used - $750.00 0 May/25/22 May/04/23
Description: AMAT 0150-76234 EMC COMP, CALBE ASSY, PANEL TO REMOTE, 105955
ecrunch Used - $850.00 0 May/30/22 Jun/29/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
usedeqsales Used - $1,753.11 1 Jun/10/22 Aug/11/22
Description: HiTek Power A1023050 Power Supply AMAT 0090-91917 Bent Panel Untested Spare
farmoninc Used - $195.00 0 Jun/16/22 Jan/04/24
Description: AMAT 0100-09058 PCB, OPERATOR CONTROL PANEL BOARD, 106862
farmoninc Used - $350.00 0 Jun/17/22 Jan/30/23
Description: AMAT 0100-09106 Expanded Gas Panel Interface Board, PCB, FAB 0110-09106, 106950
waste-not-recycling Used - $474.95 0 Jun/24/22 Aug/08/22
Description: Applied Materials AMAT 0100-09114 Rev B Gas Panel Board Assy w/ Clippard EV-3M-2
visionsemi Used - $3,000.00 0 Jun/28/22 Feb/15/23
Description: APPLIED MATERIALS HDPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001
ecrunch Used - $850.00 0 Jun/29/22 Jul/05/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
farmoninc NEW - $250.00 1 Jul/01/22 Aug/17/22
Description: AMAT 0020-33998 PLATE COVER ACCESS PANEL P/C END POINT, 107729
waste-not-recycling Used - $1,599.95 0 Jul/01/22 Aug/08/22
Description: AMAT Applied Materials Pneumatic Panel Interconnect Assy 0100-00056, CSM-458
ecrunch Used - $850.00 0 Jul/05/22 Jul/11/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
rtxparts Used - $8,000.00 0 Jul/08/22 Mar/14/23
Description: Amat P5000 FULL GAS PANEL Expanded Gas Panel Interface: 0100-09106 Rev E EOS Ga
ecrunch Used - $850.00 0 Jul/11/22 Aug/01/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
farmoninc Used - $850.00 0 Jul/13/22 Sep/08/22
Description: AMAT 0100-09115 Gas Panel Analog Board PCB, 108083
j316gallery Used - $1,700.00 1 Jul/15/22 Jul/15/22
Description: 28932 CTI-CRYOGENICS ON-BOARD NETWORK TERMINAL W/ PANEL (PARTS) 8113040G003
visionsemi Used - $5,170.65 0 Jul/18/22 May/29/23
Description: APPLIED MATERIALS 0100-01652 REV 001 HPCVD 300MM AP GAS PANEL DISTRIBUTIONS ASSY
coolgizmos Used - $1,495.00 1 Jul/18/22 Sep/12/22
Description: Advanced Energy 3152327-000 B Pinnacle Power Supply Remote Control Mini Panel
ecrunch Used - $850.00 0 Aug/01/22 Aug/21/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
myriadindustrial NEW - $28.75 1 Aug/01/22 Feb/17/23
Description: 19 ea Screw Captice Panel 1/4-20XLXS/8THD SLO AMAT Applied Materials 3690-03327
waste-not-recycling Used - $474.95 1 Aug/08/22 Jan/31/23
Description: Applied Materials AMAT 0100-09114 Rev B Gas Panel Board Assy w/ Clippard EV-3M-2
waste-not-recycling Used - $1,099.95 0 Aug/08/22 Jun/08/23
Description: AMAT Applied Materials Pneumatic Panel Interconnect Assy 0100-00056, CSM-458
ecrunch Used - $850.00 0 Aug/21/22 Sep/05/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
waste-not-recycling Used - $375.95 0 Aug/29/22 Jun/08/23
Description: AMAT GAS Panel III BD Assy. 0100-00208 Applied Materials
ecrunch Used - $850.00 0 Sep/05/22 Sep/25/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
farmoninc Used - $2,500.00 1 Sep/09/22 Sep/10/22
Description: Novellus 02-032615-00 Terminal panel CVD-D, 27-032620-00, 76-032619-00, 109728
xiangha Used - $3,500.00 1 Sep/15/22 Jan/04/23
Description: Novellus ASSY,PANEL,OEM750 DRIVE,C1 Novellus P/N: 02-153946-00
all-of-cpu Used - $99.00 0 Sep/22/22 Apr/19/23
Description: AMAT 0090-03653, GAS PANEL LEAK SENSOR
usedeqsales Used - $1,009.22 1 Sep/22/22 Sep/22/22
Description: AMAT Applied Materials 0100-35057 Gas Panel Distribution PCB Working Surplus
ecrunch Used - $850.00 0 Sep/25/22 Oct/16/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
usedeqsales Used - $1,809.22 1 Sep/29/22 Mar/13/23
Description: AMAT Applied Materials 0010-02513 300mm HDPCVD MKS Mass Flow MFC Panel Working
grandbirdnet Used - $950.00 1 Oct/04/22 Dec/26/22
Description: AMAT 0190-06402 MANIFOLD, GAS PANEL PNUEMATICS PROD SE, USED
alldeal25 Used - $2,950.00 0 Oct/09/22 Nov/04/23
Description: AMAT GAS PANEL MANIFOLD "G" APPLIED MATERIALS 0190-35197
buyhitek Used - $1,250.00 1 Oct/09/22 Oct/10/22
Description: Advanced Energy 3152327-000 Pinnacle Power Supply Remote Control Mini Panel
ecrunch Used - $850.00 0 Oct/16/22 Oct/30/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
ecrunch Used - $850.00 0 Oct/30/22 Nov/20/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
farmoninc NEW - $2,195.00 0 Nov/08/22 Apr/19/24
Description: AMAT 0010-30528 ASSEMBLY, UPPER FACILITIES PANEL, MxP + OXIDF, 111257
sgcequipment Used - $400.00 0 Nov/10/22 Dec/21/23
Description: Applied Materials (AMAT) 0140-13583 REV 003 TLB SM18089/1 32/6 CONNECTOR PANEL
ecrunch Used - $850.00 0 Nov/20/22 Nov/28/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
farmoninc Used - $750.00 0 Nov/22/22 Mar/08/23
Description: AMAT 0100-76046 Gas Panel Interface PCB, 111607
farmoninc Used - $850.00 0 Nov/22/22 Mar/02/23
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB Rev. C, 111630
liquiditech Used - $256.50 0 Nov/24/22 May/23/23
Description: APPLIED MATERIALS AMAT FRONT PANEL 0041-00502 REV 006 300045-067-E006
ecrunch Used - $850.00 0 Nov/28/22 Dec/27/22
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
avro66 NEW - $300.00 0 Dec/18/22 Mar/18/23
Description: Applied Materials (AMAT) 0850-00088 ASSY flat panel MONITOR THRU THE WALL
ecrunch Used - $850.00 0 Dec/27/22 Jan/04/23
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
farmoninc NEW - $2,750.00 0 Jan/04/23 Aug/31/23
Description: AMAT 0100-00208, PCB ASSY GAS PANEL III FUSED BOARD, KIT 0240-00867, 112976
ecrunch Used - $850.00 0 Jan/05/23 Jan/22/23
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
farmoninc NEW - $375.00 0 Jan/06/23 Oct/12/23
Description: AMAT 0040-75314 PVD CHMBER POS D WATER RETURN PANEL, 0240-07292, 113090
pcexchange_sales Used - $1,579.00 0 Jan/19/23 Aug/09/23
Description: AMAT Centura AP Gas Panel Temperature Controller P/N 0190-24680
ecrunch Used - $850.00 0 Jan/23/23 Feb/05/23
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
farmoninc Used - $400.00 0 Jan/24/23 Oct/20/23
Description: AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly, 113965
ecrunch Used - $850.00 0 Feb/06/23 Mar/02/23
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
ecrunch Used - $850.00 0 Mar/02/23 Mar/20/23
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
rtxparts Used - $8,000.00 0 Mar/15/23 Oct/09/23
Description: Applied Materials P5000 FULL GAS PANEL Expanded Gas Panel AMAT 0100-09106
getspares.com_sparesllc09 Used - $1,043.77 1 Mar/15/23 Apr/12/23
Description: 810-017075-013 / GAS PANEL PCB ASSY / LAM RESEARCH
teamequip Used - $999.99 0 Mar/16/23 Apr/13/23
Description: Applied Materials CMS-458 0190-00064 Pneumatic Valve Panel Assembly T195970
ecrunch Used - $850.00 0 Mar/21/23 Apr/19/23
Description: ADVANCED ENERGY 3152264-000 CONTROL PANEL 3152264-000A MDX II
lasar Used - $800.95 1 Mar/26/23 Aug/03/23
Description: CELERITY 0010-90811 SiF4 GAS PANEL ASSEMBLY
labedge Used - $895.00 0 Mar/29/23 Apr/08/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
labedge Used - $695.00 0 Mar/29/23 Apr/08/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
getspares.com_sparesllc09 NEW - $2,998.56 0 Apr/04/23 Jun/04/23
Description: 0040-00250 / PANEL TEC OPERATOR CONTROL PANEL ASSY / APPLIED MATERIALS AMAT
labedge Used - $895.00 0 Apr/08/23 Apr/18/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
labedge Used - $695.00 0 Apr/08/23 Apr/18/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
farmoninc Used - $800.00 1 Apr/11/23 Dec/12/23
Description: AMAT 0100-90640 PWBA I TO V CONVERTOR, 104973
teamequip Used - $999.99 0 Apr/17/23 May/16/23
Description: Applied Materials CMS-458 0190-00064 Pneumatic Valve Panel Assembly T195970
labedge Used - $695.00 0 Apr/19/23 Apr/29/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
labedge Used - $895.00 0 Apr/19/23 Apr/29/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
all-of-cpu Used - $85.50 0 Apr/19/23 Jul/22/23
Description: AMAT 0090-03653 gas panel leak sensor
labedge Used - $895.00 0 Apr/29/23 May/09/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
labedge Used - $695.00 0 Apr/29/23 May/09/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
avro66 NEW - $300.00 0 May/12/23 Jun/12/23
Description: Applied Materials (AMAT) 0850-00088 ASSY flat panel MONITOR THRU THE WALL
labedge Used - $671.25 0 May/15/23 May/24/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
labedge Used - $521.25 0 May/15/23 May/24/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
teamequip Used - $999.99 0 May/24/23 Jun/22/23
Description: Applied Materials CMS-458 0190-00064 Pneumatic Valve Panel Assembly T195970
labedge Used - $390.94 0 May/31/23 Jun/10/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
labedge Used - $503.44 0 May/31/23 Jun/10/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
j316gallery Used - $1,200.00 0 Jun/09/23 Jun/22/23
Description: 32843 SMC 12-SLOT GAS PANEL PNUEMATICS PROD SE, AMAT 0190-06402 US5833
labedge Used - $293.21 0 Jun/11/23 Jun/21/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
labedge Used - $377.58 0 Jun/11/23 Jun/21/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
waste-not-recycling Used - $899.95 0 Jun/12/23 Jan/01/24
Description: AMAT Applied Materials Pneumatic Panel Interconnect Assy 0100-00056, CSM-458
cosplity Used - $900.00 1 Jun/23/23 Dec/24/23
Description: AMAT 0100-01321 DIGITAL I/O BOARD ASSY(MISSING FRONT PANEL)
labedge Used - $283.19 1 Jun/24/23 Jul/04/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
labedge Used - $219.91 1 Jun/24/23 Jul/04/23
Description: Edwards CTI Cryogenics Cryo-Torr CTI Cryogenics On-Board Panel Keypad Controller
automationlink44 Used - $400.00 1 Jun/27/23 Jul/16/23
Description: AMAT Applied Materials 0100-09114 Gas Panel Board PCB Rev. B P5000
avro66 NEW - $300.00 0 Jun/29/23 Jul/28/23
Description: Applied Materials (AMAT) 0850-00088 ASSY flat panel MONITOR THRU THE WALL
teamequip Used - $999.99 0 Jul/04/23 Aug/03/23
Description: Applied Materials CMS-458 0190-00064 Pneumatic Valve Panel Assembly T195970