[click to login]
WSEMI


TAGS > 200mm

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0200-01345 Quartz ring, 200mm, Emax
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0200-02073 Cover Ring, 200mm
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0021-39792 New Perf Plate, Shower Head, OX, 200mm, TEOS, LC
usedeqsales
[view on eBay]
Used 1
in stock
$211.88
Description: Lam Research 715-130092-008 200mm Robot End Effector Fork Rev. B Working Surplus
farmoninc
[view on eBay]
NEW 6
in stock
$2,200.00
Description: NEW AMAT 0190-09348 P5000 CVD Chamber susceptor assy. 200mm, with certificate
bhjang1
[view on eBay]
Used 1
in stock
$3,500.00
Description: Novellus, Heater Block 200mm, 19-024227-00
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0021-00407 Collar Ring, Showerhead, 200mm double
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0020-22196 Flame sprayed pedestal, 200mm
j316gallery
[view on eBay]
Used 52
in stock
$661.34
Description: 72 APPLIED MATERIALS PLATE, REFLECTOR, 200MM, MOD II 0021-35008
j316gallery
[view on eBay]
Used 11
in stock
$504.66
Description: 73 APPLIED MATERIALS 200MM BPSG IMPRVD REFLECTOR PLATE 0040-02926
j316gallery
[view on eBay]
Used 16
in stock
$698.34
Description: 74 APPLIED MATERIALS 200MM XE BPSG CHAMBER TOP REFLECTOR PLATE 0021-39570
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0021-02563 Brace tantalum vaporizer 200mm
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 new AMAT 0021-07266 power upper reflector 200mm pre- clean
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0021-08722 plunger spindle 200mm IBC IECP
j316gallery
[view on eBay]
Used 3
in stock
$488.81
Description: 486 APPLIED MATERIALS DUAL SNSR END POINT DETR 200MM 0010-06285
grandbirdnet
[view on eBay]
NEW 1
in stock
$550.00
Description: LAM RESEARCH 716-018614-082 Focus Ring 200mm,Jeida,ESX,4420, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0200-02873 200MM GDP PALTE, WORKING
grandbirdnet
[view on eBay]
NEW 2
in stock
$1,500.00
Description: AMAT 0010-08113 ASS'Y, INPUT GRIPPER,UPGRADE,200MM MESA, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 0015-01460 DIN RAIL MOD, PS F/P MONITOR, 200MM POLISHER, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$135.00
Description: AMAT 0021-03121 BRACKET,CABLE CARRIER,RGT STDF,200MM SMI, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$980.00
Description: AMAT 0040-77885 SKIN REAR, UPPER RIGHT 200MM CLEANER, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$55.00
Description: NEW AMAT 0040-41085 Foreline, Vertical Producer 200MM, 200 MM, 2-15688701-383
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: NEW AMAT 0021-26653 Left wall, Megasonic, FM 4910, 200MM CMP
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: NEW AMAT 0040-85376 Shield Front, Cleaner, 200MM Desica, 200 MM
farmoninc
[view on eBay]
NEW 4
in stock
$695.00
Description: NEW AMAT 0200-09638 Cylinder QTZ 200MM EXT CATCH CERAMIC, 200 MM Quartz
farmoninc
[view on eBay]
NEW 1
in stock
$5,000.00
Description: Novellus Cu, 200mm ceramic ring 196mm cwp low profile 02-130754-00
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-12729 Harness Pneumatic, 200mm Desica, Dryer Low
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0020-26112 clamping ring 8 inch HOT SNNF Aluminum 200mm clamp ring
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0200-01977 Ring Lift Tube 200mm ALD-TICL4 TIN
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0040-80375 DDF3 TRVL HSG, HEAD ASSY, PAD CONDITIONER 200MM, USED
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,000.00
Description: AMAT 0041-02032 BRACKET MOUNTING EXTENDER WITH LOTO BOX 200MM, NEW
farmoninc
[view on eBay]
Used 1
in stock
$2,400.00
Description: AMAT 0010-30059 ESC, Flat Chuck, Pedestal Assy, 200MM, 0021-09100, 0040-32105
farmoninc
[view on eBay]
NEW 1
in stock
$2,950.00
Description: AMAT 0010-30109 Pedestal Assy, 200MM Notch, S - XTAL, Edge FE, Etch Chamber
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0020-51901 Finger Transfer Anneal 200MM ECO SC
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 1410-00261 HTR JACKET, CH A,B,C, ZONE4, 200MM
farmoninc
[view on eBay]
NEW 2
in stock
$350.00
Description: AMAT 0200-09249 Ring, Pedestal, 200MM Oxide, Flat
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: NEW AMAT 0200-09918 Cover, Quartz, 200mm, high profile flat, etch chamber
farmoninc
[view on eBay]
NEW 6
in stock
$450.00
Description: AMAT 0200-09918 Cover QTZ 200MM High Profile Flat
farmoninc
[view on eBay]
NEW 9
in stock
$750.00
Description: AMAT 0200-09478 Plate Gas Dist Upper 200MM PRSP3
farmoninc
[view on eBay]
NEW 1
in stock
$3,000.00
Description: AMAT 0040-32263 ESC Assembly, Chuck 200MM, Notch with paperwork
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0090-09276 ESC Assembly, Chuck 200MM, DPS Chamber
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 1410-00261 HTR Jacket, CH A,B,C Zone 4, 200MM
farmoninc
[view on eBay]
Used 1
in stock
$550.00
Description: AMAT 0200-36541 LINER, LID, TI-XZ 200MM.
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0200-00072 Insert, Quartz, 200MM EXT. Cathode
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0010-08054 Assy, Removable Cover, Nova Mu 200MM
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: 2 AMAT 0040-32263 ESC Assembly, Chuck 200MM, Notch 327101
grandbirdnet
[view on eBay]
NEW 1
in stock
$850.00
Description: AMAT 0140-04294 HARNESS ASSY, MEGASONIC POLYLINE 200MM CLEANER, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0200-02389 Cover Ring, 200MM, Super-E
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 1270-00380 SW Assembly ST1,2 Mapping Interlock 200MM FA
farmoninc
[view on eBay]
NEW 2
in stock
$650.00
Description: AMAT 0040-03290 Plate, Leveling, Univ. Cassette Plat. 200MM
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0020-23551 Cover, TC AMP, 200MM WXZ
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0190-11964 Gasline, Heated Delivery Line #2, 200MM T
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0020-21596 Insulator, TC AMP ENCL, WXZ 200MM
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0190-11966 Gasline, Heated, 200mm
bigg.logistics101
[view on eBay]
Used 1
in stock
$299.99
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID 200MM PRODUCER 0040-50344 REV 002
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0040-04466 Claw, upper gripper LR 200mm
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0010-10542 Assembly, Pedestal, 200MM, MXP, Dilelectric, Chuck,
farmoninc
[view on eBay]
NEW 2
in stock
$400.00
Description: NEW AMAT 0200-40080 Clamp, ESC, 200mm, Quartz Ring
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0020-31639 COIL, SYMMETRICAL, Insert, NI, Inner, 200MM ESC
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0040-40111 Assy, 2 Slot Tray, 200mm, Non-Enp
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: NEW AMAT 0020-32130 Spacer N15 Shunt 200mm ESC Etch, 327849
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 NEW AMAT 0200-00472 PAD, REAR RIGHT, BLADE, UNIVERSAL, 200mm
farmoninc
[view on eBay]
NEW 1
in stock
$695.00
Description: AMAT 0020-30602 pumping plate, 200mm shadow ring, CVD chamber
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0021-26653 left wall, megasonic, FM 4910, 200mm CMP
farmoninc
[view on eBay]
NEW 2
in stock
$390.00
Description: AMAT 1410-00256 Heater Jacket, CHC, Zone 1, 200MM Producer
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0020-34029 Suspector, TISA, THK, BB, 200MM w/150m
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0040-45759 Cal Tool, Two Arm 200MM IBC IECP2
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-43073 Cover, CBI AC Box 200MM Radiance
farmoninc
[view on eBay]
NEW 3
in stock
$250.00
Description: AMAT 1410-00262 Heater Jacket, CH A, B, C, Zone 3, 200MM Produ
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 3 AMAT 0021-14119 Spacer, PCV Controller, 200MM Radiance
farmoninc
[view on eBay]
NEW 2
in stock
$450.00
Description: AMAT 0040-85376 Shield Front, Cleaner, 200MM Desica
farmoninc
[view on eBay]
NEW 2
in stock
$200.00
Description: AMAT 0021-02148 Blank, Chamber HT TIN CVD 200MM
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0010-22228 Assy, Cass Present Sensor 200MM LLA
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0040-46296 Adapter chamber 200mm T.C14 Tin
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-04118 Drain, Weldment, Post, EBR 200MM, Electr
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0021-39389 Plate, reference indicator, 200MM
farmoninc
[view on eBay]
NEW 2
in stock
$350.00
Description: AMAT 0040-09568 Finger Assembly, Long, Walking Beam, 200MM
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 4 AMAT 0020-10153 Buffer Cassette Male 200MM
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 3 AMAT 0021-79241 Flag, Limit, Output Module 200MM Mirra
equipplus
[view on eBay]
Used 1
in stock
$109.00
Description: Lam Research 713-250733-001 Plate,Test,Top,200mm,PROC,CHMB,Unused_,3486
grandbirdnet
[view on eBay]
NEW 3
in stock
$2,390.00
Description: AMAT 0021-03568 FACEPLATE 200MM SACVD PRODUCER , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$795.00
Description: AMAT 0020-19139 Pumping Plate, 200MM ALD-Tin
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0021-08016 COVER, CABLE ROUTE EBR 200MM ELECTRA E, 399493
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0021-08016 COVER, CABLE ROUTE EBR 200MM ELECTRA E
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,900.00
Description: AMAT 0140-08724 HARNESS, E-CHAIN AI/O HEAD, 200MM , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0040-83031 Bracket, Walking Beam Cable, 200MM Desic
farmoninc
[view on eBay]
NEW 1
in stock
$275.00
Description: AMAT 0140-12728 Harness Pneumatic, 200MM Desica, Brush2 LO
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 AMAT 0010-04047 Hose Cooling MOD Return 200MM Preclean Parker Parflex
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0040-85777 COVER WB REAR TOP RIGHT FM 4910 200MM CM
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-12728 HARNESS ASSY PNEUMATIC 200MM D
farmoninc
[view on eBay]
NEW 1
in stock
$490.00
Description: AMAT 1410-00252 HTR Jacket CH A B C Zone5 200MM Produ Watlow S010088500, 399770
farmoninc
[view on eBay]
NEW 2
in stock
$490.00
Description: AMAT 1410-00252 HTR Jacket, CH A, B, C, Zone5, 200MM Produ, Watlow S010088500
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0021-23329 SHIELD, CHAMBER SIP II 200MM AMAT *NEW IN BOX*
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0040-83031 BRACKET, WALKING BEAM CABLE, 200MM DESIC
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0040-04144 Top, Bowl, EBR 200MM, Electra
grandbirdnet
[view on eBay]
Used 23
in stock
$790.00
Description: AMAT 0200-10073 INSULATOR,QUARTZ,200MM, SIMPLE CATHODE , Refurbished
farmoninc
[view on eBay]
Used 1
in stock
$1,900.00
Description: AMAT 0020-34096 ESC Chuck, 200mm, Etch Chamber, 400231
farmoninc
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0020-32151 ESC Chuck, Pedestal 200mm, Notch HVIB, 0040-09797, 400258
farmoninc
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 0020-32151 ESC Chuck, Pedestal 200mm, Notch HVIB, 0040-09797, 400256
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0040-09961 0020-32963 ESC Chuck 200mm Etch Ch, 400267
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0010-10528 0040-09957 0040-09961 0020-32963 ESC Chuck 200mm Etch Ch, 400265
grandbirdnet
[view on eBay]
Used 1
in stock
$1,700.00
Description: AMAT 0140-14120 HARNESS ASSY, DIGITAL I/O HD1-2, 200MM C, USED
grandbirdnet
[view on eBay]
NEW 14
in stock
$95.00
Description: NOVELLUS 15-053394-02 Spindle Fork Finger Min Contact 200mm, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$1,380.00
Description: AMAT 0020-42112 CYLINDER, LIFT, AL, 200MM, CERAMIC , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0190-01280 Module, Cooling, 200MM Pre-Clean, Ametek Rotron 1824-03, 401119
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0200-09424 Ring Focusing Quartz Aluminum 200MM 1.14 HT Notch, 401192
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0200-09424 Ring Focusing Quartz Aluminum 200MM 1.14 HT Notch, 401191
farmoninc
[view on eBay]
Used 3
in stock
$4,900.00
Description: AMAT 0190-09491 200mm Durathon Susceptor, SUSC ASSY T2 WELDED 401320
farmoninc
[view on eBay]
NEW 1
in stock
$499.00
Description: AMAT 0140-06132 HARNESS ASSEMBLY EC VALVE 200MM WALD 401413
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0200-00864 Insulator, Pedestal, Ceramic, Hart 200MM, 401807
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0021-04619 BRACKET, PIO, MNL, FEND, 200MM, PRODUCER 402088
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: AMAT 0021-13975 Motor Mounting, Spindle, IBC 200mm, SF3 402094
farmoninc
[view on eBay]
NEW 2
in stock
$175.00
Description: AMAT 0021-04619 BRACKET, PIO, MNL, FEND, 200MM, PRODUCER 402143
auctionrus
[view on eBay]
Used 1
in stock
$2,500.00
Description: Novellus 03-121097-00 Heater Chuck 16-156335-00 200mm Assy PVD Chamber 402652
auctionrus
[view on eBay]
Used 1
in stock
$2,500.00
Description: Novellus 03-121097-00 Heater Chuck 16-156335-00 200mm Assy PVD Chamber 402651
auctionrus
[view on eBay]
NEW 6
in stock
$175.00
Description: 10 AMAT 0020-81547 Cap, Pressure, 200mm
auctionrus
[view on eBay]
NEW 9
in stock
$17.50
Description: AMAT 0020-81547 Cap, Pressure, 200mm
grandbirdnet
[view on eBay]
NEW 10
in stock
$1,100.00
Description: AMAT 0021-78403 WAFER BASE 200MM , NEW
auctionrus
[view on eBay]
NEW 1
in stock
$315.00
Description: AMAT 0140-12730 Harness Pneumatic, 200mm Desica, System Lo, 407146
auctionrus
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0040-04687 , Adapter Coding Module, 200mm, 407145
auctionrus
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0040-04687 , Adapter Coding Module, 200mm, 407144
auctionrus
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT 0140-03569 Harness Assembly, XDR SIH4, 200mm TISIN, 407235
auctionrus
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT 0140-11789 Cable Assembly, SRD Exhaust, 200mm MESA, 407267
auctionrus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0140-11789 Cable Assembly, SRD Exhaust, 200mm MESA, 407266
auctionrus
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT 0140-03569 HARNESS ASSY ASSMEBLY XDR SIH4 200MM TISIN 06-05-01 T112 407284
auctionrus
[view on eBay]
NEW 1
in stock
$2,450.00
Description: AMAT 0240-17407 UPGRADE KIT, SP (SLURRY) PUMP 200MM. 407424
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$935.00
Description: OEM Part Applied Materails (AMAT) 0040-18023 PEDESTAL,COATED,200MM,SNNF,MK4,CVD
grandbirdnet
[view on eBay]
NEW 5
in stock
$750.00
Description: AMAT 0020-10732 PLATE, PUMPING BW 200MM , NEW
auctionrus
[view on eBay]
NEW 1
in stock
$66.50
Description: AMAT 0021-12611 Keeper Lift Tube Ring 200mm CWXZ, 409168
auctionrus
[view on eBay]
NEW 1
in stock
$105.00
Description: AMAT 0021-06069 Gasket, C - ESC, 2 HE Zone, SNNF, 200mm, M, 409225
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0021-19069 Handle, SCA, 200MM, DPN, 12939900-212, 409376
auctionrus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0150-08690 Cable, Overtemp SW, 200MM HTC, DPSII, 410533
auctionrus
[view on eBay]
NEW 1
in stock
$3,700.00
Description: AMAT 0010-05047 ASSEMBLY, SUSCEPTOR, 200MM, TIC-CVD. 410928
auctionrus
[view on eBay]
NEW 2
in stock
$450.00
Description: AMAT 0140-12730 HARNESS PNEUMATIC, 200MM DESICA, SYSTEM LO. 411059, 411060.
auctionrus
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-12730 HARNESS PNEUMATIC, 200MM DESICA, SYSTEM LO. 411079
auctionrus
[view on eBay]
NEW 1
in stock
$550.00
Description: AMAT 0010-10615 ASSY, PEDESTAL, 200MM MXP, DIELECTRIC, NO. 411243
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-11337 Cable Assy, Power Concen, Monitor 200MM, 411364
auctionrus
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0140-01502 HARNESS ASSY SRD DI HEATER CONDUIT 200MM. 411440
ecomicron
[view on eBay]
NEW 1
in stock
$5,000.00
Description: 0010-30109 AMAT, PEDESTAL ASSY 200MM NOTCH
ecomicron
[view on eBay]
NEW 2
in stock
$950.00
Description: 0200-01367 AMAT, COVER RING, QUARTZ, THICK 45 DEG, 200MM
ecomicron
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 0200-09706 RING,CENTERING,200MM 194 MM,NOTCH(200.5), AMAT
auctionrus
[view on eBay]
Used 1
in stock
$65.00
Description: AMAT 0021-79632 COVER, SEC ENCL TOP, MEG, MESA, 200MM, Farmon ID 412691
ecomicron
[view on eBay]
NEW 2
in stock
$3,000.00
Description: 0010-09662, AMAT, ASSY SUS .271 THK 200MM BWCVD
ecomicron
[view on eBay]
Used 1
in stock
$2,500.00
Description: 0200-09320, Applied Materials, RING OUTER 200MM SHADOW RING
auctionrus
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0020-83300, Housing, 200MM. 413679
auctionrus
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 1410-00261, Watlow, Heater Jacket, Ch. A, B, C, Zone 4, 200mm, 413878
ecomicron
[view on eBay]
NEW 1
in stock
$1,000.00
Description: 0200-40190, Applied Materials, COVER RING,200MM SNNF, NON-CONTACT,3MM E
ecomicron
[view on eBay]
NEW 1
in stock
$3,000.00
Description: 0010-01393, Applied Materials, SUSCEPTOR ASSEMBLY, BB TEOS, 200MM
ecomicron
[view on eBay]
Used 2
in stock
$1,000.00
Description: 0200-00329 AMAT, RING,SINGLE,CERAMIC ESC,200MM JMF,POLY D
ecomicron
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 0200-00155, Applied Materials, INSULATOR, QUARTZ, 200MM, SIMPLE CATHODE
ecomicron
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 0200-18078 AMAT, COLLAR,200MM SNNF,ULTIMA
ecomicron
[view on eBay]
NEW 1
in stock
$1,300.00
Description: 0200-00331 AMAT, Ring, Singl, Ceramic ESC, 200mm JMF, Low CE
auctionrus
[view on eBay]
NEW 2
in stock
$75.00
Description: AMAT 0040-07275 Adapter, Cooling Line, Shield, 200MM PRE 414059
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0040-07275 Adapter, Cooling Line, Shield, 200MM PRE 414062
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-00179, Harness, Cable Extension Heater Anneal 200MM. 414117
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-00179, Harness, Cable Extension Heater Anneal, 200MM. 414116
auctionrus
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 1270-00380 SW Assy, ST1, 2, Mapping INterlock, 200MM FA, Cable, 414127
auctionrus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0150-11337 Cable Assembly, Power Concen, Monitor, 200MM, 414170
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-00179 Cable Extension Heater Anneal 200MM, Harness, 414162
ecomicron
[view on eBay]
Used 1
in stock
$2,000.00
Description: 0021-35008, AMAT, PLATE, REFLECTOR, 200MM, MOD II, Used
ecomicron
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 0200-40131, AMAT, COVER 200MM, E-CHUCK
ecomicron
[view on eBay]
NEW 4
in stock
$1,100.00
Description: 0020-31684, AMAT, RING, VESPEL, EXT CATHODE, 200MM, XTAL T,
ecomicron
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 0200-40169 AMAT, COVER RING 200MM SNNF NON CONTACT
ecomicron
[view on eBay]
NEW 1
in stock
$1,000.00
Description: 0200-01972, AMAT, COVER EXTENDED E-CHUCK, HDPCVD 200MM, UL
ecomicron
[view on eBay]
NEW 1
in stock
$1,200.00
Description: 0200-00925, AMAT, COVER RING, QUARTZ, THICK, 45 DEG, 200MM
ecomicron
[view on eBay]
NEW 1
in stock
$2,500.00
Description: 0021-18436, AMAT, COVER RING, 200MM, BESC, TITANIUM
auctionrus
[view on eBay]
Used 2
in stock
$20.00
Description: AMAT 0021-04889, Post, Center Chuck Blade 200MM, MF Robot. 414401
auctionrus
[view on eBay]
Used 4
in stock
$150.00
Description: AMAT 0140-12726, Cable Harness Assy PNEU 200MM D 414460
tjtechseller
[view on eBay]
Used 3
in stock
$8,800.00
Description: 0040-18219 Applied Materials AMAT LASED, PEDESTAL, 200MM SNNF SML FLT W/WT
ecomicron
[view on eBay]
NEW 1
in stock
$900.00
Description: 0200-36635, AMAT, SHADOW RING QUARTZ, 200MM NOTCH (2), LC
ecomicron
[view on eBay]
NEW 1
in stock
$500.00
Description: 0020-04306, AMAT, INSERT,200MM EXT CATHOD
ecomicron
[view on eBay]
NEW 1
in stock
$3,500.00
Description: 0010-01394, AMAT, SUSCEPTOR ASSEMBLY, TEOS, 200MM
ecomicron
[view on eBay]
NEW 1
in stock
$500.00
Description: 0240-01062, 0270-20044, AMAT, KIT, CALIBRATION TOOLS, 200MM ORIENTER
ecomicron
[view on eBay]
Used 1
in stock
$900.00
Description: 0200-39346, AMAT, SHADOW RING, 200MM, NOTCH(2) SELF
ecomicron
[view on eBay]
Used 1
in stock
$900.00
Description: 0021-01813, Applied Materials, FACEPLATE, TEOS, PRODUCER, 200MM
ecomicron
[view on eBay]
NEW 1
in stock
$1,200.00
Description: 0200-09834, AMAT, RING OUTER,200MM,NOTCH, SR,BWCVD
ecomicron
[view on eBay]
NEW 6
in stock
$2,000.00
Description: 0200-36541, AMAT, LID LINER, TI-XZ 200MM
ecomicron
[view on eBay]
NEW 1
in stock
$2,000.00
Description: 0200-35561, AMAT, COVER, 200MM, E-CHUCK, REDESIGNED
ecomicron
[view on eBay]
Used 1
in stock
$1,200.00
Description: 0200-09746, AMAT, COLLAR AL 200MM CERAMIC
ecomicron
[view on eBay]
NEW 2
in stock
$3,000.00
Description: 0200-35235, AMAT, SUSCEPTOR, SGL, R3 ROT., EPI, 200MM
ecomicron
[view on eBay]
NEW 1
in stock
$1,000.00
Description: 0200-09778, AMAT, FOCUS RING, 200MM, POLY/ POLYCIDE, EXT,
ecomicron
[view on eBay]
NEW 1
in stock
$1,200.00
Description: 0200-09572, AMAT, COLLAR, SI, OX/MLR/NIT, 200MM, NOTCH
ecomicron
[view on eBay]
NEW 5
in stock
$3,000.00
Description: 0200-35511, AMAT, SUSC,TOS,R3 ROTATION,200MM,EPI
ecomicron
[view on eBay]
NEW 1
in stock
$300.00
Description: 0040-02656, AMAT, HOUSING, ADAPTER COUNTER MATCH 200MM DLK, PROD
tjtechseller
[view on eBay]
Used 4
in stock
$900.00
Description: 0100-00639 Applied Materials AMAT Endura Centua 200MM SMIF LLK INTERLOCK PCB
ecomicron
[view on eBay]
NEW 1
in stock
$10,000.00
Description: 0010-20481, AMAT, ASSY 200MM CASSETTE HANDLER (LLB)
ecomicron
[view on eBay]
Used 1
in stock
$1,000.00
Description: 0200-00368, AMAT, COLLAR,200MM SNNF,HDPCVD ULTIMA
ecomicron
[view on eBay]
NEW 13
in stock
$200.00
Description: 0200-35097, Applied Materials, 200mm LIFT-PIN
grandbirdnet
[view on eBay]
Used 5
in stock
$980.00
Description: AMAT 0010-14928 FINGER ASSY, PEEK, LONG W/CLAMP 200MM , USED
partskorea1
[view on eBay]
NEW 1
in stock
$15,000.00
Description: AMAT P/N 0010-10527 Description:PDSTL ASSY,200MM FLAT,IS,NI,LIFT 3,HV CE
ecomicron
[view on eBay]
NEW 1
in stock
$2,200.00
Description: 0225-95381, AMAT, BLADE 200MM BUFFER EWOB CERAMIC
ecomicron
[view on eBay]
NEW 1
in stock
$7,000.00
Description: 0010-05223, AMAT, ASSEMBLY, 200MM PLASMA CELL, END PT DETE
ecomicron
[view on eBay]
NEW 6
in stock
$900.00
Description: 0190-36078, AMAT, ASSY, TC, HEATER 200MM TI-XZ
j316gallery
[view on eBay]
Used 8
in stock
$483.88
Description: 4873 APPLIED MATERIALS ESD CHUCK 200MM SHELL ASSY 0040-99949
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, 0010-30723, 415620
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, 0010-30723, 415619
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, 0010-30723, 415618
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, 0010-30723, 415617
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, 0010-30723, 415616
j316gallery
[view on eBay]
Used 1
in stock
$1,844.02
Description: 5847 NOVELLUS 200MM ELECTROSTATIC CHUCK 15-255518-00 02-257120-00
ecomicron
[view on eBay]
Used 1
in stock
$2,200.00
Description: 0010-36417, AMAT, ASSY,HEATER TXZ,200MM,SNNF
farmoninc
[view on eBay]
Used 1
in stock
$2,900.00
Description: AMAT 0090-09299 Rev. A, ESC Assembly, 200MM, Flat, THERM, GEN. 415952
farmoninc
[view on eBay]
Used 1
in stock
$2,900.00
Description: AMAT 0090-09299 Rev. A, ESC Assembly, 200MM, Flat. 415951
bornalliancecom
[view on eBay]
NEW 1
in stock
$2,995.00
Description: Applied Materials 0200-09762 RING, CLAMPING, NOTCH, AL, 200MM, 1.38 H AMAT Etch
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 346-0101// AMAT APPLIED 0040-01256 MANIFOLD INPUT 200MM SACVD PRODUCER USED
farmoninc
[view on eBay]
Used 1
in stock
$11,500.00
Description: AMAT 0040-18024, E-CHUCK, 200MM, MKA, SNNF, CVD, 417258
farmoninc
[view on eBay]
Used 1
in stock
$1,550.00
Description: AMAT 0040-38651, Lift Ring Assembly, 200mm, 0021-0141. 417293
farmoninc
[view on eBay]
Used 1
in stock
$1,550.00
Description: AMAT 0040-38651, Lift Ring Assembly, 200mm, 0021-01641. 417294
farmoninc
[view on eBay]
Used 2
in stock
$975.00
Description: AMAT 0021-17087 Bracket, CB/Contactor Guard, 200mm TPCC, 417331
farmoninc
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0010-10257, Pedestal Assy, ESC Chuck, 200mm, Notch, 0020-32151. 417405
farmoninc
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0200-09335 Liner, Gas Distribution, 200mm, PRSP, 417414
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: AMAT 0020-30810, Pedestal OX/MLR/NIT, 200mm Notch. 416194
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0010-04050, Hose Assembly Chamber to Flow Switch 200mm. 417635
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0010-04050, Hose Assembly Chamber to Flow Switch 200mm. 417634
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0010-10257 Pedestal Assy, 200mm, Notch HVIB, 0020-32151, 417753
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0010-10257 Pedestal Assy, 200mm, Notch HVIB, 0020-32151, 0040-09797, 417752
farmoninc
[view on eBay]
NEW 1
in stock
$595.00
Description: AMAT 0021-37701 Side Receiver, Left 200mm, 316-EP, 417986
farmoninc
[view on eBay]
NEW 2
in stock
$395.00
Description: AMAT 0020-32131 Insert Inner N15, 200mm ESC, 417966
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: AMAT 0020-32131 Insert Inner N15, 200mm ESC, 417965
farmoninc
[view on eBay]
NEW 1
in stock
$475.00
Description: AMAT 0021-01792 Clamping Ring, Esc Assy, 200mm, RPS, 417989
farmoninc
[view on eBay]
NEW 4
in stock
$395.00
Description: AMAT 0020-32131 Insert Inner N15 200mm ESC, 418024
farmoninc
[view on eBay]
NEW 1
in stock
$475.00
Description: AMAT 0021-01792 Rev.P3, Clamping Ring, ESC Assembly, 200mm, RPS. 418074
farmoninc
[view on eBay]
NEW 1
in stock
$3,500.00
Description: AMAT 0020-31510 w/ Pedestal, Rimless, OX/MLR NIT, 200mm, F, 418126
farmoninc
[view on eBay]
NEW 1
in stock
$2,300.00
Description: AMAT 0010-10128, SUSC 8" P21 SR, Assembly, 200mm, BWCVD SR. 418245
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0200-09608, Shield Quartz 200mm, Sputter. 418260
farmoninc
[view on eBay]
NEW 2
in stock
$850.00
Description: AMAT 0040-40800 Rev.003, SAINT, Cover Plenum, Lid, Anneal, ECP 200mm. 418328
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0010-05029, Cover Assembly, Spares, Safety, Radiance 200mm. 418332
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0140-78284 Rev.P1, DCA 4304, Cable Cleaner EMO 1 200mm. 418379
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0200-09155 Rev.P3, Ring, Electrode, 200mm, EB. 418449
farmoninc
[view on eBay]
Used 1
in stock
$795.00
Description: AMAT 0020-03664, Pedestal, 8" Oxide, 200mm, Chuck, Etch Chamber. 418540
farmoninc
[view on eBay]
NEW 1
in stock
$675.00
Description: AMAT 0010-04051 Hose Assembly Chamber Supply 200MM Preclean, 418650
farmoninc
[view on eBay]
NEW 5
in stock
$95.00
Description: AMAT 0020-30813 Rev.A, Insert OX/MLR/NIT Pedestal NI 200mm. 419115
farmoninc
[view on eBay]
NEW 1
in stock
$4,500.00
Description: AMAT 0242-24101, PIK, NON RF Capable LID, 200mm PMD, PRODU. 419219
farmoninc
[view on eBay]
NEW 1
in stock
$4,500.00
Description: AMAT 0242-24101, PIK, NON RF Capable LID, 200mm PMD, PRODU. 419218
j316gallery
[view on eBay]
Used 1
in stock
$689.04
Description: 7442 APPLIED MATERIALS PLATE, PERF 200MM EMISSIVITY-INVARIANT 0021-38689
j316gallery
[view on eBay]
Used 2
in stock
$445.42
Description: 7479 APPLIED MATERIALS LIFTER 200MM WAFER ORIENTER P5000 0020-70278
j316gallery
[view on eBay]
Used 1
in stock
$2,859.29
Description: 7380 APPLIED MATERIALS CHAMBER 200MM SACVD W/ ASSY 0040-09221
j316gallery
[view on eBay]
Used 3
in stock
$777.01
Description: 7376 APPLIED MATERIALS HEATER, TXZ, 200MM, SNNF 0040-36180
farmoninc
[view on eBay]
Used 1
in stock
$550.00
Description: AMAT 0200-09077 Rev.B, 5600 PAR 50/00, Support, Arm, 200mm. 419416
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0020-30607, D&H, Lift Carrier 100mm to 200mm, Etch Chamber Spider. 419537
j316gallery
[view on eBay]
NEW 1
in stock
$1,935.33
Description: 7561 APPLIED MATERIALS 15-SLOT TRAY 200MM .450SPG 0010-13140
j316gallery
[view on eBay]
Used 1
in stock
$701.37
Description: 7586 NOVELLUS 200MM HEATER ASSEMBLY 16-156335-00
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0200-00859, Top Ring, No Flow, Restrictor, 200mm, 0200-00859-003. 419724
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0021-01317, Outer Shield, TXZ 200mm, 5500, 5200. 419907
farmoninc
[view on eBay]
Used 1
in stock
$95.00
Description: AMAT 0040-76007, Weldment RT Lever 200mm Wafer Cassette Handler. 419906
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-76040, Carrier Plate 200mm, Wafer Cassette Handler, P5000. 419905
spsglobal
[view on eBay]
Used 3
in stock
$1,200.00
Description: 116-0201// AMAT APPLIED 0200-35567 RING,CLAMPING,AL,200MM,O.OH,NOTCH NEW
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 318-0201// AMAT APPLIED 0200-09574 HOOP,REV 1 CERAMIC,200MM USED
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0040-76006, Weldment Lift Lever, 200mm Wafer Cassette Handler. 420064
grandbirdnet
[view on eBay]
Used 2
in stock
$4,000.00
Description: AMAT 0020-19708 COVER RING, 200MM SIP TA(N), TI, KACHINA, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$4,000.00
Description: AMAT 0020-19706 COVER RING, 200MM SIP TA/TAN, ESC, USED
farmoninc
[view on eBay]
Used 5
in stock
$4,900.00
Description: AMAT 0190-09491 200mm Durathon Susceptor, SUSC Assembly T2 Welded, 420938
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$1,320.00
Description: OEM Part (AMAT) 0020-30723 CYLINDER CLAMPING ALUM 200MM NOTCH
gigabitpartsolutions
[view on eBay]
Used 2
in stock
$520.90
Description: OEM Part (AMAT) 0020-10191 PLATE BLOCKER 200MM
usedeqsales
[view on eBay]
Used 3
in stock
$1,502.17
Description: AMAT Applied Materials 1868624 200mm Pre Clean II Kit 0200-00218 0021-54083 New
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$101.90
Description: OEM Part (AMAT) 0020-31460 INSERT, INNER, NI, 200MM POLY, 218MM SHU
usedeqsales
[view on eBay]
Used 1
in stock
$1,502.17
Description: AMAT Applied Materials 0200-39140 Ceramic Metal DPS 200mm Ring Focus Refurbished
j316gallery
[view on eBay]
Used 2
in stock
$276.20
Description: 8255 APPLIED MATERIALS RING WAFER LIFT 200MM W SUPPORT 0020-10192
j316gallery
[view on eBay]
Used 2
in stock
$701.96
Description: 8100 APPLIED MATERIALS DOOR LP SLIT VALVE, 200MM WAFFLE-LESS 0021-15694
farmoninc
[view on eBay]
Used 12
in stock
$495.00
Description: AMAT 0020-30407 Perf Plate, 200mm, BW, Showerhead, 422734
visionsemi
[view on eBay]
Used 1
in stock
$995.00
Description: APPLIED MATERIALS CENTURA AMAT 200MM 300MM WAFER HOLDER TRANFER 0021-24069
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0200-09638 Cylinder, Quartz, 200mm EXT Cathde Ceramic, 422931
bigg.logistics101
[view on eBay]
Used 1
in stock
$599.99
Description: APPLIED MATERIALS 15 SLOT TRAY 200MM 0020-13995
j316gallery
[view on eBay]
Used 7
in stock
$197.38
Description: 8341 APPLIED MATERIALS PLT BLOCKER, 200MM 0021-35788
usedeqsales
[view on eBay]
Used 1
in stock
$2,804.17
Description: AMAT Applied Materials 0040-61813 200mm Chamber Centura RTP Used Working
grandbirdnet
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0021-25475 CLAMP, DAMPED GIMBAL, 200MM 5 ZONE PROFI, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0021-25471 DAMPED GIMBAL, 200MM 5 ZONE PROFILER , USED
j316gallery
[view on eBay]
Used 2
in stock
$250.88
Description: 8535 LAM RESEARCH 200MM END EFFECTOR 715-130080-008
bigg.logistics101
[view on eBay]
Used 2
in stock
$499.99
Description: APPLIED MATERIALS CYLINDER CLAMPING 200MM 0020-30073
spsglobal
[view on eBay]
Used 5
in stock
$4,000.00
Description: 337-0101// AMAT APPLIED 0010-11997 0040-36180 CLEANED HEATER,TxZ,200MM,SNNF USED
spsglobal
[view on eBay]
Used 1
in stock
$4,000.00
Description: 107-0501// AMAT APPLIED 0010-36475 ASSY,HEATER TXZ,200MM,JMF USED
j316gallery
[view on eBay]
Used 19
in stock
$632.18
Description: 8909 APPLIED MATERIALS PLATE, PERFORATED OXIDE 200MM TEOS 0020-10117
j316gallery
[view on eBay]
Used 2
in stock
$4,916.91
Description: 8616 NOVELLUS ELECTROSTATIC CHUCK, ESC 200MM HDP MC TYPE 02-121642-00
artsemi
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0200-36649 PLATE, FINGER LIFT RING, 200mm PRODUCER, Original Equipment Mfg.
bigg.logistics101
[view on eBay]
NEW 1
in stock
$2,999.99
Description: APPLIED MATERIALS 200MM SUSCEPTOR ASSY. 0010-01398
j316gallery
[view on eBay]
Used 6
in stock
$289.46
Description: 8987 NOVELLUS ASSY, CUP, APC, 200MM, 2.55MM EE, SBR-3D 02-398055-03
spsglobal
[view on eBay]
Used 2
in stock
$1,200.00
Description: 125-0201// AMAT APPLIED 0021-10589 PLATE INTERNAL, PUMPING CHNL, ASP, 200MM USED
spsglobal
[view on eBay]
Used 2
in stock
$1,500.00
Description: 125-0102// AMAT APPLIED 0021-35789 PLT, PERF 200MM CVD AL NEW
spsglobal
[view on eBay]
Used 3
in stock
$500.00
Description: 125-0201// AMAT APPLIED 0020-31163 RING, FOCUSING, ALUM, 200MM, PRSP 3 USED
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,300.00
Description: AMAT 0010-14928 FINGER ASSY, PEEK, LONG W/CLAMP 200MM, NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$1,300.00
Description: AMAT 0010-14930 FINGER ASSY, PEEK, SHORT W/CLAMP 200MM, NEW
grandbirdnet
[view on eBay]
NEW 6
in stock
$1,800.00
Description: AMAT 0040-03349 COOLING WATER BOX 200MM TXZ, NEW
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 106-0301// AMAT APPLIED 0010-09539 0040-09136 0020-10187 CHAMBER 200MM BW USED
j316gallery
[view on eBay]
Used 3
in stock
$236.25
Description: 9806 LAM RESEARCH END EFFECTOR 200MM WAFER 715-130092-008
j316gallery
[view on eBay]
Used 4
in stock
$552.40
Description: 9815 APPLIED MATERIALS HEATER, TXZ, 200MM, SNNF 0040-36180
j316gallery
[view on eBay]
Used 2
in stock
$368.26
Description: 9817 APPLIED MATERIALS HEATER, TXZ, 200MM, SNNF 0040-36180
j316gallery
[view on eBay]
NEW 2
in stock
$264.91
Description: 10054 APPLIED MATERIALS HEATER JKT,ZONE 3, ITEM 1, 200MM PROD (NEW) 1410-00297
j316gallery
[view on eBay]
NEW 2
in stock
$198.75
Description: 10011 APPLIED MATERIALS HEATER JKT, ZONE 3, ITEM 2, 200MM PROD (NEW) 1410-00298
j316gallery
[view on eBay]
NEW 1
in stock
$239.75
Description: 10092 APPLIED MATERIALS HEATER JKT, ZONE 3, ITEM 5, 200MM PROD (NEW) 1410-00301
j316gallery
[view on eBay]
NEW 2
in stock
$110.42
Description: 10103 APPLIED MATERIALS HEATER JKT, 12V 6W, ZONE 4, ITEM 5 200MM PROD 1410-00306
j316gallery
[view on eBay]
NEW 4
in stock
$132.51
Description: 9859 APPLIED MATERIALS HEATER JKT, 15V, 8W, ZONE 4, 200MM PROD (NEW) 1410-00303
j316gallery
[view on eBay]
NEW 2
in stock
$165.63
Description: 9857 APPLIED MATERIALS HEATER JKT, ZONE 3, ITEM 3, 200MM PROD (NEW) 1410-00299
j316gallery
[view on eBay]
NEW 2
in stock
$264.91
Description: 9862 APPLIED MATERIALS HEATER JKT, 36V, 20W, ZONE 4, 200MM PROD (NEW) 1410-00310
powersell007
[view on eBay]
Used 1
in stock
$899.00
Description: APPLIED MATERIALS 0020-30407 SHOWERHEAD, PERF PLATE, 200MM, BW AMAT RECYCLED
j316gallery
[view on eBay]
Used 3
in stock
$571.73
Description: 7181 APPLIED MATERIALS HEATER, TXZ, 200MM, SNNF (W/O PIN HOLDER) 0040-36180
farmoninc
[view on eBay]
Used 1
in stock
$375.00
Description: AMAT 0020-24804 Ring, Cover SST 200mm ESC, Micron 811-02321R, 423989
bigg.logistics101
[view on eBay]
Used 1
in stock
$899.99
Description: APPLIED MATERIALS 200MM SCREWLESS,BB,TEOS SUSCEPTOR 0010-03171
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0040-03349 Cooling Water Box, 200mm, TXZ, 424017
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424024
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424025
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424031
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424037
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424076
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424101
farmoninc
[view on eBay]
Used 1
in stock
$2,100.00
Description: AMAT 0242-19431 Kit, Hot Plate, 200mm TICL4 Tin, Producer, Shrink, 424108
farmoninc
[view on eBay]
Used 7
in stock
$450.00
Description: AMAT 0200-00255 Cover, Low Profile, HDP-CVD Ultima, Ring 200mm, SNNF, 424166
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 424237
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 424234
farmoninc
[view on eBay]
Used 1
in stock
$575.00
Description: AMAT 0200-18081 Ring, 200mm, SNNF, SMLFLT, ULTIM, 233-0670-36, 424269
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-34518, Ring, Thick Wafer Lift, 200mm, 424181
j316gallery
[view on eBay]
NEW 1
in stock
$542.75
Description: 3098 APPLIED MATERIALS KIT 200MM VECTRA IMP TI W/ 0227-58498
j316gallery
[view on eBay]
NEW 1
in stock
$593.30
Description: 967 APPLIED MATERIALS GAS LINE,BPSG,200MM PRODUCER SPL (NEW) 0050-83718
j316gallery
[view on eBay]
NEW 1
in stock
$11,866.30
Description: 10228 APPLIED MATERIALS GAS BOX, COOLING, 200MM TI-XZ (NEW) 0040-39034
usedeqsales
[view on eBay]
Used 2
in stock
$2,005.14
Description: AMAT Applied Materials 0200-00674 8” Dep Ring AMAT Endura 200mm Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,005.14
Description: AMAT Applied Materials 0021-12763 Inner Shield 8in Endura 200mm Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,005.14
Description: AMAT Applied Materials 0200-00673 8” Cover Ring Endura 200mm Used Working
j316gallery
[view on eBay]
Used 1
in stock
$495.37
Description: 10377 APPLIED MATERIALS SUPPORT CENTRE RPS2 LID 200MM PRODUCER 0040-39064
j316gallery
[view on eBay]
NEW 2
in stock
$741.64
Description: 4553 APPLIED MATERIALS DUAL SEAL ASSY, SHUTOFF 200MM PRECLEAN (NEW) 0010-04038
spsglobal
[view on eBay]
Used 4
in stock
$60.00
Description: 342-0401// AMAT APPLIED 0200-10074 LIFT PIN,DELTA MF NITRIDE XP,200MM USED
spsglobal
[view on eBay]
Used 8
in stock
$60.00
Description: 342-0103 AMAT APPLIED 0020-31501 FINGER,CHUCK 200MM, ASP [USED]
adelrick123
[view on eBay]
NEW 1
in stock
$795.00
Description: Amat Pn # 0020-41755 Sheild 200mm
adelrick123
[view on eBay]
NEW 1
in stock
$975.00
Description: Amat Pn# 0021-17725 Shutter Disk ESC 200mm
j316gallery
[view on eBay]
Used 1
in stock
$424.61
Description: 11117 APPLIED MATERIALS COVER, CLAMPING RING, AL, 200MM, CERAMIC 0200-09747
j316gallery
[view on eBay]
Used 2
in stock
$1,415.34
Description: 11116 APPLIED MATERIALS PEDESTAL, UNIVERSAL 200MM 3 POINT 0040-21367
j316gallery
[view on eBay]
NEW 1
in stock
$1,132.28
Description: 11026 APPLIED MATERIALS COVER RING,QTZ,THICK,45 DEG,63RA,200MM (NEW) 0200-01271
j316gallery
[view on eBay]
NEW 1
in stock
$2,123.02
Description: 11025 APPLIED MATERIALS COVER RING QUARTZ THICK 45 DEG 200MM NEW 0200-00925
j316gallery
[view on eBay]
NEW 1
in stock
$1,853.00
Description: 10996 APPLIED MATERIALS DOOR LP SLIT VLV WAFFLE-LESS 200MM NEW 0021-15694
j316gallery
[view on eBay]
Used 2
in stock
$9,765.90
Description: 11215 APPLIED MATERIALS PEDESTAL, HOLES 200MM BLUE SNNF 0040-18053
j316gallery
[view on eBay]
Used 3
in stock
$4,529.12
Description: 11146 APPLIED MATERIALS PEDESTAL, HOLES 200MM BLUE SNNF (PARTS) 0040-18053
j316gallery
[view on eBay]
NEW 1
in stock
$2,123.02
Description: 11283 APPLIED MATERIALS SHOWER HEAD, PERF PLATE TXZ 200MM (NEW) 0021-35869
adelrick123
[view on eBay]
NEW 1
in stock
$1,250.00
Description: Integrated Circuit Support Amat # 0200-36697 Silicon Ring 200MM
j316gallery
[view on eBay]
Used 2
in stock
$1,186.63
Description: 11688 NOVELLUS ANODE, GROOVED, BOLT DOWN, 200MM 15-256103-00
spsglobal
[view on eBay]
Used 3
in stock
$100.00
Description: 322-0502// AMAT APPLIED 0200-35136 PIN WAFER LIFT 200MM QUARTZ NEW
bornalliancecom
[view on eBay]
Used 1
in stock
$19,995.00
Description: Applied Materials 0040-09094 Chamber, 200MM CVD AMAT CVD
spsglobal
[view on eBay]
Used 2
in stock
$160.00
Description: 343-0201// AMAT APPLIED 0040-02938 GAS MANIFOLD OUTPUT 200MM TXZ USED
wwschool
[view on eBay]
Used 1
in stock
$120.00
Description: AMAT 0020-15683 PLATE, THROTTLE VALVE, BLANK-OFF, METAL DPS, 200MM
bms-semicon
[view on eBay]
Used 1
in stock
$999.90
Description: AMAT 0020-18184, 200mm WEST COAST Quartz
bms-semicon
[view on eBay]
Used 1
in stock
$599.90
Description: AMAT 0200-18016, 200mm WEST COAST Quartz
bms-semicon
[view on eBay]
Used 1
in stock
$1,999.90
Description: AMAT 0200-18054, 200mm WEST COAST Quartz
j316gallery
[view on eBay]
Used 1
in stock
$438.19
Description: 11990 APPLIED MATERIALS FORELINE ASSY W/PORTS MKS 200MM NON-DPA 0040-02166
j316gallery
[view on eBay]
Used 1
in stock
$148.33
Description: 11989 APPLIED MATERIALS WLDM STRT EXT. EXH FORELINE A-B-C-D WXZ 200MM 0050-43287
usedeqsales
[view on eBay]
Used 1
in stock
$810.18
Description: AMAT Applied Materials 0020-29385 8" 200mm Clamp Ring JMF Refurbished
usedeqsales
[view on eBay]
Used 1
in stock
$1,510.18
Description: AMAT Applied Materials 0020-48987 Tungsten PVD Pedestal Cover 200mm New
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 343-0401// AMAT APPLIED 0020-10308 BUSHING,PLUG 200MM SILANE USED
j316gallery
[view on eBay]
Used 2
in stock
$3,538.38
Description: 12574 APPLIED MATERIALS 200MM CASS''T HNDLR BASIC ASSY,MNL FEND,P 0010-03166
j316gallery
[view on eBay]
Used 6
in stock
$1,415.34
Description: 11109 APPLIED MATERIALS PLATE PERF 200MM GIANT GAP NITRIDE 0020-30797
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 124-0401// AMAT APPLIED 0020-31503 CHUCK,200MM,ASP ASIS
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 124-0302// AMAT APPLIED 0020-30595 PEDESTAL 200MM HEWEB USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 125-0204// AMAT APPLIED 0021-01317 OUTER SHIELD, TXZ 200MM USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 125-0104// AMAT APPLIED 0020-31752 PEDESTAL,AL,200MM,NOTCH, CERAMIC ASIS
spsglobal
[view on eBay]
Used 3
in stock
$1,000.00
Description: 125-0104// AMAT APPLIED 0010-10328 0020-34017 SHELL ASSY,200MM,FLAT(1S 5MIC ASIS
spsglobal
[view on eBay]
Used 2
in stock
$2,000.00
Description: 353-0301// AMAT APPLIED 0010-38438 ASSY, C-CHUCK, 200MM, CIP 99 ASIS
spsglobal
[view on eBay]
Used 3
in stock
$60.00
Description: 344-0101// AMAT APPLIED 0020-10321 BUSHING, 200MM, MONOLITHIC NEW
bornalliancecom
[view on eBay]
NEW 1
in stock
$995.00
Description: Applied Materials 0200-09620 Ring Clamp QTZ 200MM XTAL TIER IV N AMAT Etch
systasemi
[view on eBay]
Used 1
in stock
$5,000.00
Description: NOVELLUS, 15-028471-00, Top Plate, C2, Atlus, 200mm, 8inch, OEM Part
amte648608
[view on eBay]
Used 5
in stock
$200.00
Description: Applied Materials 0200-02576, Lift Ring, Fixed Lift Pin 200mm Producer
spsglobal
[view on eBay]
Used 2
in stock
$800.00
Description: 125-0501// AMAT APPLIED 0090-09299 0021-37660 ESC ASSY, 200MM, FLAT, SHWR, ASIS
bornalliancecom
[view on eBay]
Used 6
in stock
$1,295.00
Description: Applied Materials 0020-31998 Pedestal, 200mm, AL, Notch, Finger AMAT Etch
csi.usa
[view on eBay]
NEW 1
in stock
$499.99
Description: AMAT APPLIED MATERIALS 0240-01062 KIT CALIBRATION TOOL 200mm ORIENTER
usedeqsales
[view on eBay]
Used 2
in stock
$1,003.19
Description: West Coast Quartz 0200-00071 Insulating Pipe 200mm EXT QTZ AMAT Rev. A New
usedeqsales
[view on eBay]
Used 1
in stock
$503.19
Description: AMAT Applied Materials 0021-37701 Side Receiver Left 200mm 316-EP New Surplus
techse11
[view on eBay]
NEW 2
in stock
$1,100.00
Description: AMAT PLATE, FINGER LIFT RING, 200mm Producer (P/N: 0200-36649)
j316gallery
[view on eBay]
Used 2
in stock
$5,320.61
Description: 13039 APPLIED MATERIALS 200MM PLASMA CELL ASSY,END PT DET,PROD,CABLE 0010-17961
maxisemi1349
[view on eBay]
Used 1
in stock
$1,200.00
Description: 0020-46659 BOTTOM LINER, AL TWIN CHAMBER 200MM PROD
maxisemi1349
[view on eBay]
Used 26
in stock
$160.00
Description: 0200-09071 LIFTING PIN 200MM. lot of 4
maxisemi1349
[view on eBay]
Used 1
in stock
$3,750.00
Description: 02-033394-00 ASSY,PED,200mm,D,STD SEMI
maxisemi1349
[view on eBay]
Used 1
in stock
$3,500.00
Description: 02-121642-00 ESC 200MM MC TYPE
gophersales
[view on eBay]
Used 1
in stock
$400.00
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
expertsurplus
[view on eBay]
Used 8
in stock
$1,000.00
Description: Novellus Heater Pedestal 02-033394-00 Type D, 200mm, 9" Diameter
techshop7777
[view on eBay]
Used 1
in stock
$1,160.00
Description: AMAT 0040-09961 Assy Pedestal 200mm ESC Chuck 0010-10528
maxisemi1349
[view on eBay]
Used 1
in stock
$675.00
Description: 0020-30407 PERF. PLATE 200MM BW
j316gallery
[view on eBay]
Used 20
in stock
$665.07
Description: 7865 APPLIED MATERIALS EDGE RING, TXZ, 200MM, SNNF 0021-35946
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$1,898.50
Description: OEM Part Applied Materials (AMAT) 0020-10117 PLATE PERF OXIDE 200MM
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$550.00
Description: OEM Part Applied Materials (AMAT) 0020-32237 COVER VESPEZ 200MM HIGH PROFILE NOT
happy_1
[view on eBay]
Used 2
in stock
$3,500.00
Description: AMAT 0200-01119 SHADOW RING, QUARTZ, 200MM NOTCH (2) TG, (NEW)
j316gallery
[view on eBay]
Used 2
in stock
$2,021.30
Description: 12785 APPLIED MATERIALS ASSY, OTF RECEIVER, 200MM BANK 0010-76764
adelrick123
[view on eBay]
Used 2
in stock
$2,200.00
Description: AMAT 0040-18219 Lased 200MM Esc Snnf W/WTM CVD
novusferro
[view on eBay]
Used 1
in stock
$759.00
Description: Lam Research 02-033134-01 Assy, PED 200MM Moer, D.3.Semi
farmoninc
[view on eBay]
NEW 2
in stock
$3,900.00
Description: AMAT 0040-01618 Manifold, Input, 200mm Producer, 451386
j316gallery
[view on eBay]
Used 19
in stock
$1,197.14
Description: 13558 APPLIED MATERIALS FACEPLATE,TEOS,PRODUCER,200MM 0041-77693
farmoninc
[view on eBay]
NEW 1
in stock
$1,900.00
Description: AMAT 0040-47723 Manifold, Output, 1 Hole, Right, 200MM, 451387
farmoninc
[view on eBay]
NEW 1
in stock
$1,900.00
Description: AMAT 0040-47724 Manifold, Output, 1 Hole, Left, 200MM, 451388
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0040-43516 Blade, Wide Nitronic 60 Insert, Stepped 200mm Producer, 451394
farmoninc
[view on eBay]
NEW 2
in stock
$150.00
Description: AMAT 0015-35538 Shaft Lid Hinge, 200mm ST, 451409
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0015-35538 Shaft Lid Hinge, 200mm ST, 451408
j316gallery
[view on eBay]
Used 6
in stock
$2,340.40
Description: 7583 APPLIED MATERIALS CERAMIC SHIELD 200MM (NEW) 0200-09072
visionsemi
[view on eBay]
NEW 2
in stock
$110.00
Description: APPLIED MATERIALS AMAT 0021-42299 TITAN HEAD SEAL DIAPHRAGM 8" 200MM
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0015-35538 Shaft Lid Hinge, 200MM ST, 451428
farmoninc
[view on eBay]
NEW 12
in stock
$150.00
Description: AMAT 0021-04304 Bushing Gas Box 200MM Producer, 451466
j316gallery
[view on eBay]
Used 6
in stock
$298.81
Description: 13442 NOVELLUS LEAF SPRING W/ GUIDE BEARING,200MM,VAT P/N: 80642-R1 22-107685-00
j316gallery
[view on eBay]
Used 1
in stock
$2,394.27
Description: 13362 APPLIED MATERIALS ESC ASSY, 200MM, NOTCH, 0010-30723 0090-09298
roundtable1
[view on eBay]
NEW 1
in stock
$99.00
Description: Applied Materials 0021-14344 HSG QUARTZ TUBE 200mm TISIN LOT OF 3
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0200-04092 Top Liner, Ceramic, APF, 200mm, Producer, 407633
j316gallery
[view on eBay]
Used 1
in stock
$5,320.61
Description: 14468 APPLIED MATERIALS ASSEMBLY, 200MM PLASMA CELL, END PT DETE 0010-05223
j316gallery
[view on eBay]
Used 1
in stock
$532.06
Description: 13565 APPLIED MATERIALS FACEPLATE, 200MM 0021-92064
artsemi
[view on eBay]
NEW 19
in stock
$595.00
Description: 0200-10445 SHADOW RING, QUARTZ 200MM APPLIED MATERIALS
artsemi
[view on eBay]
NEW 9
in stock
$1,950.00
Description: 713-028553-103 CONFINEMENT RING, CERAMIC, 200mm
j316gallery
[view on eBay]
Used 5
in stock
$239.43
Description: 14335 APPLIED MATERIALS OUTER SHIELD,TXZ 200MM 0021-03980
j316gallery
[view on eBay]
NEW 10
in stock
$2,091.00
Description: 14005 APPLIED MATERIALS BASE DRAIN LOAD CUP, 200MM, NEW 0020-79008
usedeqsales
[view on eBay]
Used 1
in stock
$2,507.19
Description: AMAT Applied Materials 0020-31658 200mm Vespal Clamp OX MLR NIT Refurbished
j316gallery
[view on eBay]
Used 2
in stock
$1,115.20
Description: 14394 APPLIED MATERIALS PLATE PERF 200MM WSI W/GAS MANF 0020-31035 0040-09263
spsglobal
[view on eBay]
Used 5
in stock
$550.00
Description: 339-0402// AMAT APPLIED 0200-10073 CLEANED INSULATOR,QUARTZ,200MM, SIMPLE USED
j316gallery
[view on eBay]
Used 2
in stock
$90.61
Description: 14855 APPLIED MATERIALS V CHANNEL 200MM WFR CASSETTE HNDLR 0020-76033
j316gallery
[view on eBay]
Used 3
in stock
$2,105.67
Description: 14777 APPLIED MATERIALS CALIBRATION WAFER, CENTER FINDER TOOL, 200MM 0270-01063
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0242-14324 Foreline Assy, CH. B&C DPA w/ HTRS, 200mm, 451512
roundtable1
[view on eBay]
Used 1
in stock
$599.00
Description: AMAT 0040-75753 UPPER MEMBRANE CLAMP 200MM 5 ZONE
roundtable1
[view on eBay]
NEW 4
in stock
$399.99
Description: AMAT 0040-40336 CLAMP BLADDER UPPER 200MM TITAN
roundtable1
[view on eBay]
NEW 1
in stock
$99.00
Description: Applied Materials (AMAT) 0021-02148 Blank, Chamber HT TIN CVD 200MM
roundtable1
[view on eBay]
NEW 2
in stock
$999.00
Description: AMAT 0040-70198 LOWER MEMBRANE CLAMP, 200MM 5 ZONE PROFILER
j316gallery
[view on eBay]
Used 2
in stock
$209.11
Description: 14917 APPLIED MATERIALS CABLE ASSY,AE RF GENERATOR ADAPTER,200MM 0150-39041
j316gallery
[view on eBay]
Used 1
in stock
$1,010.28
Description: 15016 APPLIED MATERIALS ESC CHUCK 200MM ETCH 0020-32963 0040-09957
j316gallery
[view on eBay]
NEW 1
in stock
$1,324.29
Description: 15112 APPLIED MATERIALS PLATE SUPPORT 200MM NANO/TO FE PRODUCER (NEW) 0040-05133
roundtable1
[view on eBay]
NEW 1
in stock
$599.99
Description: Applied Materials 0040-02678 H'SINK INS SHINETSU 200MM CIP w/0010-93142 insert
j316gallery
[view on eBay]
Used 1
in stock
$2,133.90
Description: 7527 APPLIED MATERIALS SHELL ASSY 200MM MXP+EP ESC ASSY NOTCH, 1 MIL 0021-82260
grandbirdnet
[view on eBay]
Used 1
in stock
$11,500.00
Description: AMAT 0010-26139 ASSY, 6-PORT SPINDLE , 200MM MIRRA , USED
roundtable1
[view on eBay]
NEW 1
in stock
$199.00
Description: Applied Materials 0242-07343 KIT COUNTER BLANCE 200MM TWIN CH
roundtable1
[view on eBay]
Used 1
in stock
$499.00
Description: AMAT 0020-46477 CLAMP, ZONE 5, 200MM 5 ZONE PROFILER , USED
grandbirdnet
[view on eBay]
Used 4
in stock
$480.00
Description: AMAT 0040-64778 POST, COVER MOUNT, 6-PORT, 200MM MIRRA, USED
grandbirdnet
[view on eBay]
NEW 6
in stock
$500.00
Description: AMAT 0200-08466 Insert Ring Siicon, 200mm Notch(2) TG Super-e, NEW
maxisemi1349
[view on eBay]
Used 3
in stock
$320.00
Description: 0020-90213 BLOCKER PLATE,SILANE 200MM
spsglobal
[view on eBay]
Used 3
in stock
$260.00
Description: 323-0201// AMAT APPLIED 0030-09037 SEAL,200MM PEDESTAL FACE CHEMR NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0020-08545 BRACKET, CLAMP, COVER, 200MM, MESA, DARK, USED
catalystparts
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT Applied Materials 0020-42290 Rev-B Tight Pocket Centura Robot Arm 200mm
j316gallery
[view on eBay]
Used 1
in stock
$1,059.78
Description: 15396 APPLIED MATERIALS RING, SINGLE, LOW PROFILE, 200MM SNNF, D 0200-02866
j316gallery
[view on eBay]
NEW 1
in stock
$1,667.50
Description: 15512 APPLIED MATERIALS PLATE, FINGER LIFT RING, 200MM PRODUCER (NEW) 0200-36649
j316gallery
[view on eBay]
NEW 3
in stock
$958.89
Description: 15379 APPLIED MATERIALS PIN WAFER LIFT 200MM THIN WALL SILICO NEW 0200-36642
j316gallery
[view on eBay]
NEW 1
in stock
$2,000.00
Description: 15393 APPLIED MATERIALS DEP RING 200MM SIP TA/TAN SNNF ESC IN NEW 0200-01955
j316gallery
[view on eBay]
NEW 1
in stock
$1,814.76
Description: 15399 APPLIED MATERIALS COVER ASSEMBLY, SAFETY, RADIANCE 200MM (NEW) 0010-05029
j316gallery
[view on eBay]
Used 2
in stock
$2,016.39
Description: 15528 APPLIED MATERIALS SUSC R3 ROTATION, POLY BACK COAT 200MM 0200-35157
bigg.logistics101
[view on eBay]
NEW 1
in stock
$699.99
Description: APPLIED MATERIALS PEDESTAL THICK 200MM GRAPH/QUARTZ SPUTTER ETCH 0020-10518
spsglobal
[view on eBay]
Used 2
in stock
$990.00
Description: 116-0103// AMAT APPLIED 0200-09762 RING,CLAMPING,NOTCH,AL 200MM, 1.38 HT,FI USED
spsglobal
[view on eBay]
Used 3
in stock
$1,600.00
Description: 116-0201// AMAT APPLIED 0200-09559 COVER 200MM CERAMIC (6 HOLES . USED
spsglobal
[view on eBay]
Used 1
in stock
$900.00
Description: 116-0204// AMAT APPLIED 0200-35477 COVER 200MM JMF STD COVERLESS, USED
spsglobal
[view on eBay]
Used 1
in stock
$1,800.00
Description: 116-0204// AMAT APPLIED 0200-35477 COVER 200MM JMF STD COVERLESS, NEW
spsglobal
[view on eBay]
Used 7
in stock
$600.00
Description: 116-0202// AMAT APPLIED 0200-09746 COLLAR AL 200MM CERAMIC USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 116-0202// AMAT APPLIED 0200-09182 ADAPTER, PUMPING PLATE, 200MM USED
j316gallery
[view on eBay]
Used 1
in stock
$675.03
Description: 24 APPLIED MATERIALS PCB 200MM INTERLOCK BOARD 0100-76280
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 116-0502// AMAT APPLIED 0200-09478 PLATE, GAS DIST, UPPER, 200MM USED
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 116-0502// AMAT APPLIED 0200-09425 RING FOCUSING QTZ AL 200MM .89 NEW
spsglobal
[view on eBay]
Used 1
in stock
$900.00
Description: 116-0501// AMAT APPLIED 0200-40170 COVER RING 200MM JMF NON CONTA NEW
spsglobal
[view on eBay]
Used 1
in stock
$1,400.00
Description: 116-0501// AMAT APPLIED 0200-35782 SHADOW RING, 200MM FLAT, SI/QT NEW
spsglobal
[view on eBay]
Used 6
in stock
$660.00
Description: 116-0304// AMAT APPLIED 0200-00601 TOP RING QUARTZ, 200MM OXIDE NEW
spsglobal
[view on eBay]
Used 3
in stock
$1,700.00
Description: 116-0304// AMAT APPLIED 0200-02333 COVER RING, L-SHAPE 32RA, 200MM EMAX NEW
spsglobal
[view on eBay]
Used 15
in stock
$1,100.00
Description: 116-0304// AMAT APPLIED 0200-00677 TOP RING QUARTZ, 200MM OXIDE NEW
spsglobal
[view on eBay]
Used 1
in stock
$560.00
Description: 116-0303// AMAT APPLIED 0200-10151 SHADOW RING, QUARTZ, 200MM, FLAT (1S)GEC USED
spsglobal
[view on eBay]
Used 3
in stock
$200.00
Description: 116-0303// AMAT APPLIED 0200-09765 COLLAR,200MM PEDESTAL, NOTCH,AL,FINGER USED
spsglobal
[view on eBay]
Used 1
in stock
$720.00
Description: 116-0204// AMAT APPLIED 0200-40204 COVER RING,200MM JMF NON-CONT/C'BORE NEW
dr.dantom
[view on eBay]
Used 2
in stock
$500.00
Description: Applied Materials/AMAT 0200-09478 Plate gas distr. upper 200mm
spsglobal
[view on eBay]
Used 1
in stock
$540.00
Description: 125-0501// AMAT APPLIED 0200-09572 COLLAR, SI, OX/MLR/NIT, 200MM, NEW
spsglobal
[view on eBay]
Used 2
in stock
$230.00
Description: 125-0404// AMAT APPLIED 0020-31305 INSERT,ALUM,OUTER,200MM POLY,2 NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$350.99
Description: 0200-40194 / AMAT QUARTZ COVER RING 200MM SNNF-CONTACT/C P2 / AMAT
j316gallery
[view on eBay]
Used 1
in stock
$4,704.91
Description: 15558 APPLIED MATERIALS SHIELD, UPPER, STRAIGHT, SIP II 200MM 0021-23332
j316gallery
[view on eBay]
Used 1
in stock
$403.28
Description: 16627 APPLIED MATERIALS ALUM WFR PRECISION OTF CALIBR 200MM, RTP 0021-02417
j316gallery
[view on eBay]
NEW 2
in stock
$1,154.42
Description: 15615 APPLIED MATERIALS LIFT PLATE FIXED LIFT PIN 200MM PROD (NEW) 0200-02577
j316gallery
[view on eBay]
Used 1
in stock
$13,442.60
Description: 15611 APPLIED MATERIALS ASSY. HTR PURGE JMF 200MM HP TXZ 0400-40333 0010-05692
j316gallery
[view on eBay]
Used 1
in stock
$3,206.73
Description: 15607 APPLIED MATERIALS HEATER, ASSY, 200MM FC WXZPLUS OSCR 0010-04542
j316gallery
[view on eBay]
NEW 1
in stock
$1,410.96
Description: 15584 APPLIED MATERIALS SUSCEPTOR XYC R3 ROT 200MM EPI (NEW) 0200-35359
j316gallery
[view on eBay]
NEW 1
in stock
$1,037.96
Description: 15893 APPLIED MATERIALS 200MM RAD EMISSOMETER LOW-E WAFER (NEW) 0190-06300
j316gallery
[view on eBay]
NEW 1
in stock
$1,044.48
Description: 16048 APPLIED MATERIALS 200MM RADIANCE EMISSOMETER LOW-E WAFER (NEW) 0190-06301
spsglobal
[view on eBay]
Used 6
in stock
$20.00
Description: 347-0302// AMAT APPLIED 0020-03119 BLOCK DEPRESSOR MOUNTING 200MM NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$748.46
Description: 0200-00601 / TOP RING QUARTZ, 200MM OXIDE / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$450.99
Description: 716-015597-001 / LAM RING, COVER WAP DFC 200MM OBSOLETE / LAM
j316gallery
[view on eBay]
Used 2
in stock
$1,012.46
Description: 954 NOVELLUS ELECTROSTATIC CHUCK, 200MM, 15-118976-00 (PARTS) 02-134263-00
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,158.20
Description: 0200-09762, 1021-14-252 / RING, CLAMPING,NOTCH,AL 200MM, 1.38 HT,FI / AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,650.82
Description: 716-003687-188 / LAM, INSERT, FOCUS RING 200MM SHIELD ESC / LAM
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0041-05383 200MM Zone 5 Profile Peek, 405664
dr.dantom
[view on eBay]
Used 2
in stock
$69.99
Description: Applied Materials AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
dr.dantom
[view on eBay]
Used 3
in stock
$69.99
Description: Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
dr.dantom
[view on eBay]
Used 1
in stock
$580.00
Description: Boc Edwards Applied Materials AMAT 0020-22196 Flame sprayed Pedestal 8" 200mm
dr.dantom
[view on eBay]
NEW 4
in stock
$100.00
Description: Applied Materials 0021-33783 810-64909 200MM MEMBRANE SILICONE 8" TITAN HEAD
dr.dantom
[view on eBay]
NEW 5
in stock
$40.00
Description: Applied Materials 0270-03676 BOLT ESC REMOVAL CATHODE ASSY STAND 200MM ULTIMA
semiconusa
[view on eBay]
Used 1
in stock
$1,599.00
Description: AMAT 0010-70650, VHP+ Robot Cover, Centura ll, 200mm, 300mm
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 324-0203// AMAT APPLIED 0200-09185 PIN, WAFER LIFT 200MM BW [NEW]
spsglobal
[view on eBay]
Used 10
in stock
$40.00
Description: 327-0401// AMAT APPLIED 0040-01671 SPIDER CLIP, 200MM SRD, ECP [NEW]
semiconusa
[view on eBay]
Used 1
in stock
$6,599.00
Description: AMAT PN: 0020-75851, 200mm Centura II , LID, TRANSFER CHAMBER VHP ROBOT, OTF
j316gallery
[view on eBay]
Used 2
in stock
$547.84
Description: 17006 APPLIED MATERIALS INSERT LINER EXHAUST TXZ 200MM 0021-02469
j316gallery
[view on eBay]
Used 6
in stock
$765.60
Description: 17005 APPLIED MATERIALS PLATE, BLOCKER, TXZ 200MM 0021-35744
powersell007
[view on eBay]
Used 1
in stock
$3,299.00
Description: APPLIED MATERIALS 0021-26399 4N CU COPPER SLIM CELL ANODE SC ECP 200mm AMAT
j316gallery
[view on eBay]
Used 7
in stock
$1,472.88
Description: 17388 APPLIED MATERIALS RING, CAPTURE C-ESC, SNNF, 200MM, METAL 0200-00250
ryacas6
[view on eBay]
NEW 1
in stock
$225.00
Description: APPLIED MATERIALS P/N 0015-00517 CLAMP  VESPEL,  200MM
ryacas6
[view on eBay]
NEW 5
in stock
$299.00
Description: Applied Materials (AMAT) 0200-09076 SUPPORT SUSCEPTOR 200MM
grandbirdnet
[view on eBay]
NEW 7
in stock
$1,000.00
Description: AMAT 0040-85409 200mm plate, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0020-75851 200mm Centura II , LID, TRANSFER CHAMBER VHP ROBOT, OTF
j316gallery
[view on eBay]
NEW 1
in stock
$875.89
Description: 17530 APPLIED MATERIALS STANDOFF NANOMETRICS 200MM MANO/TO FE PR NEW 0021-08390
j316gallery
[view on eBay]
Used 1
in stock
$475.84
Description: 17950 APPLIED MATERIALS PLATE, BLOCKER, TXZ 200MM (PARTS) 0021-35744
j316gallery
[view on eBay]
Used 1
in stock
$445.94
Description: 17962 APPLIED MATERIALS EDGE RING, TXZ, 200MM, SNNF (PARTS) 0021-35946
j316gallery
[view on eBay]
Used 2
in stock
$3,163.95
Description: 18305 APPLIED MATERIALS LASED, PEDESTAL, 200MM SNNF SML FLT 0040-18219
j316gallery
[view on eBay]
Used 1
in stock
$2,404.60
Description: 18396 APPLIED MATERIALS SHADOW RING, QUARTZ, 200MM NOTCH (2) TIG 0200-01119
j316gallery
[view on eBay]
Used 2
in stock
$5,355.93
Description: 18182 NOVELLUS PLATE INDEXER WAFER 200MM 15-324541-00
j316gallery
[view on eBay]
NEW 1
in stock
$2,544.07
Description: 17664 APPLIED MATERIALS RETROFIT KIT OUTER CLAMP 200MM PROFILERNEW 0240-15325
senior-inc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0200-01155 DEPOSITION RING, DEEPER GROOVE, 200MM BESC PVD CU
j316gallery
[view on eBay]
Used 3
in stock
$759.35
Description: 18839 APPLIED MATERIALS ASSY C-CHUCK 200MM CIP 99 0010-38438
j316gallery
[view on eBay]
Used 5
in stock
$379.68
Description: 18849 APPLIED MATERIALS 200MM MOD II REFLECTOR PLATE (PARTS) 0021-35008
j316gallery
[view on eBay]
Used 1
in stock
$13,389.80
Description: 19116 APPLIED MATERIALS HTR NOTCH BASE COOLING 200MM 0040-31780
gophersales
[view on eBay]
Used 1
in stock
$2,840.00
Description: AMAT Applied Materials 0270-02483 Process Tool Lifting Kit, Endura 200MM
gophersales
[view on eBay]
Used 1
in stock
$1,875.00
Description: Applied Materials 0200-09072 AMAT Shield, 200mm
dnd_surplus
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0040-99949 SHELL ASSY,200MM,NOTCH(2),5MIC,0.8THK
tjtechseller
[view on eBay]
Used 1
in stock
$600.00
Description: 0040-09136 AMAT Applied Materials CHAMBER 200MM BW
senior-inc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0200-01155 DEPOSITION RING DEEPER GROOVE 200MM
tjtechseller
[view on eBay]
NEW 1
in stock
$500.00
Description: 0021-04297 Applied Materials MANIFOLD CLEAN GAS 200MM
grandbirdnet
[view on eBay]
Used 1
in stock
$8,500.00
Description: AMAT 0190-02194 ASSEMBLY, CIRCUIT BREAKER ENCL, 200MM MESA, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-05387 FLAT PANEL DISPLAY ENCLOSURE MIRRA 200MM CMP ,USED
semiconusa
[view on eBay]
Used 1
in stock
$5,299.00
Description: AMAT 0010-04542, ASSY, HEATER, 200MM WXZ CERAMIC RING, OS, Applied Materials
j316gallery
[view on eBay]
Used 3
in stock
$898.21
Description: 19592 APPLIED MATERIALS FACEPLATE, SILANE PRODUCER 200MM 0021-03637
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-43518, Line O2 Purge #2, 200MM Radiance, New, Lot of 2
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-43517, Line O2 Purge #1, 200MM Radiance, New
gophersales
[view on eBay]
Used 1
in stock
$205.00
Description: Lot of 3 AMAT Applied Materials 0270-04927 Fixture, Coil Cup Guide, 200MM SIP En
gophersales
[view on eBay]
Used 1
in stock
$205.00
Description: AMAT Applied Materials 0050-80180 Gas Line CH. D Foreline Ex Port Seg III 200MM
gophersales
[view on eBay]
Used 1
in stock
$205.00
Description: AMAT Applied Materials 0020-31501 B Lot of 3 Finger Chucks 200MM
spsglobal
[view on eBay]
Used 4
in stock
$330.00
Description: 134-0503// AMAT APPLIED 0020-10192 *RING WAFER LIFT 200MM [USED]
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$500.99
Description: 0020-31638 / COVER, 200MM, ESC / APPLIED MATERIALS AMAT
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 352-0403// AMAT APPLIED 0020-10185 SUPPORT HOOP 200MM [USED]
j316gallery
[view on eBay]
Used 1
in stock
$507.62
Description: 8256 APPLIED MATERIALS RING WAFER LIFT 200MM 0020-10192
spsglobal
[view on eBay]
Used 1
in stock
$700.00
Description: 111-0201// AMAT APPLIED 0020-10732 PLATE PUMPING BW 200MM [USED]
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,837.99
Description: 0020-30629 / NDM-275-021, PEDESTAL POLY/WSI 200MM NOTCH / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,221.25
Description: 0020-31621 / RING, CLAMPING, VESPEL, 200MM, NOTCH / APPLIED MATERIALS AMAT
j316gallery
[view on eBay]
NEW 3
in stock
$1,264.31
Description: 20101 APPLIED MATERIALS CUP OUTER SUPPORT 200MM SIP ENCORE TA NEW 0020-29526
senior-inc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0021-01317 OUTER SHIELD TXZ 200MM
maxisemi1349
[view on eBay]
Used 1
in stock
$1,350.00
Description: 0200-10234 RING, CLAMP, 200MM, 197MM, NOTCH, HEWEB
capitolareatech
[view on eBay]
NEW 1
in stock
$375.95
Description: Applied Materials (AMAT) 0020-16215 PLATE BLOCKER 200MM,BW FOR WSIX LID
capitolareatech
[view on eBay]
Used 1
in stock
$1,295.95
Description: Applied Materials (AMAT) 0040-13298 ESC 200mm, HAS A SMALL NICK IN IT, NEEDS TO
capitolareatech
[view on eBay]
NEW 3
in stock
$2,995.95
Description: Applied Materials (AMAT) 0010-10200 ASSY, PEDESTAL ESC 200MM 1 FLT WEB
capitolareatech
[view on eBay]
NEW 7
in stock
$899.95
Description: Applied Materials (AMAT) 0021-01813 FACEPLATE, TEOS, PRODUCER, 200MM
capitolareatech
[view on eBay]
NEW 12
in stock
$995.95
Description: Applied Materials (AMAT) 0020-32936 EDGE RING,TXZ,200MM,JMF
capitolareatech
[view on eBay]
NEW 2
in stock
$18.95
Description: Applied Materials (AMAT) 0020-81547 Cap Pressure 200mm
capitolareatech
[view on eBay]
NEW 9
in stock
$895.95
Description: Applied Materials (AMAT) 0190-36078 ASSY, TC, HEATER 200MM TI-XZ
capitolareatech
[view on eBay]
NEW 2
in stock
$49.95
Description: Applied Materials (AMAT) 0040-34472 Hose Water Lid-Chamber Anneal ECP 200MM
capitolareatech
[view on eBay]
Used 1
in stock
$599.95
Description: Applied Materials (AMAT) 0200-10194 Shield, Tapered, 200mm
capitolareatech
[view on eBay]
NEW 113
in stock
$238.95
Description: AMAT 0020-32131 Insert Inner N15 200MM ESC
capitolareatech
[view on eBay]
NEW 2
in stock
$29.95
Description: Applied Materials (AMAT) 0020-31685 SLEEVE, CENTERING, 200MM, XTAL TIER IV
capitolareatech
[view on eBay]
NEW 3
in stock
$342.95
Description: Applied Materials (AMAT) 0020-31509 Pedestal, Rimless, Ox/MLR/Nit, 200MM, NO
capitolareatech
[view on eBay]
NEW 2
in stock
$165.95
Description: APPLIED MATERIALS 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM N
capitolareatech
[view on eBay]
NEW 1
in stock
$57.95
Description: Applied Materials (AMAT) 0020-31252 FINGERS REMOVABLE AL 200MM 200 EXCLUSION
capitolareatech
[view on eBay]
NEW 5
in stock
$1,953.95
Description: Applied Materials (AMAT) 0040-34148 LINER, GDP, 43R HOLE, HART 200MM
capitolareatech
[view on eBay]
NEW 9
in stock
$1,300.95
Description: Applied Materials 0040-09961 Pedestal, 200mm Notch, IS, NI Lift2, HVCEN,.
capitolareatech
[view on eBay]
NEW 1
in stock
$8,741.95
Description: Applied Materials (AMAT) 0040-07962 RING, CLAMP, LOWER, 200MM, LTE/E-CLEAN
capitolareatech
[view on eBay]
NEW 70
in stock
$199.95
Description: Applied Materials (AMAT) 0030-09037 Seal, 200mm Pedestal Face CHEMRAZ
capitolareatech
[view on eBay]
NEW 1
in stock
$174.95
Description: AMAT 0040-39520 BRACKET,TANTALUM VAPORIZER,200MM
capitolareatech
[view on eBay]
NEW 1
in stock
$209.95
Description: AMAT 0140-11789 Cable Assembly, SRD Exhaust 200MM MESA
capitolareatech
[view on eBay]
NEW 1
in stock
$1,622.95
Description: AMAT 0200-09834 RING OUTER,200MM,NOTCH, SR,BWCVD
capitolareatech
[view on eBay]
NEW 1
in stock
$2,329.95
Description: Applied Materials (AMAT) 0200-09780 FOCUS RING, 200MM, POLY/ POLYCIDE, EXT,
capitolareatech
[view on eBay]
NEW 1
in stock
$3,149.95
Description: AMAT 0200-09706 RING,CENTERING,200MM 194 MM,NOTCH(200.5)
capitolareatech
[view on eBay]
NEW 1
in stock
$181.95
Description: AMAT 0200-09697 FINGER, CER, AL, 200MM, 2MM EXCLUSION
capitolareatech
[view on eBay]
NEW 2
in stock
$1,312.95
Description: AMAT 0200-09619 COVER,WRAPAROUND,QTZ, 200MM, XTAL TIER I
capitolareatech
[view on eBay]
NEW 1
in stock
$65.95
Description: Applied Materials 0200-09614 Pin, Lift wafer 200mm BWCVD
capitolareatech
[view on eBay]
Used 4
in stock
$2,187.95
Description: Applied Materials (AMAT) 0200-09574 HOOP,REV 1 CERAMIC,200MM
capitolareatech
[view on eBay]
NEW 1
in stock
$1,695.95
Description: AMAT 0200-09573 COVER, QTZ, OX/MLR/NIT, 200MM
capitolareatech
[view on eBay]
NEW 1
in stock
$45.95
Description: Applied Materials (AMAT) 0200-04992 Nozzle,. 020 Hole, Aluminum. 200mm
capitolareatech
[view on eBay]
NEW 2
in stock
$1,434.95
Description: Applied Materials (AMAT) 0200-03206 CERAMIC DOOR, SLIT LINER, HART 200MM
capitolareatech
[view on eBay]
NEW 6
in stock
$3,752.95
Description: AMAT 0200-03168 DEP RING, 200MM CLEANCOAT, BARE, 99.8%,
capitolareatech
[view on eBay]
NEW 1
in stock
$3,324.95
Description: AMAT 0200-02962 SINGLE RING QUARTZ 200MM SNNF (.25 HT) R
capitolareatech
[view on eBay]
NEW 1
in stock
$3,066.95
Description: AMAT 0200-01996 LOWER ISOLATOR, B-LINER, 200MM, TICL4
capitolareatech
[view on eBay]
NEW 1
in stock
$5,226.95
Description: AMAT 0200-01001 HOOP V2,CENTERING, 200MM IBC,SF3 ECP
capitolareatech
[view on eBay]
NEW 1
in stock
$272.95
Description: AMAT 0200-00089 CAP,TOP,QUARTZ,ASP+F,200MM
capitolareatech
[view on eBay]
NEW 1
in stock
$849.95
Description: Applied Materials (AMAT) 0020-32129 SPACER N15 SHUNT 200MM ESC
capitolareatech
[view on eBay]
Used 1
in stock
$1,795.95
Description: Applied Materials (AMAT) 0200-09762 RING,CLAMPING,NOTCH,AL 200MM, 1.38 HT,FI
capitolareatech
[view on eBay]
NEW 1
in stock
$1,995.95
Description: Applied Materials (AMAT) 0020-34894 COVER, SHOWERHD, 200MM DOUBLE NOTCH,
capitolareatech
[view on eBay]
NEW 1
in stock
$1,295.95
Description: Applied Materials (AMAT) 0021-39519 LIFT HOOP CHUCK, 200mm ASP
capitolareatech
[view on eBay]
NEW 1
in stock
$2,675.95
Description: Applied Materials (AMAT) 0010-09463 SUSCEPTOR ASSY TEOS 200MM
capitolareatech
[view on eBay]
NEW 22
in stock
$2,195.95
Description: Applied Materials (AMAT) 0010-10036 ASSY SUSCEPTOR BB Teos 200mm Screwless
capitolareatech
[view on eBay]
NEW 2
in stock
$3,995.95
Description: Applied Materials (AMAT) 0090-09298 ESC ASSY 200MM. NOTCH(2)
capitolareatech
[view on eBay]
NEW 1
in stock
$1,079.95
Description: Applied Materials (AMAT) 0021-09875 COLLAR RING, SHOWERHEAD 200MM, DOUBLE
capitolareatech
[view on eBay]
Used 2
in stock
$1,884.95
Description: Applied Materials (AMAT) 0010-00410 GRIPPER, ASSEMBLY 200MM
capitolareatech
[view on eBay]
Used 6
in stock
$134.95
Description: Applied Materials (AMAT) 0020-31008 INSERT, PEDESTAL, LARGE, 200MM OXIDE
capitolareatech
[view on eBay]
Used 2
in stock
$107.95
Description: Applied Materials (AMAT) 0020-30813 INSERT OX/MLR/NIT PEDESTAL NI 200MM
capitolareatech
[view on eBay]
Used 1
in stock
$318.95
Description: Lam Research (LAM) 713-031390-001 RING, UPR GAS DUAL FEED 200MM
capitolareatech
[view on eBay]
NEW 3
in stock
$4,241.95
Description: LAM RESEARCH (LAM) 839-011307-003 ASSY, ESC BP, W/HTR 200MM
j316gallery
[view on eBay]
NEW 1
in stock
$273.35
Description: 20260 APPLIED MATERIALS HINGE, PLATE 1/2 RABBIT, 200MM PRODUCER (NEW) 0021-06977
capitolareatech
[view on eBay]
Used 1
in stock
$331.95
Description: LAM RESEARCH (LAM) 715-140184-008 200mm Wafer End Effector
spsglobal
[view on eBay]
Used 1
in stock
$1,020.00
Description: 123-0303// AMAT APPLIED 0021-00546 INSULATOR, DUAL HE, 200MM DPS [ASIS]
spsglobal
[view on eBay]
Used 2
in stock
$1,530.00
Description: 123-0303// AMAT APPLIED 0021-00546 INSULATOR,DUAL HE, 200MM DPS [USED]
capitolareatech
[view on eBay]
Used 6
in stock
$1,495.95
Description: Applied Materials (AMAT) 0200-10416 COLLAR 200MM, SILIZIUM
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,364.21
Description: 0010-04644 / TRAY ASSY, 200MM OUTPUT MODULE, CMP CLEA / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,054.98
Description: 0010-10528 / PEDESTAL ASSY,200MM NOTCH,IS,NI,LIFT3,HV / APPLIED MATERIALS AMAT
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 316-0403// AMAT APPLIED 0020-13814 200MM BLADE W/WAFER POCKET MC-ROBOT [USED]
j316gallery
[view on eBay]
Used 2
in stock
$417.64
Description: 20475 APPLIED MATERIALS PLATE, PERFORATED OXIDE 200MM TEOS (PARTS) 0020-10117
maxisemi1349
[view on eBay]
Used 1
in stock
$475.00
Description: 0020-10192 RING WAFER LIFT 200MM
grandbirdnet
[view on eBay]
NEW 1
in stock
$440.00
Description: AMAT 0150-39041 CABLE ASSY,AE RF GENERATOR ADAPTER,200MM, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,401.43
Description: 0200-18078 / COLLAR,200MM SNNF,ULTIMA / APPLIED MATERIALS AMAT
j316gallery
[view on eBay]
Used 1
in stock
$3,347.46
Description: 20651 APPLIED MATERIALS PLATE ASSY, PLASMA CHUCK, 200MM 0190-09496
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: NOVELLUS 16-033931-00 SHOWERHEAD PINNED 200MM, NEW
capitolareatech
[view on eBay]
NEW 3
in stock
$249.95
Description: Applied Materials (AMAT) 0040-77436 DDF3 TRVL HSG, HEAD ASSY, PAD COND 200MM
j316gallery
[view on eBay]
NEW 2
in stock
$504.30
Description: 21077 APPLIED MATERIALS INSERT,200MM EXT CATHOD (NEW) 0020-04306
j316gallery
[view on eBay]
NEW 1
in stock
$13,389.80
Description: 21188 APPLIED MATERIALS BEARING ASSEMBLY, UPPER ROTATION, 200MM (NEW) 0010-29038
senior-inc
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0021-35008 BOTTOM REFLECTOR, 200MM
j316gallery
[view on eBay]
NEW 1
in stock
$1,673.72
Description: 20936 APPLIED MATERIALS GAS LINE IVL TO FORELINE BPSG 200MM P NEW 0050-83719
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$7,363.17
Description: 0010-09337 / LAMP MODULE ASSY 200MM 5000 CVD / APPLIED MATERIALS AMAT
j316gallery
[view on eBay]
NEW 2
in stock
$1,625.61
Description: 21123 APPLIED MATERIALS PUMPING PLT CONFORMAL 200MM (NEW) 0020-30059
svcheck
[view on eBay]
Used 1
in stock
$344.56
Description: AMAT APPLIED MATERIALS 0021-09703 200MM Plate Blocker
usedeqsales
[view on eBay]
Used 2
in stock
$1,410.20
Description: AMAT Applied Materials 0021-17725 200mm Shutter Disc ESC Rev. 003 Cu Refurbished
usedeqsales
[view on eBay]
Used 1
in stock
$1,410.20
Description: AMAT Applied Materials 0021-17725 200mm Shutter Disc ESC Rev. 002 Cu Refurbished
usedeqsales
[view on eBay]
Used 6
in stock
$1,510.20
Description: AMAT Applied Materials 0020-24719 200mm Cover Ring AL 233-3889-52 Refurbished
usedeqsales
[view on eBay]
Used 3
in stock
$910.20
Description: AMAT Applied Materials 0020-24719 200mm Cover Ring AL Open Package Working
j316gallery
[view on eBay]
Used 3
in stock
$3,901.66
Description: 21252 APPLIED MATERIALS PLT REFLECTOR 200MM XE CORROSION RE (REFURB) 0021-03721
senior-inc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0200-35004 200MM VACUUM ROBOT BLADE, QUARTZ
techequipsales
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT Applied Materials 0010-37788 Heater Assy Purge 200MM SNNF TXZ BKM *cleaned*
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$11,455.00
Description: 0010-05254 / CVD, CENTURA, 200MM DXZ CERAMIC HEATER / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,900.00
Description: 0010-10327 /SHELL ASSY, ESC 200MM NOTCH 2 5MIC 0.8 THK 0020-32909 / AMAT
legacy_technologies
[view on eBay]
Used 1
in stock
$2,000.00
Description: APPLIED MATERIALS AMAT 0040-18053 PEDESTAL, HOLES 200MM BLUE SNNF
maxisemi1349
[view on eBay]
Used 1
in stock
$850.00
Description: 0010-36522 ASSY,EDGE RING,TXZ,200MM,SNNF
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$13,858.20
Description: 0010-07946 / ASSY, ESC RF 200MM FLAT DZ HI FLOW OUTER / AMAT
semistarcorp
[view on eBay]
NEW 2
in stock
$155.00
Description: AMAT 0200-02085 Pin, Wafer Lift, Quartz EPI 200mm
j316gallery
[view on eBay]
NEW 2
in stock
$4,835.07
Description: 21597 APPLIED MATERIALS LINER COMMON BD/BLOK LOWK 200MM PROD (NEW) 0200-01393
j316gallery
[view on eBay]
NEW 9
in stock
$2,417.54
Description: 21548 APPLIED MATERIALS MANIFOLD, INPUT, 200MM PRODUCER (NEW) 0040-01618
capitolareatech
[view on eBay]
NEW 1
in stock
$699.95
Description: Applied Materials (AMAT) 0200-09608 SHLD QUARTZ,200MM,SPUTTER ETCH
capitolareatech
[view on eBay]
NEW 1
in stock
$469.95
Description: Applied Materials (AMAT) 0200-09086 WEST COAST QUARTZ RING 200MM SPUTTER ETCH
capitolareatech
[view on eBay]
Used 3
in stock
$2,495.95
Description: Applied Materials (AMAT) 0010-03175 SUSCEPTOR ASSY, TEOS 200MM
usedeqsales
[view on eBay]
Used 1
in stock
$1,411.20
Description: AMAT Applied Materials 0021-17725 Shutter Disk 200mm TA-BESC Tosoh Refurbished
smartelektronikgmbh
[view on eBay]
Used 1
in stock
$720.00
Description: 0200-09074, AMAT WINDOW, HEATER, 200MM
smartelektronikgmbh
[view on eBay]
Used 2
in stock
$940.00
Description: AMAT 0040-39138 // Dual Sensor End Point Detector 200mm
galacticmarketplace
[view on eBay]
NEW 2
in stock
$499.99
Description: Applied Materials AMAT Water Base 200mm Load Cup 0021-77141 E2 SHP01 7-1/4"
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,516.20
Description: 0040-36425 / SHELL ASSY,200MM, MIN SHWR DBL NOT / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$4,235.60
Description: 0010-07331 / ASSY, LLA SWLL LIFT HOOP, 200MM, CENTURA / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$850.20
Description: 0020-32909 / ESC, PEDESTAL 200MM NOTCH / APPLIED MATERIALS AMAT
j316gallery
[view on eBay]
NEW 1
in stock
$1,692.28
Description: 21902 APPLIED MATERIALS COVER RING 200MM SNNF NON-CONTACT/C (NEW) 0200-40194
j316gallery
[view on eBay]
NEW 4
in stock
$834.05
Description: 21879 NOVELLUS WLDMT, TOP, ACT TOPHAT, 200MM (NEW) 16-145020-00
j316gallery
[view on eBay]
Used 1
in stock
$1,207.56
Description: 2069 APPLIED MATERIALS ASSY HTR 200MM CPR STD WXZ, 0040-49807 0010-11491
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$925.99
Description: 0020-21589 / SHIELD, LOWER, 200MM SIP TA(N), 190MM T- / APPLIED MATERIALS AMAT
j316gallery
[view on eBay]
NEW 5
in stock
$1,813.15
Description: 22258 NOVELLUS EXCL RING, 200MM X 2.25MM, OH, SEMI (NEW) 15-032939-00
usedeqsales
[view on eBay]
Used 2
in stock
$362.12
Description: Lam Research 715-130092-008 200mm Robot End Effector Fork Rev. 2 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$362.20
Description: Lam Research 715-130080-008 200mm Robot Arm End Effector Fork 4420 Working Spare
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,000.00
Description: AMAT 0200-35019 INSERT, QUARTZ INJECT, EPI 200MM, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0200-01955 DEP RING, 200MM SIP TA/TAN, SNNF ESC, IN, REFURBISHED
grandbirdnet
[view on eBay]
NEW 1
in stock
$3,500.00
Description: AMAT 0020-64184 PUMPING PLATE 200MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$3,900.00
Description: AMAT 0200-39289 ISOLATOR TEOS PUMPING RING, PRODUCER 200MM, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$3,500.00
Description: AMAT 0200-01393 LINER, COMMON, BD/BLOK, LOWK, 200MM PRODUCER, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, refurbished
maxisemi1349
[view on eBay]
NEW 1
in stock
$2,800.00
Description: 17-340191-00 CONTACT,APC,200MM,1MM,EE, lot of 4
usedeqsales
[view on eBay]
Used 5
in stock
$2,001.21
Description: AMAT Applied Materials 0040-36425 200mm Electrostatic Chuck ESC 0021-37371 New
grandbirdnet
[view on eBay]
NEW 2
in stock
$7,800.00
Description: NOVELLUS 16-261562-00 CHAMBER, ANODOE,SBR-XT,200MM CONAN, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,277.07
Description: 0090-00569 / ASSY OUTSOURCE UDK5214NW 5 PHASE DRIVER 200MM PROD / AMAT
grandbirdnet
[view on eBay]
Used 2
in stock
$800.00
Description: AMAT 0020-26967 GAS TRENCH COVER 200MM, PC-IIE, REFURBISHED
grandbirdnet
[view on eBay]
Used 2
in stock
$1,200.00
Description: AMAT 0020-41755 SHIELD 200MM, PC-IIE, REFURBISHED
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,263.00
Description: 0200-09559 / COVER 200MM CERAMIC (6 HOLES .128) / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,046.77
Description: 0020-30746 / FINGER 200MM WAFER SPT PRSP / APPLIED MATERIALS AMAT
grandbirdnet
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0200-03577 200MM, PC-IIE, REFURBISHED
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0021-01317 OUTER SHIELD TXZ 200MM, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$18,000.00
Description: NOVELLUS 02-302648-00 200MM PEO SOLA, USED
rolx1234
[view on eBay]
Used 1
in stock
$570.00
Description: Lam Research 715-130080-008 200mm Wafer End Effector
spsglobal
[view on eBay]
Used 1
in stock
$1,100.00
Description: 350-0402// AMAT APPLIED 0020-30607 CARRIER 100MM THRU 200MM HEWEB [NEW]
j316gallery
[view on eBay]
NEW 1
in stock
$1,924.80
Description: 23739 APPLIED MATERIALS RING, CLMP, VESPEL, POLY/WSI, 200MM, NO (NEW) 0020-30628
usedeqsales
[view on eBay]
Used 1
in stock
$2,504.21
Description: AMAT Applied Materials 0240-75102 8" Transfer Blade 200mm End Effector New Spare
j316gallery
[view on eBay]
NEW 4
in stock
$2,579.24
Description: 24369 APPLIED MATERIALS PLATE, BLKER, 144 SIDE HOLES, 200MM BYP (NEW) 0020-52785
j316gallery
[view on eBay]
NEW 5
in stock
$550.65
Description: 24320 APPLIED MATERIALS INSUL, BUSHING, RIGID SUPPORT, 200MM (NEW) 0200-02263
j316gallery
[view on eBay]
NEW 2
in stock
$1,563.90
Description: 24414 APPLIED MATERIALS SHIELD 200MM GIANT GAP NITRIDE (NEW) 0200-09405
usedeqsales
[view on eBay]
Used 1
in stock
$304.21
Description: AMAT Applied Materials 0021-11375 200mm SRD Flywheel Sleeve Plunger Lot of 3 New
usedeqsales
[view on eBay]
Used 10
in stock
$804.21
Description: AMAT Applied Materials 0200-40211 Tight Fit 200mm SNNF Wider Collar New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,004.21
Description: AMAT Applied Materials 0200-40108 200mm 1/4" THK E-Chuck Cover Plate New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$904.21
Description: Novellus 15-053394-02 Spindle Fork Finger Minimum Contact 200mm Lot of 9 New
usedeqsales
[view on eBay]
Used 5
in stock
$1,504.21
Description: AMAT Applied Materials 0020-30073 200mm Oxide Clamping Cylinder New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$505.21
Description: AMAT Applied Materials 0021-35793 200mm Shadow Ring Cu CVD Endura Refurbished
usedeqsales
[view on eBay]
Used 2
in stock
$505.21
Description: AMAT Applied Materials 0021-37702 200mm Right Side Receiver Refurbished
usedeqsales
[view on eBay]
Used 2
in stock
$405.21
Description: AMAT Applied Materials 0020-31459 218mm Shunt 200mm POLY AL Insert New Surplus
maxisemi1349
[view on eBay]
Used 5
in stock
$575.00
Description: 0020-17939 SHIM,C-ESC,1 HE ZONE,200MM SNNF/JMF, lot of 5
usedeqsales
[view on eBay]
Used 1
in stock
$1,205.21
Description: AMAT Applied Materials 0242-37433 EMPAK 200mm Cassette Handler Retrofit Kit New
usedeqsales
[view on eBay]
Used 1
in stock
$755.21
Description: AMAT Applied Materials 0020-31461 200mm Pedestal Cover POLY 218MM SHUNT New
usedeqsales
[view on eBay]
Used 12
in stock
$355.21
Description: AMAT Applied Materials 0020-22852 Preclean 8" Shield 200mm Cleaned Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$605.21
Description: AMAT Applied Materials 0020-04306 200mm EXT Cathode Insert Lot of 2 New Surplus
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0200-09338 Cap, Top, Gas Dist, 200MM, PRSP, 101052
ntsurplus302
[view on eBay]
Used 2
in stock
$450.00
Description: 5622 BOC Edwards AMAT 0021-35946 Edge Ring, TXZ, 200mm, SNNF
j316gallery
[view on eBay]
Used 1
in stock
$481.20
Description: 9057 APPLIED MATERIALS ASSY, ENP-OTF RECEIVER, 200MM BANK, 0100-76055 0010-75581
capitolareatech
[view on eBay]
Used 9
in stock
$2,995.95
Description: Applied Materials (AMAT) 0040-09797 SHELL ASSY 200MM NOTCH HVIB SOLID COMP R
capitolareatech
[view on eBay]
NEW 1
in stock
$2,595.95
Description: Applied Materials (AMAT) 0020-19708 COVER RING, 200MM SIP TA(N), TI, KACHINA
usedeqsales
[view on eBay]
Used 1
in stock
$2,006.21
Description: AMAT Applied Materials 0020-13814 200mm Blade with Wafer Pocket P5000 Working
capitolareatech
[view on eBay]
NEW 1
in stock
$799.95
Description: APPLIED MATERIALS (AMAT) 0010-10828 MANIFOLD ASSEMBLY, UPPER 200MM TITAN HEA
capitolareatech
[view on eBay]
Used 1
in stock
$895.95
Description: Applied Materials (AMAT) 0021-20983 LIFTER, 200MM WAFER
capitolareatech
[view on eBay]
Used 2
in stock
$1,595.95
Description: Applied Materials (AMAT) 0200-18018 COLLAR 200MM,SNNF
capitolareatech
[view on eBay]
NEW 2
in stock
$1,495.95
Description: Applied Materials (AMAT) 0200-09818 RING PEDESTAL, ESC, 200MM, 1JMF,WEB
capitolareatech
[view on eBay]
NEW 3
in stock
$999.95
Description: Applied Materials (AMAT) 0020-29526 CUP, OUTER, SUPPORT, 200MM SIP ENCORE TA
capitolareatech
[view on eBay]
Used 1
in stock
$1,495.95
Description: Applied Materials(AMAT) 0040-09960 PEDESTAL, 200MM NOTCH, ESC ASSY CHUCK, 32715
capitolareatech
[view on eBay]
NEW 2
in stock
$899.95
Description: Applied Materials (AMAT) 0200-00155 INSULATOR, QUARTZ, 200MM, SIMPLE CATHODE
capitolareatech
[view on eBay]
NEW 1
in stock
$799.95
Description: Applied Materials (AMAT) 0200-10151 SHADOW RING, QUARTZ, 200MM, FLAT (1S)GEC
capitolareatech
[view on eBay]
NEW 2
in stock
$799.95
Description: Applied Materials (AMAT) 0200-00316 ISOLATOR PUMPING RING MIDDLE 200MM PRODU
capitolareatech
[view on eBay]
Used 2
in stock
$499.95
Description: Applied Materials (AMAT) 0200-10194 SHIELD, TAPERED, 200MM
capitolareatech
[view on eBay]
NEW 2
in stock
$599.95
Description: Applied Materials (AMAT) 0200-09416 RING CLAMPING OX/MLR/NIT 200MM
capitolareatech
[view on eBay]
NEW 1
in stock
$399.95
Description: Applied Materials (AMAT) 0200-00068 Clamp Ring 200mm Oxide .187 thk
capitolareatech
[view on eBay]
Used 2
in stock
$249.95
Description: Applied Materials (AMAT) 0040-75187 COVER, UPPER RSP PLUG, HYMU, 200MM ULTIM
capitolareatech
[view on eBay]
Used 1
in stock
$99.95
Description: Applied Materials (AMAT) 0040-01752 CLAMP, FORELINE, BOTTOM, NON-DPA,200MM P
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.21
Description: AMAT Applied Materials 0010-04542 200mm Heater Assembly FC WXZPLUS OSCR As-Is
usedeqsales
[view on eBay]
Used 1
in stock
$657.21
Description: AMAT Applied Materials 0200-10001 8" 200mm WxZ Notch Wafer Aligner Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$2,507.21
Description: AMAT Applied Materials 0010-10528 200mm ESC Notch Pedestal 0020-32963 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.21
Description: AMAT Applied Materials 0010-13140 200mm Wafer 15-Slot Tray Assembly Working
techshop7777
[view on eBay]
NEW 1
in stock
$440.00
Description: NEW AMAT RETAINING RING 200MM 5 ZONE PROFILTER 0042-05631
usedeqsales
[view on eBay]
Used 1
in stock
$357.21
Description: Novellus Systems 15-054434-03 200mm EXCL Ceramic Ring Cleaned Working Surplus
usedeqsales
[view on eBay]
Used 4
in stock
$357.21
Description: Novellus Systems 15-054434-03 200mm EXCL Ceramic Ring Cleaned Working Surplus
j316gallery
[view on eBay]
Used 5
in stock
$7,396.80
Description: 25706 APPLIED MATERIALS REACTOR ASSY, DPA, PRODUCER, 200MM 0090-36568
usedeqsales
[view on eBay]
Used 1
in stock
$4,507.21
Description: Novellus Systems 19-024227-00 6 Fork 200mm Pinned Wafer Heater Block Working
techshop7777
[view on eBay]
NEW 5
in stock
$535.00
Description: Amat Retaining Ring 200mm 5 Zone Profiler Applied Materials 0042-05631 / Sealed
usedeqsales
[view on eBay]
Used 1
in stock
$3,507.21
Description: AMAT Applied Material 0040-09136 200mm Wafer CVD Chamber P5000 Cleaned Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,507.21
Description: AMAT Applied Material 0040-09136 200mm Wafer CVD Chamber P5000 Working Surplus
yuuuup11
[view on eBay]
NEW 5
in stock
$199.99
Description: Shadow Ring Quartz 200MM Applied Materials P/N 0200-10445 New Sealed
usedeqsales
[view on eBay]
Used 1
in stock
$3,507.21
Description: AMAT Applied Material 0040-09221 200mm Wafer CVD Chamber P5000 Cleaned Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,607.21
Description: Novellus Systems 02-049610-00 200mm Heater Pedestal 0204961000 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$9,004.33
Description: Lam Research 715-440264-003 200mm Lower Chamber Alliance A4 9600 SE Refurbished
usedeqsales
[view on eBay]
Used 3
in stock
$808.21
Description: Novellus 15-132713-00 200mm Titanium Ti PEC Wafer Notch Lot of 12 Refurbished
usedeqsales
[view on eBay]
Used 1
in stock
$358.21
Description: Entegris 200mm Wafer Carrier Lot of 3 KA200 Novellus 70-121374-00 PA192-80M
usedeqsales
[view on eBay]
Used 1
in stock
$1,208.21
Description: Novellus Systems 15-053394-03 200mm Wafer Fork Finger Lot of 12 Concept 2 New
usedeqsales
[view on eBay]
Used 4
in stock
$358.21
Description: Entegris KA200-81MF-47C02 200mm Wafer Carrier Novellus Systems 70-121374-00 New
usedeqsales
[view on eBay]
Used 4
in stock
$1,908.21
Description: Novellus Systems 15-100263-00 200mm Wafer High Purity Paddle End Effector New
capitolareatech
[view on eBay]
Used 2
in stock
$379.95
Description: Applied Materials (AMAT) 0020-10936 BLOCKER PLATE,SILANE 200MM
usedeqsales
[view on eBay]
Used 13
in stock
$1,608.21
Description: Novellus Systems 16-033931-00 200mm Pinned Showerhead Manufacturer Refurbished
capitolareatech
[view on eBay]
NEW 1
in stock
$569.95
Description: Applied Materials (AMAT) 0200-10194 SHIELD, TAPERED, 200MM
capitolareatech
[view on eBay]
Used 16
in stock
$1,699.95
Description: Applied Materials (AMAT) 0200-18095 COLLAR, MIN. CONTACT 200MM SNNT, HDP-CVD
capitolareatech
[view on eBay]
Used 2
in stock
$949.95
Description: Applied Materials AMAT 0200-04085 CERAMIC LINER MIDDLE APF 200MM
capitolareatech
[view on eBay]
Used 1
in stock
$1,599.95
Description: Applied Materials (AMAT) 0200-18095 COLLAR, MIN. CONTACT 200MM SNNT, HDP-CVD
usedeqsales
[view on eBay]
Used 1
in stock
$1,008.21
Description: Novellus Systems 02-101527-00 200mm Wafer End Effector 16-106462-00 Working
novusferro
[view on eBay]
Used 1
in stock
$499.00
Description: Applied Materials AMAT 0041-11052 0020-32909 PED 200MM NOTCH(2) 0.8" THK
usedeqsales
[view on eBay]
Used 4
in stock
$6,508.21
Description: Novellus Systems 02-033134-00 200mm Wafer Pedestal Heater ALTUS New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,508.21
Description: Novellus Systems 02-033134-00 200mm Wafer Pedestal Heater ALTUS Working Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$3,008.21
Description: Novellus Systems 02-033134-00 200mm Wafer Pedestal Heater ALTUS OEM Refurbished
usedeqsales
[view on eBay]
Used 1
in stock
$1,208.21
Description: AMAT Applied Materials 0020-42001 200mm CVD Chamber Lamp Collimator P5000 As-Is
myriadindustrial
[view on eBay]
Used 11
in stock
$89.45
Description: Applied Materials 200mm Bushing Wafer Cassette Handler 0200-76042
usedeqsales
[view on eBay]
Used 6
in stock
$1,609.21
Description: Novellus Systems 02-033134-00 200mm Wafer Pedestal Heater ALTUS As-Is Spare
capitolareatech
[view on eBay]
Used 4
in stock
$2,195.95
Description: Applied Materials (AMAT) 0040-18213 ASSY, COLD PLATE, ULTIMA 200MM HDPCVD
capitolareatech
[view on eBay]
NEW 11
in stock
$59.95
Description: Applied Materials (AMAT) 0021-07418 SCREW, CENTERING PURGE HEATER 200MM TXZ
capitolareatech
[view on eBay]
NEW 2
in stock
$169.95
Description: Applied Materials (AMAT) 0020-10185 SUPPORT HOOP 200MM
capitolareatech
[view on eBay]
Used 3
in stock
$699.95
Description: Applied Materials (AMAT) 0020-10186 COVER TOP 200MM
capitolareatech
[view on eBay]
NEW 1
in stock
$1,499.95
Description: Applied Materials (AMAT) 0020-08465 SHIELD CLAMP RING, 8"", AL-SPRAYED, 200MM
usedeqsales
[view on eBay]
Used 1
in stock
$1,209.21
Description: Nikon OPTISTATION 3 Keyboard Console 200mm 2S700-385 2S700-386 2S070-043 Working
usedeqsales
[view on eBay]
Used 7
in stock
$1,209.21
Description: Novellus Systems 15-033448-02 200mm Exclusion Ring MOER 40OH JDA New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$509.21
Description: Nikon 2S070-032-2 Stage Focus Keypad 2S700-385 200mm OPTISTATION 3 Working Spare
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$6,466.43
Description: 0190-12122 / 6-PORT ROTARY UNION, 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$2,509.21
Description: Novellus 02-134264-00 200mm Electrostatic Chuck ESC 15-121119-00 Rev. E Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,009.21
Description: Novellus Systems 01-029102-25 200mm Shower Head Spacer Reseller Lot of 4 New
usedeqsales
[view on eBay]
Used 1
in stock
$459.21
Description: AMAT AAMAT Appplied Materials 0200-09351 200mm Susceptor Support Working Surplus
techequipsales
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT Applied Materials 0010-93152 Heater Pruge Assy 200MM SNNF TXZ BKM *cleaned*
j316gallery
[view on eBay]
NEW 1
in stock
$842.36
Description: 10218 APPLIED MATERIALS KIT,HE DUMP RETROFIT,200MM DPN (NEW) 0242-25611
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0200-09547 Ceramic Clamp Ring, 200MM, 194MM, Notch, 102653
usedeqsales
[view on eBay]
Used 1
in stock
$1,509.21
Description: AMAT Applied Materials 0020-32963 200mm ESC 0040-09956 0040-09962 Spare As-Is
usedeqsales
[view on eBay]
Used 1
in stock
$2,009.21
Description: AMAT Applied Materials 0010-13321 P5000 200mm Robot Drive 8" Body Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,510.21
Description: Novellus Systems 04-708353-01 200mm Table Cooling Wafer Chuck 8" OEM Refurbished
storefarm
[view on eBay]
Used 1
in stock
$418.00
Description: [New Other] NOVELLUS / 02-130754-00 / Ceramic Ring, 2991004-15, 200mm
capitolareatech
[view on eBay]
Used 0
in stock
$6,995.95
Description: Applied Materials (AMAT) 0040-09094 CHAMBER 200MM
capitolareatech
[view on eBay]
NEW 1
in stock
$599.95
Description: APPLIED MATERIALS (AMAT) 0020-10732 PLATE PUMPING BW 200MM
capitolareatech
[view on eBay]
Used 1
in stock
$899.95
Description: Applied Materials (AMAT) 0020-32909 ESC., CHUCK, PED. 200MM NOTCH
capitolareatech
[view on eBay]
NEW 4
in stock
$849.95
Description: APPLIED MATERIALS (AMAT) 0021-25078 RING, CENTERING, SILANE 200MM PRODUCER
capitolareatech
[view on eBay]
NEW 1
in stock
$899.95
Description: Applied Materials (AMAT) 0021-01317 OUTER SHIELD, TXZ 200MM
capitolareatech
[view on eBay]
Used 2
in stock
$995.95
Description: Applied Materials (AMAT) 0200-36696 Shadow Ring QZ 200mm notch2 SE
capitolareatech
[view on eBay]
NEW 2
in stock
$1,199.95
Description: APPLIED MATERIALS (AMAT) 0020-31658 CLAMP, VESPEL, OX/MLR/NIT, 200MM
capitolareatech
[view on eBay]
NEW 1
in stock
$1,689.95
Description: APPLIED MATERIALS (AMAT) 0200-03267 ISOLATOR, LID, TOP, 200MM TI-XZ
capitolareatech
[view on eBay]
NEW 2
in stock
$1,399.95
Description: Applied Materials (AMAT) 0020-30797 wPLATE PERF 200MM GIANT GAP NITRIDE
usedeqsales
[view on eBay]
Used 5
in stock
$410.21
Description: Novellus Systems 16-100464-00 200mm Dynamic Wafer Chuck Assembly Working Surplus
j316gallery
[view on eBay]
Used 7
in stock
$1,186.89
Description: 26638 APPLIED MATERIALS PERF PLATE, 200MM SINERGY 0021-06952
capitolareatech
[view on eBay]
Used 1
in stock
$899.95
Description: Applied Materials (AMAT) 0010-00481 wPEDESTAL ASSY 200MM OX
capitolareatech
[view on eBay]
Used 1
in stock
$579.95
Description: Applied Materials (AMAT) 0020-10123 PLATE PERF NI 200MM
katiil3
[view on eBay]
Used 1
in stock
$83.30
Description: Applied Materials AMAT 0050-85778 GASLINE,FORELINE CH A,THRU FLOOR,H2 PUMP 200MM
katiil3
[view on eBay]
Used 1
in stock
$104.30
Description: APPLIED MATERIALS 0040-39756 FORELINE, VERTICAL PRODUCER 200MM
katiil3
[view on eBay]
Used 1
in stock
$104.30
Description: Applied Materials AMAT 0040-01752 CLAMP, FORELINE, BOTTOM, NON-DPA,200MM Qty 2
katiil3
[view on eBay]
Used 1
in stock
$69.30
Description: AMAT 0020-51641 BRACKET, MAG SWITCH, 200MM BAKEOUT LAMPS ( QTY 2 )
katiil3
[view on eBay]
Used 1
in stock
$69.30
Description: Applied Materials AMAT 0040-01641 BRACKET MTG CH. A DPA PRODUCER 200MM
allforsale555
[view on eBay]
Used 1
in stock
$1,000.00
Description: Applied Materials AMAT 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT W/WT
usedeqsales
[view on eBay]
Used 1
in stock
$411.21
Description: AMAT Applied Materials 0040-32265 200mm ESC Pedestal Cover 0040-32266 Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$311.21
Description: AMAT Applied Materials 0270-00249 200mm ESC Lifting Protection Fixture Surplus
usedeqsales
[view on eBay]
Used 6
in stock
$2,511.21
Description: AMAT Applied Materials 0010-70078 200mm Wafer Storage Tray Assembly 8" Working
usedeqsales
[view on eBay]
Used 4
in stock
$2,512.21
Description: AMAT Applied Materials 0020-22982 Adapter TiN 8" Wafer Chamber 200mm Working
usedeqsales
[view on eBay]
Used 3
in stock
$2,512.21
Description: AMAT Applied Materials 0020-22982 Adapter TiN 8" Wafer Chamber 200mm Refurbished
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0010-04315 Rev.004, Assembly, LLB SWLL Lift Hoop, 200mm, Centura, 417918
usedeqsales
[view on eBay]
Used 1
in stock
$3,012.21
Description: AMAT Applied Material 0010-09028 200mm Wafer Blade 8" Notch P5000 Refurbished
spsglobal
[view on eBay]
Used 2
in stock
$190.00
Description: 343-0201// AMAT APPLIED 0020-13992 BLOCK NEXT CASSETTE 200MM WBLL CMF CENTU USED
spsglobal
[view on eBay]
Used 2
in stock
$250.00
Description: 341-0101// AMAT APPLIED 0020-20816 PLATE,CASSETTE 200MM [USED]
sgcequipment
[view on eBay]
Used 1
in stock
$6,100.00
Description: Applied Materials (AMAT) 0040-46975 MANIFOLD, OUTPUT, LEFT, BLOK, 200MM ASSEMBLY
sgcequipment
[view on eBay]
Used 1
in stock
$6,100.00
Description: Applied Materials (AMAT) 0040-46975 MANIFOLD, OUTPUT, LEFT, BLOK, 200MM PROD
sgcequipment
[view on eBay]
Used 1
in stock
$6,100.00
Description: Applied Materials (AMAT) 0040-47723 MANIFOLD, OUTPUT, RIGHT, 200MM P ASSEMBLY
sgcequipment
[view on eBay]
Used 1
in stock
$6,100.00
Description: Applied Materials (AMAT) 0040-47723 MANIFOLD, OUTPUT, RIGHT, 200MM ASSEMLY
sgcequipment
[view on eBay]
Used 1
in stock
$6,100.00
Description: Applied Materials (AMAT) 0040-47724 Manifold Output 1 Hole Left 200mm ASSEMBLY
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0040-09960 Pedestal, 200MM Notch, IS, Solid, ESC Assy, HE, Chuck, 327152
farmoninc
[view on eBay]
Used 1
in stock
$1,450.00
Description: AMAT 0040-06596, NDM-380-029, Plate, Lid, 200mm, REV 01, 102925
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0040-46663, Plate, Lid, 200mm, REV 001, 102924
farmoninc
[view on eBay]
Used 1
in stock
$1,450.00
Description: AMAT 0040-06596, NDM-380-158, Plate, Lid, 200mm, REV 01, 102923
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0040-46663, Plate, Lid, 200mm, REV 001, 102922
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0021-35867, NDM-030-014, Plate, Lid, 200mm, REV P5, 102921
farmoninc
[view on eBay]
NEW 1
in stock
$2,450.00
Description: NEW AMAT 0040-06596 TXZ lid plate, LID 200MM
farmoninc
[view on eBay]
NEW 1
in stock
$850.00
Description: AMAT 0020-30072 VESPEL RIM, 200MM, OX/MLR, 102956
roundtable1
[view on eBay]
NEW 1
in stock
$299.99
Description: Applied Materials 0040-07101 Weldment, Short Feed Thru Gas WC 200mm
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0010-11491 HEATER ASSY , 0040-49807 REV 001, 200MM, 103052
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0010-11491 HEATER ASSY , 0040-49807 REV 001, 200MM, 103051
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0010-11491 HEATER ASSY , 0040-49807 REV 001, 200MM, 103050
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-09411 5000 CVD,BWCVD 200MM LAMP MODULE, 8 INCH, 103106
visionsemi
[view on eBay]
Used 10
in stock
$1,200.00
Description: APPLIED MATERIALS AMAT PCB BOARD 8" 200MM PRECLEAN II 0100-03133
powersell007
[view on eBay]
Used 1
in stock
$1,299.00
Description: APPLIED MATERIALS 0021-03568 FACEPLATE 200MM SACVD PRODUCER AMAT
techequipsales
[view on eBay]
Used 1
in stock
$500.00
Description: Lam Research 716-250921-001 Insulator 200MM UPR ELECTD 384T *new
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0200-10073 Insulator Quartz PIPE 200MM, Cathode Applied Ceramics, 103196
farmoninc
[view on eBay]
Used 1
in stock
$2,900.00
Description: AMAT 0010-03171 ASSY 200MM BB TEOS SUSCEPTOR 8” SCREWLESS, 103240
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0200-01239 INSERT RING, SILICON, 200MM, 103274
katiil3
[view on eBay]
Used 2
in stock
$349.30
Description: Applied Materials AMAT 0041-05327 200MM PEEK RETAINING RING
usedeqsales
[view on eBay]
Used 1
in stock
$501.22
Description: AMAT Applied Materials 0200-10191 200mm Common Silane Ceramic Shield Working
usedeqsales
[view on eBay]
Used 1
in stock
$901.22
Description: AMAT Applied Materials 0020-03658 200mm Oxide Clamping Cylinder Working Spare
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0200-09177 Quartz Cover, Quartz Wrap Around 200mm OX MLR, 103435
farmoninc
[view on eBay]
Used 1
in stock
$695.00
Description: AMAT 0200-00316 ISOLATOR PUMPING RING MIDDLE 200MM PRODUCER, 103445
maxisemi1349
[view on eBay]
Used 5
in stock
$300.00
Description: 15-340242-00 SPRING, SNUBBER, APC,200mm, lot of 4
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$499.68
Description: 0200-39297 / 91-1980A COVER QTZ 200MM HIGH PROFILE 2 FLATS / APPLIED MATERIALS
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-42348 Plate, Pumping 200MM, WXZ, 103589
j316gallery
[view on eBay]
Used 1
in stock
$892.82
Description: 27128 APPLIED MATERIALS APPLIED MATERIALS WINDOW, HEATER 200MM 0200-09074
j316gallery
[view on eBay]
Used 2
in stock
$1,340.40
Description: 27385 APPLIED MATERIALS LASED, PEDESTAL, 200MM SNNF SML FLT 0040-18219
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$18,855.00
Description: 0010-40276 / E-CHUCK PEDESTAL ASSY, 200MM, SNNF, BIAS / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$12,753.00
Description: 0010-15374 / ASSY HEATER 200MM WXZ CERAMIC RING AM 0040-64191/ APPLIED MATERIALS
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0200-01239 INSERT RING, SILICON, 200MM, 104006
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0040-36180 Heater chuck, 200mm, TXZ, INTEL 500-0427-49, 104013
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0040-36180 Heater chuck, 200mm, TXZ, INTEL 500-0427-49, 104012
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0040-36180 Heater chuck, 200mm, TXZ, INTEL 500-0427-49, 104011
phxinn
[view on eBay]
Used 1
in stock
$25,000.00
Description: Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm
farmoninc
[view on eBay]
Used 1
in stock
$7,250.00
Description: AMAT 0010-09337 LAMP MODULE ASSY 200MM 5000 CVD, 104038
phxinn
[view on eBay]
Used 1
in stock
$2,300.00
Description: Applied Materials AMAT 0020-39087, 0040-77771, 0090-35133 200mm DPS ESC Assy.
katiil3
[view on eBay]
Used 1
in stock
$279.30
Description: Applied Materials AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA
katiil3
[view on eBay]
Used 1
in stock
$209.30
Description: Applied Materials AMAT 0242-33626 KIT SHAFT CLAMP HEATER 200MM PRODUCER SPARES
katiil3
[view on eBay]
Used 1
in stock
$209.30
Description: Applied materials / AMAT 0020-28963 BRACKET, ANTI-ROTATION, 200MM HTBESC TTN
farmoninc
[view on eBay]
Used 1
in stock
$7,250.00
Description: AMAT 0010-09290 BWCVD LAMP MODULE ASSY 200MM 5000, 0020-10770, 0020-09549,104064
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0200-09072 SHIELD, TAPERED, 200MM, 104101
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0200-10194 SHIELD, TAPERED, 200MM, 104100
pic_insit
[view on eBay]
NEW 1
in stock
$60.00
Description: AMAT P/N 0020-04058 Ring, Pedestal 200mm Si, Oxide Stock # 913
4naseem
[view on eBay]
Used 1
in stock
$9,900.00
Description: AMAT 0040-51612 200mm Producer Ceramic Heater 
usedeqsales
[view on eBay]
Used 4
in stock
$1,204.22
Description: AMAT Applied Materials 0190-09263 200mm Plenum Pumping Plate Working Surplus
phxinn
[view on eBay]
Used 1
in stock
$13,900.00
Description: Applied Materials AMAT 0010-24970 HTHU 200mm Heater Assy.
cosplity
[view on eBay]
NEW 1
in stock
$1,000.00
Description: AMAT 0240-40922 KIT, FACILITIES, 200MM CLEANER CAPS AND PLUGS
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0200-09416 Clamping Ring, OX/MLR/NIT, 200mm, 105159
cosplity
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0021-28010 SST SEAL CLAMP (UNIVERSAL), HEAD ASSY, PAD CONDITIONER 200MM
cosplity
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0040-09837 GIMBAL BASE (UNIVERSAL) PAD CONDITIONER 200MM
cosplity
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0021-13768 BASE, INNER, ROLLERY ASSEMBLY, BRUSH MODULE, 200MM
cosplity
[view on eBay]
Used 2
in stock
$5,500.00
Description: AMAT 0190-15377 3-PORT UPA-A 200MM REV 2D PLUS
cosplity
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0240-43874 KIT, PLATEN DRIVE MTR 200MM W/ ALPHA GEARBOX ( OIL FREE )
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$980.68
Description: 0021-81004 / FLANGE CLEAN GAS 200MM PRODUCER / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$7,250.00
Description: AMAT 0010-09290 BWCVD LAMP MODULE ASSY 200MM P5000 AMAT 0020-10770, 104317
farmoninc
[view on eBay]
Used 1
in stock
$7,250.00
Description: AMAT 0010-09237 LAMP MODULE ASSY 200MM P5000 CVD, 0020-10770, 104066
farmoninc
[view on eBay]
Used 1
in stock
$7,250.00
Description: AMAT 0010-09978 AU-PLATED 200MM LAMP MODULE, P5000 CVD, 103169
farmoninc
[view on eBay]
Used 1
in stock
$7,250.00
Description: AMAT 0010-09978 MFG GOLD LAMP MODULE 200MM 5000 CVD, 0020-10770, 104065
dgold32
[view on eBay]
Used 1
in stock
$799.99
Description: LAM RESEARCH ELECTRODE UPPER 280STEP 200MM 839-015480-160
farmoninc
[view on eBay]
NEW 1
in stock
$1,650.00
Description: AMAT 0200-18095 COLLAR, MIN. CONTACT 200MM SNNT, HDP-CVD, 105697
cosplity
[view on eBay]
NEW 3
in stock
$290.00
Description: NOVELLUS 22-107688-00 CRANK BLOT, VAT VALVE 200MM
j316gallery
[view on eBay]
Used 1
in stock
$6,757.18
Description: 5169 NOVELLUS ASSY,ESC, 200MM SEMI, MC, 15-118976-00, 05-134263-00 02-134263-00
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0050-82456 Water Hose, CH B Return #3, FS#8 OUT, EPI 200MM, 106139
farmoninc
[view on eBay]
NEW 1
in stock
$14,500.00
Description: LAM RESEARCH 853-080615-003 ASSY, USC, 200MM, 384T, LAM RESEARCH ETCHER, 106152
j316gallery
[view on eBay]
Used 4
in stock
$2,468.69
Description: 18303 APPLIED MATERIALS ASSY, P-CHUCK, 200MM, CIP 99, 0200-10287 0010-38437
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 106415
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 106416
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 106414
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 106413
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: NOVELLUS 16-261562-00 CHAMBER, ANODOE, SBR-XT, 200MM CONAN, 106441
eisale1535
[view on eBay]
Used 5
in stock
$1,890.00
Description: AMAT 0040-99949 ESC 200mm for MXP+ PN PES056 SHELL ASSY
eisale1535
[view on eBay]
Used 1
in stock
$2,592.00
Description: AMAT 0195-07994 ESC Assy, 200mm, NOTCH DPS+ Ceramic ESC
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0200-09086 WEST COAST QUARTZ RING 200MM SPUTTER ETCH, 106785
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0200-09086 WEST COAST QUARTZ RING 200MM SPUTTER ETCH, 106783
x-pressmicro
[view on eBay]
NEW 3
in stock
$349.95
Description: NEW APPLIED MATERIALS 0050-50911 200MM ULTIMA X HDPCVD, ROUGH LINE 2
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0050-85498 200MM HOSE ASSY SUPPLY HX, 106838
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0200-10194 SHIELD, TAPERED, 200MM, 106840
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-06805 BRACKET, THK, ANNEAL, ECP 200MM, 106890
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-18219 Invax 02000-011 LASED 200MM ESC SNWF W/WTM HDP CVD, 106961
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 Invax 02000-011 LASED 200MM ESC SNWF W/WTM HDP CVD, 106960
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 Invax 02000-011 LASED 200MM ESC SNWF W/WTM HDP CVD, 106964
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 Invax 02000-011 LASED 200MM ESC SNWF W/WTM HDP CVD, 106963
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-18219 Invax 02000-011 LASED 200MM ESC SNWF W/WTM HDP CVD, 106962
farmoninc
[view on eBay]
Used 1
in stock
$8,000.00
Description: AMAT 0040-37265 BASE COOLING 200MM CATHODE DUAL, 107002
farmoninc
[view on eBay]
Used 1
in stock
$595.00
Description: LAM RESEARCH CO. 715-250593-001 BAFFLES TOP UPPER ELECTRODE 200MM, 107033
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,500.68
Description: 0020-31638 (LOT OF 3) / COVER, 200MM, ESC / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0200-10073 Insulator Quartz PIPE 200MM, Cathode Applied Ceramics, 107189
farmoninc
[view on eBay]
Used 1
in stock
$1,600.00
Description: AMAT 0020-33538 PLATE, PERF OX 200MM, UNANODIZED, 107185
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 107183
farmoninc
[view on eBay]
Used 1
in stock
$3,900.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 107182
farmoninc
[view on eBay]
NEW 1
in stock
$9,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 107181
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0200-10073 Insulator Quartz PIPE 200MM, Cathode Applied Ceramics, 107200
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0021-18436 COVER RING, 200MM, BESC, TITANIUM, 107202
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 107236
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 107235
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 107234
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 107233
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0200-01239 INSERT RING, SILICON, 200MM, 107252
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 107251
farmoninc
[view on eBay]
Used 1
in stock
$7,250.00
Description: AMAT 0010-09290 BWCVD LAMP MODULE ASSY 200MM P5000 AMAT 0020-09549, 107266
farmoninc
[view on eBay]
Used 1
in stock
$7,250.00
Description: AMAT LAMP MODULE ASSY 200MM P5000 CVD, 0020-10770, 107265
farmoninc
[view on eBay]
Used 1
in stock
$9,750.00
Description: AMAT 0010-09237 LAMP MODULE ASSY 200MM P5000 CVD, 0020-09549, 107264
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 Invax 02000-011 LASED 200MM ESC SNWF With WTM HDP CVD, 107303
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 Invax 02000-011 LASED 200MM ESC SNWF With WTM HDP CVD, 107302
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0200-09478 Plate Gas Dist Upper 200MM, 107315
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-32166 Cover Protection 200MM ESC, REV A, 107652
usedeqsales
[view on eBay]
Used 2
in stock
$1,207.22
Description: AMAT Applied Materials 0040-40159 200mm Dual Wafer Tray Holder Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,507.22
Description: AMAT Applied Materials 0040-99997 200mm ESC Notch Pedestal 0040-09957 Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,207.22
Description: AMAT Applied Materials 0200-00218 200mm PCII Quartz Cover Top Endura 5500 New
usedeqsales
[view on eBay]
Used 3
in stock
$1,507.22
Description: AMAT Applied Materials 0040-61513 200mm PCII Shield SST TWAS 5500 Refurbished
usedeqsales
[view on eBay]
Used 6
in stock
$2,007.22
Description: AMAT Applied Materials 0200-09413 200mm Quartz Stepped Heater Window New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,507.22
Description: AMAT Applied Materials 0020-22844 200mm PCII Pedestal Cover Lot of 3 Refurbished
ntsurplus302
[view on eBay]
Used 1
in stock
$600.00
Description: 6003 Applied Materials 0010-70774 Assy. Pumping Plate, TXZ CH, 200mm
ntsurplus302
[view on eBay]
Used 1
in stock
$600.00
Description: 6002 Applied Materials 0010-70774 Assy. Pumping Plate, TXZ CH, 200mm
ntsurplus302
[view on eBay]
Used 1
in stock
$600.00
Description: 6001 Applied Materials 0010-70774 Assy. Pumping Plate, TXZ CH, 200mm
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.22
Description: AMAT Applied Materials 0020-27123 200mm Pedestal PCII Ti Lot of 2 Refurbished
usedeqsales
[view on eBay]
Used 3
in stock
$1,007.22
Description: AMAT Applied Materials 0020-07718 200mm PCII CC Gas Trench Cover Refurbished
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.22
Description: AMAT Applied Materials 0020-24101 200mm Pedestal PCII Ti Lot of 2 Refurbished
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0050-82478 WATER HOSE, CH F F8#4, COOLING OUT. EPI 200MM, 108057
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0050-82478 WATER HOSE, CH F F8#4, COOLING OUT. EPI 200MM, 108054
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 316-0201// AMAT APPLIED 0040-32190 BASE,COOLING,200MM CATHODE,DPS [ASIS]
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$142.57
Description: 0020-31640 / INSERT,OUTER,NI,200MM, ESC / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,917.63
Description: 0021-03811 / INPUT MANIFOLD TXZ 200MM CIP / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0050-82476 WATER HOSE, CH F RETURN #3, FS#4 OUT, EPI 200MM, 108231
maxisemi1349
[view on eBay]
Used 2
in stock
$300.00
Description: 16-304770-00 MEMBRANE,ANODE CHAMBER,200MM
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108355
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108354
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108353
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108352
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108380
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108382
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108381
farmoninc
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108390
farmoninc
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108388
farmoninc
[view on eBay]
NEW 1
in stock
$9,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108387
farmoninc
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108386
farmoninc
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108385
farmoninc
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108383
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108391
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108389
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108384
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108398
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108397
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108396
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108395
farmoninc
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108392
farmoninc
[view on eBay]
Used 1
in stock
$1,600.00
Description: AMAT 0020-33538 PLATE, PERF OX 200MM, UNANODIZED, SHOWER HEAD, 108448
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00410 Uni-Insert Gas Distribution, 88 Holes, Quartz, 200mm, 108441
farmoninc
[view on eBay]
NEW 1
in stock
$2,000.00
Description: Applied Ceramics 911-02508A AMAT 0200-36679 Blade, Robot 200mm Ceramic, 108469
farmoninc
[view on eBay]
NEW 1
in stock
$2,000.00
Description: Applied Ceramics 911-02508A AMAT 0200-36679 Blade, Robot 200mm Ceramic, 108468
farmoninc
[view on eBay]
NEW 1
in stock
$2,000.00
Description: Applied Ceramics 911-02508A AMAT 0200-36679 Blade, Robot 200mm Ceramic, 108467
farmoninc
[view on eBay]
NEW 1
in stock
$2,000.00
Description: Applied Ceramics 911-02508A AMAT 0200-36679 Blade, Robot 200mm Ceramic, 108466
farmoninc
[view on eBay]
NEW 1
in stock
$2,000.00
Description: Applied Ceramics 911-02508A AMAT 0200-36679 Blade, Robot 200mm Ceramic, 107467
farmoninc
[view on eBay]
Used 1
in stock
$1,195.00
Description: AMAT 0020-33538 PLATE, PERF OX 200MM, UNANODIZED, 108508
farmoninc
[view on eBay]
Used 1
in stock
$1,195.00
Description: AMAT 0020-33538 PLATE, PERF OX 200MM, UNANODIZED, 108509
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0200-10073 Insulator Quartz PIPE 200MM, Cathode Applied Ceramics, 108489
farmoninc
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0040-18219 Invax 02000-011 LASED 200MM ESC SNWF With WTM HDP CVD, 108568
farmoninc
[view on eBay]
NEW 1
in stock
$7,500.00
Description: NOVELLUS 16-261562-00 CHAMBER, ANODOE, SBR-XT, 200MM CONAN, 108585
farmoninc
[view on eBay]
NEW 1
in stock
$7,500.00
Description: NOVELLUS 16-261562-00 CHAMBER, ANODOE, SBR-XT, 200MM CONAN, 108586
farmoninc
[view on eBay]
NEW 1
in stock
$7,500.00
Description: NOVELLUS 16-261562-00 CHAMBER, ANODOE, SBR-XT, 200MM CONAN, 108584
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$18,855.00
Description: 0010-40276 / E-CHUCK PEDESTAL ASSY 200MM SNNF BIAS / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$577.76
Description: 0010-09656 / PEDESTAL ASSY OX-MLR-NIT 200MM NOTCH / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0050-82478 WATER HOSE, CH F F8#4, COOLING OUT. EPI 200MM, 108842
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0050-82476 WATER HOSE, CH F RETURN #3, FS#4 OUT, EPI 200MM, 108897
adelrick123
[view on eBay]
NEW 4
in stock
$125.00
Description: AMAT 0200-02263 Bushing Rigid Support 200mm
adelrick123
[view on eBay]
Used 1
in stock
$280.00
Description: Amat 0040-37676 200mm Lid Clamp
grandbirdnet
[view on eBay]
Used 2
in stock
$4,500.00
Description: AMAT 0190-00312 MAGMOTOR CONTROLLER BOX 200MM 71-100-1856 , USED
songlcd
[view on eBay]
Used 5
in stock
$2,095.87
Description: 1PCS AMAT 0190-35388 200MM 90days warranty via DHL or FedEx
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: 3 NEW AMAT 0020-24319, CLAMP 2" & 1.5" PIPE for AMAT Centura 200mm, 109416
pe-camera
[view on eBay]
Used 5
in stock
$2,090.89
Description: 1PCS AMAT 0190-35388 200MM (3-month warranty/SHIP FedEx)
adelrick123
[view on eBay]
Used 1
in stock
$180.00
Description: AMAT 0200-03880 200mm Wafer Pin Lift Metal Hoop P Chuck
j316gallery
[view on eBay]
Used 1
in stock
$550.00
Description: 29077 APPLIED MATERIALS FACEPLATE, TEOS, PRODUCER, 200MM (PARTS) 0041-77693
j316gallery
[view on eBay]
Used 1
in stock
$399.28
Description: 29152 APPLIED MATERIALS 200MM XE BPSG CHMBR TOP REFL PLATE (PARTS) 0021-39570
j316gallery
[view on eBay]
Used 1
in stock
$200.00
Description: 29133 APPLIED MATERIALS RING WAFER LIFT 200MM (PARTS) 0020-10192
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 323-0201// AMAT APPLIED 0030-09066 LIPSEAL,LARGE 200MM,FLAT CHEMR NEW
visionsemi
[view on eBay]
Used 1
in stock
$1,350.00
Description: APPLIED MATERIALS AMAT 0250-05640 200MM INNER ZONE CONTROL
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0200-09579 COLLAR, SI, OX/MLR/NIT, 200MM, FLAT, 109788
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: AMAT 0021-17727 LOWER SHIELD 200MM SIP TA, 109832
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0021-17727 LOWER SHIELD 200MM SIP TA, 109831
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: AMAT 0021-17727 LOWER SHIELD 200MM SIP TA, 109830
farmoninc
[view on eBay]
NEW 2
in stock
$4,950.00
Description: AMAT 0010-93152 Heater Pruge Assy 200MM SNNF TXZ BKM, 109850
farmoninc
[view on eBay]
NEW 2
in stock
$4,950.00
Description: AMAT 0010-93152 Heater Pruge Assy 200MM SNNF TXZ BKM, 109852
xiangha
[view on eBay]
Used 1
in stock
$3,800.00
Description: Novellus ASSY,ESC,200MM JEIDA,MC Novellus P/N: 02-134264-00
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0040-09960 Pedestal, 200MM Notch, IS, Solid, ESC Assy, HE, Chuck, 109883
usedeqsales
[view on eBay]
Used 1
in stock
$2,509.22
Description: AMAT Applied Materials 0040-09960 Electrostatic Chuck 200mm ESC Notch Working
grandbirdnet
[view on eBay]
NEW 2
in stock
$2,900.00
Description: AMAT 0040-02520 GAS BOX, SILANE, 200MM PRODUCER, NEW
xiangha
[view on eBay]
Used 3
in stock
$300.00
Description: AMAT SUPPORT SUSCEPTOR ARM 200MM AMAT 0020-30401
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: 0200-10191 200mm Common Silane Ceramic Shield, 110061
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0200-10191 200mm Common Silane Ceramic Shield, 110060
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,900.00
Description: AMAT 0200-01533 INSULATOR CERAMIC 200MM, NEW
grandbirdnet
[view on eBay]
Used 3
in stock
$5,500.00
Description: AMAT 0010-04542 200MM HEATER ASSY WXZ, USED
grandbirdnet
[view on eBay]
NEW 1
in stock
$4,900.00
Description: 0010-01648 CLEAR LID ASSY, 200MM PRODUCER BAG 1 OF 2, NEW
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0021-09989 ESC Electro Static Chuck for 200mm, 110110
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0021-09989 ESC Electro Static Chuck for 200mm, 110101
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0021-09989 ESC Electro Static Chuck for 200mm, 110109
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0021-09152 PEDESTAL, 200MM, SHOWER DBL NOTCH, 110108
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0040-09960 Pedestal, 200MM Notch, IS, Solid, ESC Assy, HE, Chuck, 110100
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0040-09960 Pedestal, 200MM Notch, IS, Solid, ESC Assy, HE, Chuck, 110099
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0040-39756 FORELINE, VERTICAL PRODUCER 200MM, 110156
cosplity
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0040-46173 BEARING HOUSING, BASE ASSY 200MM PAD CON
cosplity
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 0010-08113 ASSY, INPUT GRIPPER, UPGRADE, 200MM MESA
phxinn
[view on eBay]
Used 1
in stock
$2,100.00
Description: AMAT Applied Materials 0040-99949 0020-32909 200mm MxP+ ESC Assy, Refurbished
grandbirdnet
[view on eBay]
Used 2
in stock
$1,800.00
Description: AMAT 0021-03637 FACEPLATE, SILANE PRODUCER 200MM, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0010-70774 ASSY, PUMPING PLATE, TXZ CH, 200MM, USED
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: AMAT 0200-09579 COLLAR, SI, OX/MLR/NIT, 200MM, FLAT, 110309
farmoninc
[view on eBay]
NEW 1
in stock
$17,500.00
Description: AMAT 0010-40276 E-Chuck Pedestal Assembly 200MM SNNF BIAS, 110318
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: APPLIED MATERIALS 0021-39569 PLATE BOTTOM BPSG 200MM XE CHAMBER RTP, 110312
usedeqsales
[view on eBay]
Used 2
in stock
$3,510.22
Description: AMAT Applied Materials 0040-20505 200mm Dual Zone PVD Heater Pedestal Working
farmoninc
[view on eBay]
Used 1
in stock
$1,900.00
Description: AMAT 0020-42112 CYLINDER, LIFT, AL, 200MM, CERAMIC, AMAT Lift Carrier, 110402
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0020-42112 CYLINDER, LIFT, AL, 200MM, CERAMIC, 110400
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-32129 SPACER N15 SHUNT 200MM ESC, 110399
maxisemi1349
[view on eBay]
Used 1
in stock
$2,800.00
Description: 17-458650-00 CONTACT,200MM,.95TP,N5, lot of 4
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-22918 Side Reciever 200MM Cassette, Bracket, 110451
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0200-09478 Plate Gas Distribution Upper 200MM PRSP3, 110449
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-22918 Side Reciever 200MM Cassette, Bracket, 110476
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0020-32909 ESC CHUCK, PED. 200MM NOTCH, 110488
usedeqsales
[view on eBay]
Used 1
in stock
$2,510.22
Description: AMAT Applied Materials 0020-13995 200mm Wafer Lift Fork Set of 2 Working Surplus
usedeqsales
[view on eBay]
Used 3
in stock
$2,510.22
Description: AMAT Applied Material 0040-09136 200mm Wafer CVD Bare Chamber P5000 Working
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0010-09591 ASSY SUSCEPTOR 200MM BWCVD, 110544
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0020-30810, Pedestal OX/MLR/NIT, 200mm Notch, 110567
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: AMAT 0200-00925, COVER RING, QUARTZ, THICK, 45 DEG, 200MM, 110576
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0020-30407 Perf Plate, 200mm, BW, Showerhead, 110565
farmoninc
[view on eBay]
Used 1
in stock
$550.00
Description: AMAT 0020-10518 PEDESTAL THICK 200MM GRAPH/QUARTZ SPUTTER ETCH, 110564
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0020-30810, Pedestal OX/MLR/NIT, 200mm Notch, 110563
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0200-09478 Plate Gas Distribution Upper 200MM PRSP3, 110595
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-31147 Insert, Base, Alum, ISO, 200mm, Etch Chamber Cathode, 110698
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0021-09989 ESC Electro Static Chuck for 200mm, 110693
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0021-09989 ESC Electro Static Chuck for 200mm, 110692
grandbirdnet
[view on eBay]
NEW 1
in stock
$4,900.00
Description: AMAT 0010-01648 CLEARLID ASSY, 200MM PRODUCER BAG 1 OF 2, NEW
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0021-07686 HIGNE, LIFT PIN 200MM, 110727
j316gallery
[view on eBay]
Used 1
in stock
$6,000.00
Description: 29528 APPLIED MATERIALS 200MM CERAMIC HEATER ASSY (PARTS) 0010-25415
j316gallery
[view on eBay]
Used 1
in stock
$230.00
Description: 29539 APPLIED MATERIALS 200MM BPSG IMPRVD REFLECTOR PLATE (PARTS) 0040-02926
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0020-22737 B MAGIC WEIGHT, 200MM CASSETTE CLAMPING, MAC D 485, 110848
farmoninc
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 0020-32151 ESC Chuck, Pedestal 200mm, Notch HVIB, 0040-09797, 110849
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0020-22737 B MAGIC WEIGHT, 200MM CASSETTE CLAMPING, MAC D 485, 110862
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0020-22737 B MAGIC WEIGHT, 200MM CASSETTE CLAMPING, MAC D 485, 110865
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0200-09608, Shield Quartz 200mm, Sputter, 110869
grandbirdnet
[view on eBay]
Used 7
in stock
$100.00
Description: AMAT 0020-75117 MEMBRANE 200MM TITAN CENTER BUMP HEAD, USED
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-31147 Insert, Base, Alum, ISO, 200mm, Etch Chamber Cathode, 110907
semixicon
[view on eBay]
NEW 1
in stock
$475.00
Description: Applied Materials (AMAT) 0020-32936 EDGE RING,TXZ,200MM,JMF
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0200-10191 200mm Common Silane Ceramic Shield, 110959
farmoninc
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0040-32265 200mm ESC Pedestal Cover, AMAT 0040-32266, REV A, 110954
farmoninc
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0040-32265 200mm ESC Pedestal Cover, AMAT 0040-32266, REV A, 110953
farmoninc
[view on eBay]
Used 1
in stock
$395.00
Description: AMAT 0270-20024 GAUGE HOOP CD 200MM, RDT-A-3492, 111020
j316gallery
[view on eBay]
Used 3
in stock
$1,300.00
Description: 30196 APPLIED MATERIALS ESC ASSY 200MM FLAT DPS METAL (PARTS) 0195-07994
j316gallery
[view on eBay]
Used 1
in stock
$1,250.00
Description: 30155 APPLIED MATERIALS 200MM A101 HEATER (PARTS) 0020-20125
j316gallery
[view on eBay]
Used 9
in stock
$3,500.00
Description: 30184 APPLIED MATERIALS ESC ASSY 200MM FLAT DPS METAL 0195-07994
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-32166 Cover Protection 200MM ESC, 111032
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0020-22737 B MAGIC WEIGHT, 200MM CASSETTE CLAMPING, MAC D 485, 111111
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-22918 Side Receiver 200MM Cassette, Bracket, 111147
farmoninc
[view on eBay]
NEW 3
in stock
$150.00
Description: 10 AMAT 0020-30090 Clamp, Shield 200MM, 110732
cldan_77
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT P/N 0040-09961 Description: PDSTL ASSY 200mm FLAT, IS, NI, LIFT 3, HV CE
farmoninc
[view on eBay]
Used 1
in stock
$2,950.00
Description: AMAT 0020-62423 Lower Shield, 190MM SPACING, 200MM ALPS, 111271
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: AMAT 0270-20330 CALIBRATION TOOL, ROBOT TO HEATER, TXZ, 200MM, 111388
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0021-09875 COLLAR RING, SHOWERHEAD 200MM, DOUBLE, 111418
farmoninc
[view on eBay]
NEW 9
in stock
$995.00
Description: AMAT 0021-03927 CLAMP, VESPEL OX/MLR/NIT, 200MM, 111434
sputterman2
[view on eBay]
Used 4
in stock
$1,850.00
Description: applied materials 0010-09463 SUSCEPTOR 200mm
farmoninc
[view on eBay]
NEW 4
in stock
$2,000.00
Description: AMAT 0021-03927 CLAMP, VESPEL OX/MLR/NIT, 200MM, 111484
farmoninc
[view on eBay]
NEW 1
in stock
$1,595.00
Description: AMAT 0200-09559 CLAMP RING CERAMIC COVER 200MM (6 HOLES .128), 111516
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0200-09739 RING, FOCUSING, QTZ 200MM, POLY/WSI, 230M, 111515
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0200-09739 RING, FOCUSING, QTZ 200MM, POLY/WSI, 230M, 111514
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0200-09739 RING, FOCUSING, QTZ 200MM, POLY/WSI, 230M, 111513
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0040-09961 ESC ASSY 200MM NOTCH W/ BACKING, 111527
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0200-09739 RING, FOCUSING, QTZ 200MM, POLY/WSI, 230M, 111536
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0200-09739 RING, FOCUSING, QTZ 200MM, POLY/WSI, 230M, 111535
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0200-09739 RING, FOCUSING, QTZ 200MM, POLY/WSI, 230M, 111534
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0200-09739 RING, FOCUSING, QTZ 200MM, POLY/WSI, 230M, 111533
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0200-09739 RING, FOCUSING, QTZ 200MM, POLY/WSI, 230M, 111532
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0200-09739 RING, FOCUSING, QTZ 200MM, POLY/WSI, 230M, 111551
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0200-09739 RING, FOCUSING, QTZ 200MM, POLY/WSI, 230M, 111550
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0200-09739 RING, FOCUSING, QTZ 200MM, POLY/WSI, 230M, 111549
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0200-09739 RING, FOCUSING, QTZ 200MM, POLY/WSI, 230M, 111548
maxisemi1349
[view on eBay]
Used 1
in stock
$2,950.00
Description: 0200-35113 SUSC R3 ROTATION 200MM EPI , lot of 2
j316gallery
[view on eBay]
Used 2
in stock
$1,066.77
Description: 30218 APPLIED MATERIALS LASED, PEDESTAL, 200MM SNNF SML FLT (PARTS) 0040-18219
usedeqsales
[view on eBay]
Used 18
in stock
$911.22
Description: AMAT Applied Materials 0040-99997 200mm ESC 0040-09961 Rev. B Has Wear As-Is
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: AMAT 0200-09574 200MM CERAMIC HOOP REV 1, 06-15004-00, 111677
farmoninc
[view on eBay]
Used 1
in stock
$2,950.00
Description: AMAT 0020-62423 Lower Shield, 190MM SPACING, 200MM ALPS, 111710
farmoninc
[view on eBay]
Used 1
in stock
$1,350.00
Description: AMAT 0020-31767 CYLINDER,CLAMPING,200MM POLY/WSI, 111721
farmoninc
[view on eBay]
Used 1
in stock
$1,350.00
Description: AMAT 0020-31767 CYLINDER,CLAMPING,200MM POLY/WSI, 111720
farmoninc
[view on eBay]
Used 1
in stock
$1,350.00
Description: AMAT 0020-31767 CYLINDER,CLAMPING,200MM POLY/WSI, 111719
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0200-09416 Clamping Ring, OX/MLR/NIT, 200mm, 111772
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: AMAT 0021-03724 CENTER FIND FLANGE,COOLDOWN,DPS 200MM, 111770
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: Applied Materials AMAT 0010-36522 ASSY, EDGE RING, TXZ, 200MM, SNNF, 111768
astrade2012
[view on eBay]
Used 1
in stock
$899.00
Description: Applied Materials AMAT 0200-03388 REV 2 SINGLE RING CERAMIC 200MM
albsemicon
[view on eBay]
Used 1
in stock
$2,350.00
Description: AMAT APPLIED MATERIALS 0020-47442 Bracket, front cover maglevs lps, 200mm new
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0200-09086 WEST COAST QUARTZ RING 200MM SPUTTER ETCH, 111872
senior-inc
[view on eBay]
Used 3
in stock
$100.00
Description: AMAT 0020-24018 PIN ANTENNA PC II POLI SI, 200MM ENDURA
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-32166 Cover Protection 200MM ESC, 112029
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0020-32166 Cover Protection 200MM ESC, 112028
cubit001
[view on eBay]
NEW 1
in stock
$29,000.00
Description: Amat KIT, 200MM 8″ Load CUP 0240-12272 Applied Materials
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$484.05
Description: 716-250581-001 /INSULATOR 200MM QUARTZ FOR DRYTECH /LAM RESEARCH CORPORATION
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,900.56
Description: 0270-20355 / FIXTURE, P953R01-PE PM CHAMBER 200MM TXZ / APPLIED MATERIALS AMAT
bridge_tronic_global
[view on eBay]
Used 1
in stock
$491.00
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
usedeqsales
[view on eBay]
Used 1
in stock
$1,012.22
Description: AMAT Applied Materials 0040-76132 200mm Wafer Blade Paddle End Effector Surplus
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,540.82
Description: 0020-31163 / RING, FOCUSING, ALUM, 200MM, PRSP 3 / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0020-32936 EDGE RING, TXZ, 200MM, JMF, 112445
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0020-32936 EDGE RING, TXZ, 200MM, JMF, 112444
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0020-32936 EDGE RING, TXZ, 200MM, JMF, 112443
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0200-10191 200mm Common Silane Ceramic Shield, 112473
usedeqsales
[view on eBay]
Used 1
in stock
$1,012.22
Description: AMAT Applied Materials 0040-09098 200mm Wafer Blade Paddle End Effector Working
amat-sparescom
[view on eBay]
NEW 1
in stock
$1,895.00
Description: Applied Materials 0200-10464 Adapter Ring, Common Silane 200MM AMAT
albsemicon
[view on eBay]
NEW 1
in stock
$999.99
Description: Lam Research (LAM) 716-022024-002 Ring, BOT, ADJ, CPLG, 2300, 200MM, REV.A
albsemicon
[view on eBay]
NEW 1
in stock
$999.99
Description: Lam Research (LAM) 716-022023-002 Ring, BOT, ADJ, CPLG, 2300, 200MM, REV.A
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA, 112581
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA, 112580
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA, 112579
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA, 112578
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA, 112577
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112610
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112608
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112607
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112603
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112613
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112602
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112612
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112601
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112611
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112614
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112606
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112616
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112605
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112615
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112604
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112645
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112644
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA, 112668
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA, 112667
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA, 112666
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$922.60
Description: 0040-02938 /GAS MANIFOLD OUTPUT 200MM TXZ CIP /APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0040-36180 Heater Chuck, 200mm, TXZ, 112725
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0040-36180 Heater Chuck, 200mm, TXZ, 112724
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA, 112718
amat-sparescom
[view on eBay]
Used 1
in stock
$4,250.00
Description: Applied Materials 0010-10327 SHELL ASSY, 200MM,NOTCH(2) ESC AMAT MXP+ 
bridge_tronic_global
[view on eBay]
Used 1
in stock
$491.00
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0200-10176 SHADOW RING, QUARTZ, 200MM, APPLIED CERAMICS 91-00787A, 112887
farmoninc
[view on eBay]
NEW 3
in stock
$950.00
Description: AMAT 0200-01239 INSERT RING, SILICON, 200MM, 112885
farmoninc
[view on eBay]
Used 1
in stock
$275.00
Description: AMAT 0020-20816 PLATE, CASSETTE 200MM , 112897
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-32166 Cover Protection 200MM ESC, REV A, 112938
bridge_tronic_global
[view on eBay]
Used 1
in stock
$491.00
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
farmoninc
[view on eBay]
NEW 9
in stock
$1,595.00
Description: AMAT 0200-09559 CLAMP RING CERAMIC COVER 200MM (6 HOLES .128), 112987
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0040-36180 Heater Chuck, 200mm, TXZ, 112986
j316gallery
[view on eBay]
Used 2
in stock
$332.55
Description: 15215 APPLIED MATERIALS MANIFOLD CLEAN GAS 200MM PRODUCER 0021-04297
j316gallery
[view on eBay]
NEW 1
in stock
$316.84
Description: 15356 APPLIED MATERIALS WELDMENT,BACKSIDE GASLINES 200MM PCIIE (NEW) 0050-61633
j316gallery
[view on eBay]
Used 9
in stock
$498.32
Description: 15240 APPLIED MATERIALS OUTER SHIELD, TXZ 200MM 0021-01317
j316gallery
[view on eBay]
Used 1
in stock
$12,655.80
Description: 15627 APPLIED MATERIALS ASSY HTR SNNF 200MM HPTXZ 0010-03244
j316gallery
[view on eBay]
Used 2
in stock
$750.61
Description: 15606 APPLIED MATERIALS GDP, MXP 200MM HEWEB, .156 THK 0020-33786
farmoninc
[view on eBay]
NEW 4
in stock
$250.00
Description: AMAT 0020-32166 Cover Protection 200MM ESC, REV A, 113206
farmoninc
[view on eBay]
Used 1
in stock
$22,500.00
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110425
farmoninc
[view on eBay]
Used 1
in stock
$22,500.00
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110413
farmoninc
[view on eBay]
Used 1
in stock
$25,000.00
Description: AMAT 0040-18018 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110414
farmoninc
[view on eBay]
Used 1
in stock
$22,500.00
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110425
farmoninc
[view on eBay]
Used 1
in stock
$22,500.00
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110412
farmoninc
[view on eBay]
Used 1
in stock
$22,500.00
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110403
farmoninc
[view on eBay]
Used 1
in stock
$22,500.00
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110404
farmoninc
[view on eBay]
Used 1
in stock
$22,500.00
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 417533
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0190-02733 PCB ASSY 200MM E-DTCU IR SENSOR, 113494
bigg.logistics101
[view on eBay]
NEW 4
in stock
$149.99
Description: APPLIED MATERIALS PIN WAFER LIFT 200MM 0200-09071
bigg.logistics101
[view on eBay]
Used 5
in stock
$599.99
Description: APPLIED MATERIALS BLOCKER PLATE 200MM DXZ SACVD 0021-09914
farmoninc
[view on eBay]
Used 2
in stock
$165.00
Description: AMAT 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 113979
usedeqsales
[view on eBay]
Used 1
in stock
$7,501.23
Description: AMAT Applied Materials 0010-20481 200mm Wafer Cassette Handler 0020-23027 Spare
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 116-0202 AMAT APPLIED 0200-09746 COLLAR AL 200MM CERAMIC [NEW]
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0020-04031, Pedestal 200MM Oxide, 114132
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0021-01317, Outer Shield, TXZ 200mm, 5500, 5200, 114301
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-31147 Insert, Base, Alum, ISO, 200mm, Etch Chamber Cathode, 114310
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-31147 Insert, Base, Alum, ISO, 200mm, Etch Chamber Cathode, 114309
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-31147 Insert, Base, Alum, ISO, 200mm, Etch Chamber Cathode, 114308
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-31147 Insert, Base, Alum, ISO, 200mm, Etch Chamber Cathode, 114307
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: AMAT 0020-31804 Gas Dist. Plate 80 hole, .156 THICK, 200MM, 114344
alameda_auction
[view on eBay]
Used 1
in stock
$1,950.00
Description: NEW AMAT 0021-82260 Shell 200mm MXP+EP ESC Notch 0041-34688 Applied Materials
usedeqsales
[view on eBay]
Used 1
in stock
$1,002.23
Description: AMAT Applied Materials 0010-10328 200mm ESC Polyimide Pedestal 0020-34017 Spare
usedeqsales
[view on eBay]
Used 5
in stock
$2,502.23
Description: AMAT Applied Materials 0040-09957 200mm ESC Notch 0020-32963 0040-09961 Working
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0200-10191 200mm Common Silane Ceramic Shield, 114569
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,246.65
Description: 0200-01393 / LINER, COMMON, BD-BLOK, LOWK, 200MM PROD / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$7,950.82
Description: 0040-37265 / BASE, COOLING, 200MM CATHODE, DUAL HE DPS / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,218.91
Description: 0040-63457 / WATER BOX, TXZ 200MM C I P / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0021-07686 HIGNE, LIFT PIN 200MM, 114750
farmoninc
[view on eBay]
Used 1
in stock
$1,450.00
Description: AMAT 0040-06596, NDM-380-158, Plate, Lid, 200mm, REV 01, 114739
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$474.15
Description: 0200-09608 / SHLD QUARTZ, 200MM, SPUTTER ETCH / APPLIED MATERIALS AMAT
maxisemi1349
[view on eBay]
Used 1
in stock
$950.00
Description: 16-285065-00 MEMBRANE,SAC 200MM
farmoninc
[view on eBay]
Used 1
in stock
$1,450.00
Description: AMAT 0020-31658, CLAMP, RING, VESPEL, OX_MLR_NIT, 200MM P5000, 114898
farmoninc
[view on eBay]
Used 1
in stock
$1,450.00
Description: AMAT 0020-31658, CLAMP, RING, VESPEL, OX_MLR_NIT, 200MM P5000, 114897
farmoninc
[view on eBay]
Used 1
in stock
$1,195.00
Description: AMAT 0020-33538 PLATE, PERF OX 200MM, UNANODIZED, SHOWER HEAD, 114928
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0200-10073 Insulator Quartz PIPE 200MM, 114938
eisale1535
[view on eBay]
Used 1
in stock
$10,980.00
Description: AMAT 0010-70254 / AMAT 0040-20014 PVD 200mm 4F Heater 8 Inch VCR
surplus3000
[view on eBay]
NEW 1
in stock
$695.00
Description: Applied materials 0021-03568 FACEPLATE 200MM SACVD PRODUCER2 factory sealed
roundtable1
[view on eBay]
NEW 1
in stock
$139.99
Description: Applied Materials AMAT 0020-31305 Insert, Outer 200MM Poly, 218MM NI
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$567.67
Description: 0020-31683 / RING, TOP, 200MM, XTAL TIER IV / APPLIED MATERIALS AMAT
new-trade-inc
[view on eBay]
Used 5
in stock
$2,125.89
Description: 1PCS AMAT 0190-35388 200MM Free FedEx 90-days Warranty
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,432.24
Description: 0021-24299 / INSERT LINER, EXHAUST, NI PLATED, 200MM, / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 104454
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 104453
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0020-32161, PEDESTAL ESC, 200MM, NOTCH, WEB, AMAT 0010-10202, REV B, 110624
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0020-32161, PEDESTAL ESC, 200MM, NOTCH, WEB, 110391
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,952.19
Description: 0040-05294 / INPUT MANIFOLD WATER, HP TXZ 200MM CIP, / AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,895.92
Description: 0200-02866 / RING, SINGLE, LOW PROFILE, 200MM SNNF, D / AMAT
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0140-78284 CABLE CLEANER EMO 1 200MM, NEW
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$10,500.60
Description: 0242-24854 / AMAT 403198R1-TC KIT, GVDE, 200MM / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,995.56
Description: 0010-05965 / 0010-05965R EPO FLAT ESC 200MM 0040-00876 0040-05592 / AMAT
farmoninc
[view on eBay]
Used 1
in stock
$5,300.00
Description: AMAT 0010-05223 ASSEMBLY, RPM 200MM HEX, PLASMA CELL,0040-34930,0021-15140-002
farmoninc
[view on eBay]
Used 25
in stock
$1,450.00
Description: AMAT 0020-31658, CLAMP, RING, VESPEL, OX_MLR_NIT, 200MM P5000, 104548
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0021-04936 NOZZLE, STRAIGHT BOWL ASSY, 200MM SRD, E, 104620
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,795.23
Description: 0021-17718 / SHIELD, INNER, 200MM SIP TA(N), SST, KAC / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,654.62
Description: 0020-31346 / DIFF LAMP MODULE AU-PLATED 200MM / AMAT
cosplity
[view on eBay]
Used 1
in stock
$2,900.00
Description: AMAT 0010-08086 ASSY, CONFIGURABLE I/O, 10 IN/ 10 OUT, MIRRA 200MM
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,425.52
Description: 0140-08724 / HARNESS, E-CHAIN AI/O HEAD, 200MM, CABLE ASSY / AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,571.42
Description: 0200-10416 / COLLAR,200MM,NOTCH, LOW PROFILE, BARRIER / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$1,590.00
Description: AMAT 0200-09559 CLAMP RING CERAMIC COVER 200MM (6 HOLES .128), 104820
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 104881
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 104886
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 104885
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 104929
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 104901
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 104935
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 104934
jtechsemi
[view on eBay]
Used 1
in stock
$35,000.00
Description: Applied Materials 0010-09878 ASSY, 200MM DPS CATHODE AMAT
gteprimo
[view on eBay]
Used 1
in stock
$3,102.62
Description: NOVELLUS 02-134263-00 ASSY ESC 200MM SEMI MC 15-118976-00
jtechsemi
[view on eBay]
Used 1
in stock
$15,000.00
Description: Applied Materials 0010-11955 MAGNET ASSEMBLY, LP 5.2, 200MM SIP TA AMAT
farmoninc
[view on eBay]
Used 1
in stock
$6,500.00
Description: AMAT 0040-09221 P5000 CVD 8" INCH 200MM WAFER CHAMBER W/ HEATER QUARTZ, 115141
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: AMAT 0190-09398 SUSCEPTOR, 200MM, 115159
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0200-10191 200mm Common Silane Ceramic Shield, 115170
farmoninc
[view on eBay]
NEW 4
in stock
$950.00
Description: AMAT 0200-10191 200mm Common Silane Ceramic Shield, 115186
farmoninc
[view on eBay]
Used 1
in stock
$695.00
Description: AMAT 0020-33320 CARRIER, 200MM (EXT. CATHODE), 115224
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-31940 PEDESTAL, AL 200mm, 115487
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0020-32151 ESC Chuck, Pedestal 200mm, Notch HVIB, 115489
farmoninc
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 0020-32151 ESC Chuck, Pedestal 200mm, 115540
farmoninc
[view on eBay]
Used 1
in stock
$3,000.00
Description: Novellus 19-024227-01 Heater Block 200mm, OD Pinned, w/ Slot, C2 Sequal 115507
farmoninc
[view on eBay]
Used 1
in stock
$3,000.00
Description: Novellus 19-024227-01 Heater Block 200mm, OD Pinned, w/ Slot, C2 Sequal 115508
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0021-24485 SPOOL, EXHAUST, PRODUCER, 200MM, TICL4, 115596
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0020-30595 PEDESTAL, 5200, 200mm, NDM-226-008, 115428
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0020-30595 PEDESTAL, 5200, 200mm, NDM-276-016, 115429
usedeqsales
[view on eBay]
Used 4
in stock
$1,005.23
Description: AMAT Applied Materials 0010-76864 200mm Wafer Susceptor Assembly Spare Surplus
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0020-31658, Clamp, Ring, Vespel, OX_MLR_NIT, 200mm, P5000, 115797
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0040-09961, AMAT 0020-32963 ESC Chuck 200mm Etch Ch, 115824
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0040-09961 AMAT 0020-32963 ESC Chuck 200mm Etch Ch, 115806
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 115827
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 115826
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 115825
farmoninc
[view on eBay]
Used 1
in stock
$1,300.00
Description: AMAT 0200-09559 CLAMP RING CERAMIC COVER 200MM (6 HOLES .128), 115870
farmoninc
[view on eBay]
Used 2
in stock
$1,995.00
Description: AMAT 0200-02446 RING, COVER, SI, 200MM, 115873
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0021-18436 COVER RING, 200MM, BESC, TITANIUM, 115907
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0021-18436 COVER RING, 200MM, BESC, TITANIUM, 115908
farmoninc
[view on eBay]
Used 1
in stock
$1,700.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, 5200, AMAT 0010-30723, 115949
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$870.00
Description: 716-030135-001 / FOCUS RING 8 INCH ESC 200MM R EDGE 8 / LAM RESEARCH
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$22,000.60
Description: 0010-15210, 0010-34561 200MM PCIIE, MCA GRV .1MM DEEP PUCK HV /AMAT
farmoninc
[view on eBay]
Used 1
in stock
$5,750.00
Description: AMAT LAMP MODULE ASSY 200MM P5000 CVD, AMAT 0020-10770, AMAT 0020-09424, 117054
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0200-09071 PIN, WAFER LIFT, 200MM, 117059
usedeqsales
[view on eBay]
NEW 3
in stock
$1,005.23
Description: AMAT Applied Materials 0200-00038 Quartz Clamp Ring 100mm New Surplus
jtechsemi
[view on eBay]
NEW 1
in stock
$2,000.00
Description: Applied Materials 0200-01955 DEP RING, 200MM SIP TA/TAN, SNNF ESC, IN AMAT
jtechsemi
[view on eBay]
Used 1
in stock
$1,000.00
Description: Applied Materials 0021-18436 COVER RING, 200MM, BESC, TITANIUM AMAT
usedeqsales
[view on eBay]
NEW 1
in stock
$605.23
Description: AMAT Applied Materials 0020-03657 Rim 200mm New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,205.23
Description: AMAT Applied Materials 0040-36180 200mm TxZ SNNF Heater Chuck w/Cable Working
albsemicon
[view on eBay]
NEW 1
in stock
$99.99
Description: APPLIED MATERIALS AMAT BRACKET HOOK MAGLEV LPS 200MM RADIANCE TPCC 0020-47441
albsemicon
[view on eBay]
Used 1
in stock
$599.99
Description: Applied Materials Amat 0010-36522 ASSY,EDGE RING,TXZ,200MM,SNNF
roundtable1
[view on eBay]
NEW 1
in stock
$55.99
Description: Applied Materials AMAT 0240-45194 Top Baffle Kit 99.5 ALO 200MM Ultima HDP CVD
albsemicon
[view on eBay]
Used 1
in stock
$2,650.00
Description: NOVELLUS ASSY,ESC, 200MM SEMI, MC, 15-118976-00 CA6800500356 REV.E USED CHUCK
farmoninc
[view on eBay]
Used 1
in stock
$1,300.00
Description: AMAT 0200-09559 CLAMP RING CERAMIC COVER 200MM (6 HOLES .128), 117301
farmoninc
[view on eBay]
Used 1
in stock
$1,450.00
Description: AMAT 0200-18095 COLLAR, MIN. CONTACT 200MM SNNT, HDP-CVD, 105697, 117366
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 124-0401 AMAT APPLIED 0021-35819 CHUCK, ASP, 200MM, TOP MOUNT TYPE [ASIS]
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, AMAT 0010-30723, 117450
farmoninc
[view on eBay]
Used 1
in stock
$4,000.00
Description: AMAT 0090-09298 ESC Assy, 200mm, Notch (2), SHWR, Therm, AMAT 0010-30723, 117449
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0200-10464 Pumping Plate adaptor Ring, COMMON SILANE 200MM, REV A, 117477
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0020-32936 EDGE RING, TXZ, 200MM, JMF, 117510
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0020-31681 RING, VESPEL,EXT CA, 200MM, XTAL, TIER I, 117744
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0010-09237 LAMP MODULE ASSY 200MM P5000 CVD, 0020-09549, 117806
farmoninc
[view on eBay]
Used 1
in stock
$1,700.00
Description: AMAT 0010-09290 BWCVD LAMP MODULE ASSY 200MM P5000 AMAT 0020-09549, 117805
farmoninc
[view on eBay]
NEW 1
in stock
$7,500.00
Description: NOVELLUS 16-261562-00 CHAMBER, ANODOE, SBR-XT, 200MM CONAN, 117646
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0200-10191 200mm Common Silane Ceramic Shield, 117891
gy-power
[view on eBay]
Used 5
in stock
$2,125.90
Description: 1PCS AMAT 0190-35388 200MM (3 Months warranty SHIP FedEx)
farmoninc
[view on eBay]
Used 1
in stock
$6,000.00
Description: AMAT 0040-09221 5000/5200 CVD Configured Chamber, 8", 200mm, Wafer , 118013
farmoninc
[view on eBay]
Used 1
in stock
$1,600.00
Description: AMAT 0020-33538 Plate, Perf OX 200mm, Unanodized, Shower Head, P859, 118075
jtechsemi
[view on eBay]
Used 1
in stock
$25,000.00
Description: Applied Materials 0040-61531 UPPER CHAMBER, COATED, 200MM DPN AMAT
jtechsemi
[view on eBay]
Used 1
in stock
$30,000.00
Description: Applied Materials 0010-22813 ESC ASSY, 200MM, EMAX CT, VHF AMAT
jtechsemi
[view on eBay]
Used 1
in stock
$7,000.00
Description: Applied Materials 0040-37265 BASE,COOLING,200MM CATHODE, DUAL HE DPS AMAT
jtechsemi
[view on eBay]
Used 3
in stock
$10,000.00
Description: Applied Materials 0190-11570 RF MATCH, 2MHZ FIXED, 2.5KW, HART 200MM AMAT
jtechsemi
[view on eBay]
Used 1
in stock
$20,000.00
Description: Applied Materials 0010-04450 200MM MCA HEATER AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0020-30287 ADAPTER RING 200MM LTD CERAMICS, 118134
farmoninc
[view on eBay]
Used 1
in stock
$6,500.00
Description: AMAT 0040-09221 P5000 CVD 8" INCH 200MM WAFER CHAMBER WITH HEATER QUARTZ, 118138
katiil3
[view on eBay]
Used 1
in stock
$244.30
Description: Applied Materials AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA Used
eisale1535
[view on eBay]
Used 1
in stock
$6,549.00
Description: AMAT 0040-18053 rev 006 Lased PEDESTAL 200mm JMF mod
eisale1535
[view on eBay]
Used 1
in stock
$15,426.00
Description: AMAT 0040-18219 200MM ESC Assy
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 118426
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 118425
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 118437
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 118436
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 118435
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 118434
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 118433
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 118432
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 118431
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 118430
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 118429
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 118428
farmoninc
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 118427
usedeqsales
[view on eBay]
Used 3
in stock
$805.23
Description: AMAT Applied Materials 0020-09031 200mm Insulating Washer Working Surplus
visionsemi
[view on eBay]
Used 1
in stock
$250.00
Description: APPLIED MAT 200MM DUMMY WAFER 0020-22813
usedeqsales
[view on eBay]
Used 1
in stock
$905.23
Description: AMAT Applied Materials 0020-42248 200mm Wafer Storage Tray Assembly 8" Working
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,945.77
Description: 0200-00329 / FOCUS RING SINGLE CERAMIC ESC 200MM JMF POLY DPS PLUS/ AMAT
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0040-99380 SHELL ASSY 200MM NOTCH, AMAT 0040-31813, AMAT 0040-31815, 117448
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$5,975.56
Description: 0040-03897 / 0040-41017 AMAT LID ASSEMBLY, 200MM TICL4 TIN / APPLIED MATERIALS
farmoninc
[view on eBay]
Used 1
in stock
$1,150.00
Description: AMAT 0020-10162 DIFFUSER 200MM, 117864
cosplity
[view on eBay]
Used 1
in stock
$8,500.00
Description: AMAT 0010-23808 4-ROLLER ASSEMBLY BRUSH MODULE 200MM MESA
usedeqsales
[view on eBay]
Used 2
in stock
$1,006.23
Description: AMAT Applied Materials 0040-36180 200mm Wafer TxZ SNNF Heater Chuck Working
farmoninc
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0040-02854 PLATE MOUNT HEATER LIFT 200MM, 118508
farmoninc
[view on eBay]
NEW 1
in stock
$2,750.00
Description: 3 AMAT 0021-06457 INSERT, MIXING BLOCK, LID PRODUCER 200MM, 118844
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 116006
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0010-36522 ASSY,EDGE RING,TXZ,200MM,SNNF, 116276
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0020-39087 DPS CHAMBER ESC 200MM CHUCK, 116376
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0040-36180 Heater chuck, 200mm, TXZ, 116426
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0040-36180 Heater chuck, 200mm, TXZ, 116425
farmoninc
[view on eBay]
NEW 1
in stock
$1,595.00
Description: AMAT 0200-35783 SHADOW RING, 200MM NOTCH(2), SI/QTZ OXID, 116547
farmoninc
[view on eBay]
Used 1
in stock
$8,500.00
Description: AMAT 0040-09221 P5000 CVD 8" INCH 200MM WAFER CHAMBER, P5000/P5200 CVD, 116749
farmoninc
[view on eBay]
NEW 1
in stock
$3,500.00
Description: AMAT 0021-17718 INNER SHIELD, 200MM, SIP TA(N), 116761
farmoninc
[view on eBay]
NEW 1
in stock
$3,500.00
Description: AMAT 0021-17718 INNER SHIELD, 200MM, SIP TA(N), 116760
farmoninc
[view on eBay]
NEW 1
in stock
$3,500.00
Description: AMAT 0021-17718 INNER SHIELD, 200MM, SIP TA(N), 116759
farmoninc
[view on eBay]
NEW 1
in stock
$3,500.00
Description: AMAT 0021-17718 INNER SHIELD, 200MM, SIP TA(N), 116758
farmoninc
[view on eBay]
NEW 1
in stock
$3,500.00
Description: AMAT 0021-17718 INNER SHIELD, 200MM, SIP TA(N), 116757
farmoninc
[view on eBay]
NEW 1
in stock
$3,500.00
Description: AMAT 0021-17718 INNER SHIELD, 200MM, SIP TA(N), 116762
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0040-31813 SHELL 200MM NOTCH, AMAT 0090-35688, 116774
farmoninc
[view on eBay]
NEW 1
in stock
$3,500.00
Description: AMAT 0021-17718 INNER SHIELD, 200MM, SIP TA(N), 116765
farmoninc
[view on eBay]
NEW 1
in stock
$3,500.00
Description: AMAT 0021-17718 INNER SHIELD, 200MM, SIP TA(N), 116764
farmoninc
[view on eBay]
NEW 1
in stock
$3,500.00
Description: AMAT 0021-17718 INNER SHIELD, 200MM, SIP TA(N), 116763
albsemicon
[view on eBay]
NEW 1
in stock
$1,850.88
Description: Applied Materials 0021-35744 Plate Blocker TXZ 200mm new in double bag
albsemicon
[view on eBay]
NEW 1
in stock
$1,850.88
Description: Applied Materials 0021-35867 Plate LID TXZ 200mm AMD1003369 NEW
semikorea
[view on eBay]
Used 1
in stock
$15,000.00
Description: Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm
farmoninc
[view on eBay]
Used 2
in stock
$950.00
Description: AMAT 0200-40108 COVER PLATE 200MM 1/4" THK E-CHUCK, 116849
farmoninc
[view on eBay]
NEW 2
in stock
$950.00
Description: AMAT 0200-40108 COVER PLATE 200MM 1/4" THK E-CHUCK, 116848
farmoninc
[view on eBay]
NEW 4
in stock
$750.00
Description: APPLIED MATERIALS 0021-77557 MANDREL BRUSH 200MM, 116840
farmoninc
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0040-32265 200mm ESC Pedestal Cover, AMAT 0040-32266, 119116
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: AMAT 0200-10446 Insert Ring Silicon, 200mm, Notch (2) S, 119195
farmoninc
[view on eBay]
NEW 4
in stock
$1,595.00
Description: Novellus 16-033931-00 200mm Pinned Showerhead Weldment, 119350
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0200-01463 SHADOW RING, QUARTZ, 200MM,63RA EMAX, 119404
farmoninc
[view on eBay]
NEW 1
in stock
$1,000.00
Description: AMAT 0200-00849 SHADOW RING, QUARTZ, 200MM, EMAX, 119403
farmoninc
[view on eBay]
NEW 2
in stock
$1,600.00
Description: AMAT 0021-17725 REV 002, SHUTTER DISK, SNNF ESC, 200MM, 12939900-481, 119738
semikorea
[view on eBay]
Used 2
in stock
$1,000.00
Description: TOP RING, SILICON, 200MM, OXIDE 0200-36697
semikorea
[view on eBay]
Used 3
in stock
$300.00
Description: INSERT RING,SILICON,200MM NOTCH, SI/QTZ O 0200-00639
cosplity
[view on eBay]
NEW 1
in stock
$15,000.00
Description: AMAT 0010-15669 ASSY, CERAMIC ESC, 200MM JMF, POLY DPS
cosplity
[view on eBay]
Used 1
in stock
$8,900.00
Description: AMAT 0010-09337 LAMP MODULE ASSY 200MM
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-31509 Pedestal, Rimless, OX/MLR/NIT, 200mm, NO. 120055
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0021-09989 ESC Electro Static Chuck For 200mm. 120054
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0021-09152 Pedestal, 200mm, Shower DBL Notch, 0040-32263, 120053
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0040-09960 Pedestal, 200mm Notch, IS, Solid, ESC Assy, HE, Chuck, 120052
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0040-09960 Pedestal, 200mm Notch, IS, Solid, ESC Assy, HE, Chuck, 120051
rooper33
[view on eBay]
NEW 2
in stock
$129.99
Description: Shadow Ring Quartz 200MM Applied Materials MODEL 0200-10445
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0200-09090 RING, GRAPHITE, SPUTTER ETCH, 200MM, DFP-2, 120354
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0200-09090 RING, GRAPHITE, SPUTTER ETCH, 200MM, DFP-2, 120485
farmoninc
[view on eBay]
Used 1
in stock
$275.00
Description: AMAT 0020-10936 BLOCKER PLATE, SILANE 200MM, A35087B415, SRP-203, 120521
farmoninc
[view on eBay]
Used 1
in stock
$275.00
Description: AMAT 0020-10936 BLOCKER PLATE, SILANE 200MM, A35087-A238, SRP-527, 120520
farmoninc
[view on eBay]
Used 1
in stock
$275.00
Description: AMAT 0020-10936 BLOCKER PLATE, SILANE 200MM, A35087B419, SRP-203, 120519
j316gallery
[view on eBay]
Used 1
in stock
$1,834.00
Description: 26670 APPLIED MATERIALS INSULATOR, CATHODE BASE, 200MM, DPS 0021-09511
amte648608
[view on eBay]
Used 6
in stock
$500.00
Description: 15-052619-00 Tong Ring Lift 200mm Ceramic
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0200-09072 SHIELD, TAPERED, 200MM, 120999
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0020-32128 ESC, Chuck, 200mm, 121020
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0020-32128 ESC, Chuck, 200mm, 121019
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0200-18038 Collar, Top, 2 Piece, 200mm, SNNF, 121057
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0200-18018 Collar 200mm, SNNF, 121058
farmoninc
[view on eBay]
Used 2
in stock
$3,850.00
Description: AMAT 0040-32259 Gas Box, 200mm, 121198
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: NOVELLUS 15-024611-00 PADDLE 200MM,Wafer High Purity Paddle End Effector, 121352
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$771.75
Description: 716-250921-001 / INSULATOR-UPPER ELECTD 200MM / LAM RESEARCH CORPORATION
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0010-04709 Assembly, Drive, Throttle Valve, 200mm S, 0040-00999, 121409
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0010-04709 Assembly, Druve, Throttle Valve, 200mm S, 0015-09077, 121410
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0010-04709 Assembly, Drive, Throttle Valve, 200mm S, 0021-13384, 121418
farmoninc
[view on eBay]
Used 3
in stock
$19,500.00
Description: AMAT 0041-00298 200MM GDP ELECTRODE ALUM 55 HOLES AMAT 0040-53693, 120794
farmoninc
[view on eBay]
Used 5
in stock
$19,500.00
Description: AMAT 0041-00298 200MM GDP ELECTRODE ALUM 55 HOLES AMAT 0040-53693, 120793
farmoninc
[view on eBay]
Used 1
in stock
$19,500.00
Description: AMAT 0041-00298 200MM GDP ELECTRODE ALUM 55 HOLES AMAT 0040-53693, 120792
grandbirdnet
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0020-47187 PLATE, ADAPTER, MOTOR MT, 6-PORT SPINDLE, 200MM MIRRA , USED

This tag has been viewed 47 times

Most recent views:

Singapore Saturday, Sep/07/2024 at 8:39 pm CST
Korea (Republic of) Saturday, Sep/07/2024 at 8:39 pm CST
Malaysia Saturday, Sep/07/2024 at 8:37 pm CST
Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
pohyh NEW - $100.00 5 Aug/21/12 Aug/22/12
Description: AMAT Ring, Centering TEOS 200mm Producer 0021-25079
pohyh NEW - $300.00 0 Aug/23/12 Sep/22/12
Description: AMAT Face Plate TEOS Producer 200mm 0021-01813
supertechshop Used - $1,750.00 0 Sep/08/12 Oct/08/12
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
bsetech Used - $980.00 0 Sep/11/12 Apr/05/13
Description: AMAT 200mm ESC P/N 0010-10328
pohyh Used - $5,000.00 0 Sep/19/12 Oct/19/12
Description: AMAT Assy. CATHODE 200mm SUPER-E 0010-05964
helixtek NEW - $10,000.00 0 Sep/26/12 Oct/06/12
Description: amat mirra lower platen assembly 200mm 0040-77085
helixtek NEW - $10,000.00 0 Oct/07/12 Oct/17/12
Description: amat mirra lower platen assembly 200mm 0040-77085
pohyh NEW - $300.00 0 Oct/24/12 Nov/23/12
Description: AMAT Face Plate TEOS Producer 200mm 0021-01813
athomemarket NEW - $505.99 0 Oct/19/12 Nov/18/12
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
helixtek NEW - $10,000.00 0 Nov/22/12 Dec/02/12
Description: amat mirra lower platen assembly 200mm 0040-77085
helixtek NEW - $10,000.00 0 Dec/02/12 Dec/12/12
Description: amat mirra lower platen assembly 200mm 0040-77085
testeqe Used - $1,249.99 0 Apr/27/09 Dec/27/14
Description: AMAT 0270-90168 Cassette-Blade Alignment Jig 200mm 8"
testeqe NEW - $725.48 0 May/11/12 Sep/03/13
Description: NEW ASM PN: 16-190353D01 200MM Susceptor-Passivated, 8" 200 mm
gesemiconductor Used - $1,200.00 0 Nov/07/12 Dec/19/17
Description: TEL Tokyo Electron 1D10-202204-12 Upper Electrode Unity-II DRM 200mm
gesemiconductor Used - $200.00 0 Jul/10/12 Dec/19/17
Description: M.E.C. Tech AMAT 0200-00177 Insert Ring SI/QTZ 200mm Silicon Flat
gesemiconductor NEW - $240.00 2 Jul/10/12 Dec/19/17
Description: New Applied Materials 0200-00177 Insert Ring SI/QTZ 200mm Silicon Flat
gesemiconductor Used - $200.00 0 Jul/10/12 Dec/19/17
Description: Solmics Co. AMAT 0200-00177 Insert Ring SI/QTZ 200mm Silicon Flat
athomemarket NEW - $457.19 0 Dec/18/12 Jan/17/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
svcstore Used - $999.99 0 Dec/18/12 Dec/21/12
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
capitolareatech NEW - $382.54 1 Dec/11/12 Jun/06/13
Description: NOVELLUS 15-024611-00 PADDLE, 200mm, WITH DIMPLES
systasemi Used - $1,000.00 0 Dec/10/12 Jan/09/13
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
athomemarket NEW - $555.29 0 Dec/21/12 Jan/20/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $275.39 0 Dec/22/12 Jan/21/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $135.89 0 Dec/24/12 Jan/23/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore Used - $999.99 0 Dec/24/12 Dec/27/12
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
helixtek NEW - $10,000.00 0 Dec/26/12 Jan/05/13
Description: amat mirra lower platen assembly 200mm 0040-77085
pohyh NEW - $300.00 1 Dec/26/12 Dec/26/12
Description: AMAT Face Plate TEOS Producer 200mm 0021-01813
svcstore Used - $999.99 0 Dec/27/12 Dec/30/12
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $161.99 0 Dec/28/12 Jan/27/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
supertechshop Used - $1,750.00 0 Dec/29/12 Jan/28/13
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
athomemarket NEW - $840.59 0 Dec/30/12 Jan/29/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore Used - $999.99 0 Dec/30/12 Jan/02/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore Used - $999.99 0 Jan/02/13 Jan/05/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $211.49 0 Jan/03/13 Feb/02/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $450.89 0 Jan/03/13 Feb/02/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $332.09 0 Jan/04/13 Feb/03/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket Used - $3,455.09 0 Jan/04/13 Feb/03/13
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
capitolareatech NEW - $1,012.50 0 Jan/04/13 Sep/26/14
Description: AMAT 0200-10643 COVER PLATE Si,200MM,.062 THK,HDPK
capitolareatech Used - $1,000.00 0 Jan/04/13 Jun/03/13
Description: AMAT 0010-10327 SHELL ASSY,200MM,NOTCH(2),5MIC,0.8"THK,S
capitolareatech NEW - $975.00 0 Jan/04/13 Sep/26/14
Description: AMAT 0200-39348 SHADOW RING, 200MM,FLAT(1S) SELF ALIG.
capitolareatech Used - $750.00 2 Jan/04/13 Jun/28/13
Description: AMAT 0040-99949 SHELL ASSY, 200MM,NOTC(2),5MIC,0.8"THK,S
supertechshop Used - $299.00 0 Jan/05/13 Feb/04/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
supertechshop Used - $1,250.00 0 Jan/05/13 Feb/04/13
Description: AMAT 0010-10327 / 0020-32909 Chuck ESC 200mm Pedestal / Shell Assy / Warranty
athomemarket Used - $862.19 0 Jan/05/13 Feb/04/13
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
svcstore Used - $999.99 0 Jan/05/13 Jan/08/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
capitolareatech NEW - $25.00 1 Dec/12/12 Jan/06/13
Description: FAITH ENTERPRISES PBC-412 PUSHER BLOCK, 50-SLOT, 200MM
athomemarket Refurbished - $322.19 0 Jan/08/13 Feb/07/13
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
svcstore Used - $999.99 0 Jan/08/13 Jan/11/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
systasemi Used - $1,000.00 0 Jan/09/13 Feb/08/13
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
athomemarket Used - $844.19 0 Jan/10/13 Feb/09/13
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
athomemarket Refurbished - $175.49 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
svcstore Used - $999.99 0 Jan/11/13 Jan/14/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $177.29 0 Jan/12/13 Feb/11/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket NEW - $282.59 0 Jan/12/13 Feb/11/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $92.69 0 Jan/12/13 Feb/11/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket Used - $1,079.99 0 Jan/12/13 Feb/11/13
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
capitolareatech NEW - $35.00 13 Jan/04/13 Jan/12/13
Description: VARIAN 11053840 PULL 200MM ROD
pohyh Used - $2,500.00 0 Jan/14/13 Feb/13/13
Description: AMAT 5000CVD AU PLATED 200mm 0010-09978
svcstore Used - $999.99 0 Jan/14/13 Jan/17/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore Used - $46.99 0 Jan/11/13 Jan/14/13
Description: Empak Crystalpak HS200-02 200mm Wafer Carrier 25-Slot Cassette Shipping Box
usedeqsales NEW - $701.13 1 Jan/16/13 Jun/29/14
Description: AMAT Applied Materials 0190-09291 200mm Wafer Lift Ceramic Ring new
svcstore Used - $999.99 0 Jan/17/13 Jan/20/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
artsemi NEW - $220.00 0 Jan/18/13 Jun/18/14
Description: Quartz Ring 200mm Sputter Etch 0200-09086 Amat 09086A 09086B 09086C qtz 200 mm
athomemarket NEW - $507.99 0 Jan/18/13 Feb/17/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
capitolareatech NEW - $65.63 1 Dec/12/11 Jun/06/13
Description: AMAT 0020-10936 BLOCKER PLATE, SILANE 200MM
athomemarket NEW - $555.29 0 Jan/20/13 Feb/19/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
capitolareatech NEW - $1.89 0 Sep/29/11 Sep/18/13
Description: FALA 35L5923 COLLER 200MM
svcstore Used - $46.99 0 Jan/21/13 Jan/24/13
Description: Empak Crystalpak HS200-02 200mm Wafer Carrier 25-Slot Cassette Shipping Box
smtechkoreacom NEW - $110.00 1 Sep/24/12 Jan/20/13
Description: Applied Materials 0021-39883 BRACKET, SW, SMIF FEND, 200MM PRODUCER AMAT
capitolareatech Refurbished - $840.00 3 Oct/03/12 Jan/18/13
Description: AMAT 0200-36105 CHAMBER INSERT 200MM TXZ CIP
capitolareatech NEW - $1,500.00 1 Oct/03/12 Jan/18/13
Description: AMAT 0200-36105 CHAMBER INSERT 200MM TXZ CIP
capitolareatech NEW - $6,000.00 1 Jan/13/12 Apr/17/13
Description: AMAT EDGE RING, THIN, 200MM 0200-00705
athomemarket NEW - $305.99 0 Jan/22/13 Feb/21/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore Used - $999.99 0 Jan/22/13 Jan/25/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
helixtek NEW - $10,000.00 0 Jan/23/13 Feb/02/13
Description: amat mirra lower platen assembly 200mm 0040-77085
athomemarket NEW - $150.99 0 Jan/24/13 Feb/23/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
hdsemispares Used - $5.00 0 Jan/24/13 Jan/31/13
Description: CYLINDER,QTZ,200MM EXT CATH, CERAMIC 0200-09638 AMAT (lOT OF 2)
svcstore Used - $999.99 0 Jan/25/13 Jan/28/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $179.99 0 Jan/27/13 Feb/26/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore Used - $999.99 0 Jan/28/13 Jan/31/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $933.99 0 Jan/29/13 Feb/28/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
supertechshop Used - $1,750.00 0 Jan/30/13 Mar/01/13
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
svcstore Used - $999.99 0 Feb/01/13 Feb/04/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
redlinemerch NEW - $199.00 0 Feb/02/13 Aug/31/13
Description: Asyst Technologies 200mm SMIF Pod part# 9700-4151-01 Wide Cassette
esolutions1 NEW - $55.00 1 Feb/02/13 Feb/02/13
Description: Applied Materials 0240-45194 TOP BAFFLE KIT 99.5 ALO 200MM ULTIMA HDPCVD AMAT
athomemarket NEW - $500.99 0 Feb/02/13 Mar/04/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
helixtek NEW - $10,000.00 0 Feb/03/13 Feb/13/13
Description: amat mirra lower platen assembly 200mm 0040-77085
athomemarket NEW - $234.99 0 Feb/03/13 Mar/05/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $368.99 0 Feb/03/13 Mar/05/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket Used - $3,838.99 0 Feb/04/13 Mar/06/13
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
athomemarket Used - $957.99 0 Feb/04/13 Mar/06/13
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
svcstore Used - $999.99 0 Feb/04/13 Feb/07/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
supertechshop Used - $1,250.00 0 Feb/05/13 Mar/07/13
Description: AMAT 0010-10327 / 0020-32909 Chuck ESC 200mm Pedestal / Shell Assy / Warranty
supertechshop Used - $299.00 0 Feb/05/13 Mar/07/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
usedeqsales NEW - $1,002.13 0 Feb/06/13 May/01/15
Description: AMAT Applied Materials 0270-03420 200mm Hoop Calibration Wafer new
usedeqsales NEW - $225.56 0 Feb/07/13 Mar/01/13
Description: Applied Materials AMAT 0200-09572 Notch Collar 200mm New
athomemarket Refurbished - $357.99 0 Feb/07/13 Mar/09/13
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
svcstore Used - $999.99 0 Feb/07/13 Feb/10/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
prism_electronics2 Used - $1,299.99 1 Feb/08/13 Oct/08/14
Description: Applied Material AMAT 5000 CVD 200mm Precision 5000 Lamp Module 0010-09337
athomemarket Used - $937.99 0 Feb/09/13 Mar/11/13
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
athomemarket Refurbished - $194.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
svcstore Used - $999.99 0 Feb/10/13 Feb/13/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $196.99 0 Feb/11/13 Mar/13/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket NEW - $313.99 0 Feb/12/13 Mar/14/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket Used - $1,199.99 0 Feb/12/13 Mar/14/13
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
athomemarket NEW - $102.99 0 Feb/12/13 Mar/14/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
systasemi Used - $1,000.00 0 Feb/13/13 Mar/15/13
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
svcstore Used - $999.99 0 Feb/13/13 Feb/16/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
pohyh Used - $2,500.00 0 Feb/14/13 Mar/16/13
Description: AMAT 5000CVD AU PLATED 200mm 0010-09978
svcstore Used - $999.99 0 Feb/16/13 Feb/19/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $507.99 19 Feb/17/13 Feb/18/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
visionsemi Refurbished - $500.00 0 Feb/18/13 Jun/18/13
Description: AMAT APPLIED MATERIALS 0200-01041 COVER RING 8" AL ARC-SPRAY 200mm
visionsemi NEW - $650.00 0 Feb/18/13 Jun/18/13
Description: APPLIED MATERIALS AMAT ENDURA ORIENTER CHUCK 0020-21708 200MM
athomemarket NEW - $616.99 0 Feb/20/13 Mar/22/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $305.99 0 Feb/21/13 Mar/23/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
hdsemispares Used - $30.00 0 Feb/20/13 Mar/22/13
Description: CYLINDER,QTZ,200MM EXT CATH, CERAMIC 0200-09638 AMAT (LOT OF 2)
athomemarket NEW - $150.99 0 Feb/23/13 Mar/25/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $179.99 0 Feb/27/13 Mar/29/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $933.99 0 Mar/01/13 Mar/31/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
gesemiconductor NEW - $6,000.00 1 Mar/01/13 Jun/27/13
Description: New Applied Materials 0010-06544 Throttle Valve Assembly EMAX 200mm Rev.2
svcstore Used - $899.99 0 Mar/01/13 Mar/04/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
helixtek NEW - $10,000.00 0 Mar/02/13 Mar/12/13
Description: amat mirra lower platen assembly 200mm 0040-77085
helixtek NEW - $175.00 0 Mar/02/13 Mar/12/13
Description: Amat Cmp Mirra 200mm Robot Bearing Retainer 0022-77576
helixtek NEW - $400.00 0 Mar/02/13 Mar/12/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
athomemarket NEW - $507.99 0 Mar/02/13 Apr/01/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
supertechshop Used - $1,750.00 0 Mar/05/13 Apr/04/13
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
usedeqsales Used - $1,751.57 1 Mar/05/13 Nov/27/13
Description: AMAT Applied Materials P5000 200mm 0010-00750 ETCH Chamber A097D as-is
athomemarket NEW - $500.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $368.99 0 Mar/05/13 Apr/04/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $234.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
svcstore Used - $899.99 0 Mar/05/13 Mar/08/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $3,838.99 0 Mar/06/13 Apr/05/13
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
athomemarket Used - $957.99 0 Mar/07/13 Apr/06/13
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
supertechshop Used - $299.00 0 Mar/07/13 Apr/06/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
supertechshop Used - $750.00 0 Mar/07/13 Apr/06/13
Description: AMAT 0010-10327 / 0020-32909 Chuck ESC 200mm Pedestal / Shell Assy / Warranty
svcstore Used - $899.99 0 Mar/08/13 Mar/11/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Refurbished - $357.99 0 Mar/10/13 Apr/09/13
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
svcstore Used - $899.99 0 Mar/11/13 Mar/14/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
bobsgoodies NEW - $1,777.00 0 Mar/12/13 Apr/11/13
Description: AMAT 0040-39138 Dual Sensor End Point Detector 200mm Producer 0021-15140
usedeqsales NEW - $408.12 0 Mar/12/13 May/09/14
Description: AceCo S33-245A Perforated Plate 200mm New AMAT P/N 0020-10117
athomemarket Used - $937.99 0 Mar/12/13 Apr/11/13
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
helixtek NEW - $10,000.00 0 Mar/12/13 Mar/22/13
Description: amat mirra lower platen assembly 200mm 0040-77085
helixtek NEW - $450.00 0 Mar/12/13 Mar/22/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
helixtek NEW - $200.00 0 Mar/12/13 Mar/22/13
Description: Amat Cmp Mirra 200mm Robot Bearing Retainer 0022-77576
athomemarket Refurbished - $194.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket NEW - $313.99 0 Mar/14/13 Apr/13/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $196.99 0 Mar/14/13 Apr/13/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
bsetech Used - $725.00 0 Mar/14/13 Jun/12/13
Description: AMAT 0200-10677 200mm TEOS Susceptor
athomemarket NEW - $102.99 0 Mar/14/13 Apr/13/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
systasemi Used - $1,000.00 0 Mar/15/13 Apr/14/13
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
svcstore Used - $899.99 0 Mar/14/13 Mar/17/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore Used - $899.99 0 Mar/17/13 Mar/20/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
visionsemi NEW - $750.00 0 Mar/18/13 Sep/14/13
Description: AMAT APPLIED MATERIALS 0200-02124 E-MAX QUARTZ COVER RING 200MM
capitolareatech NEW - $550.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0021-37701 SIDE RECEIVER, LEFT 200MM, 316-EP
capitolareatech NEW - $168.75 0 Mar/18/13 Oct/09/14
Description: APPLIED MATERIALS 0020-31723 PEDESTAL, 200MM, POLY, NOTCH, 218MM, SHU
capitolareatech NEW - $550.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0021-37702 SIDE RECEIVER, RIGHT 200MM, 316-EP
capitolareatech NEW - $1,000.00 0 Mar/18/13 Jul/10/13
Description: Applied Materials (AMAT) 0140-08724 HARNESS, E-CHAIN AI/O HEAD, 200MM
capitolareatech NEW - $375.00 3 Mar/18/13 Jul/24/14
Description: AMAT 0200-00071 INSULATING PIPE,QUARTZ, 200MM,EXT
pohyh Used - $2,500.00 0 Mar/18/13 Apr/17/13
Description: AMAT 5000CVD AU PLATED 200mm 0010-09978
capitolareatech NEW - $800.00 0 Mar/19/13 Sep/15/13
Description: AMAT 0200-40108 COVER PLATE 200MM 1/4" THK E-CHUCK
capitolareatech NEW - $600.00 0 Mar/19/13 Jul/16/13
Description: APPLIED MATERIALS 0010-10828 MANIFOLD ASSEMBLY, UPPER 200MM TITAN HEA,BUSHING,G
capitolareatech NEW - $65.00 0 Mar/19/13 Sep/15/13
Description: APPLIED MATERIALS 0020-31460 INSERT, INNER, NI, 200MM POLY, 218MM SHU
capitolareatech NEW - $175.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM N
capitolareatech NEW - $90.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0020-31459 INSERT,AL,200MM POLY, 218MM SHUNT
svcstore Used - $899.99 0 Mar/20/13 Mar/23/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
tdindustrial NEW - $395.00 1 Mar/21/13 Jul/31/15
Description: AMAT 200MM Producer Heater Shaft Clamp, 0040-86625
supertechshop NEW - $299.00 0 Mar/22/13 Apr/21/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
athomemarket NEW - $616.99 0 Mar/22/13 Apr/21/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
svcstore Used - $899.99 0 Mar/23/13 Mar/26/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $305.99 0 Mar/24/13 Apr/23/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
ace449parts2010 Used - $550.00 0 Mar/26/13 Feb/19/14
Description: AMAT ASSY BLADE ROBOT 8" 200mm 0010-76005
ace449parts2010 Used - $1,150.00 0 Mar/26/13 Feb/19/14
Description: AMAT ASSY BLADE ROBOT 8" 200mm 0010-76005
athomemarket NEW - $150.99 0 Mar/26/13 Apr/25/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore Used - $899.99 0 Mar/26/13 Mar/29/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
usedeqsales Used - $403.13 0 Mar/28/13 Sep/24/13
Description: LAM Research 715-130080-008 200mm End Effector REV. F LAM 4500 Used Working
athomemarket NEW - $179.99 0 Mar/29/13 Apr/28/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
helixtek NEW - $450.00 0 Mar/31/13 Apr/10/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
athomemarket NEW - $933.99 0 Mar/31/13 Apr/30/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
athomemarket NEW - $507.99 0 Apr/01/13 May/01/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
hdsemispares Used - $30.00 1 Apr/01/13 Jun/25/13
Description: CYLINDER,QTZ,200MM EXT CATH, CERAMIC 0200-09638 AMAT (LOT OF 2)
athomemarket Scrap, for parts - $834.99 0 Apr/01/13 Apr/08/13
Description: AMAT 0010-09978 Reflector Base Ring 5000 CVD Lamp Module 200mm Gold(Au) Plated
athomemarket Scrap, for parts - $624.99 0 Apr/01/13 Apr/08/13
Description: AMAT 0010-09978 Reflector Base 5000 CVD Ring Lamp Module 200mm Gold(Au) Plated
svcstore Used - $899.99 0 Apr/01/13 Apr/04/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $2,899.99 0 Apr/02/13 Apr/09/13
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Used - $3,999.99 0 Apr/02/13 Apr/09/13
Description: Applied Materials/AMAT 0040-00876 E-Max 200mm ESC Chuck EMXP+ Dual Zone 5000
athomemarket Used - $514.99 0 Apr/03/13 Apr/10/13
Description: Applied Materials AMAT 0010-10327 8" ESC Wafer Chuck MXP+ 200mm 0020-32909
athomemarket NEW - $500.99 0 Apr/04/13 May/04/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket Used - $834.99 0 Apr/04/13 Apr/11/13
Description: AMAT 0010-09652 Reflector Base Ring 5000 CVD Lamp Module 200mm 5000J
svcstore Used - $899.99 0 Apr/04/13 Apr/07/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $368.99 0 Apr/05/13 May/05/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $234.99 0 Apr/05/13 May/05/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket Used - $3,838.99 0 Apr/06/13 May/06/13
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
athomemarket Used - $957.99 0 Apr/06/13 May/06/13
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
supertechshop Used - $1,750.00 0 Apr/06/13 May/06/13
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
svcstore Used - $899.99 0 Apr/07/13 Apr/10/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Refurbished - $357.99 0 Apr/09/13 May/09/13
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
usedeqsales Used - $804.13 3 Apr/09/13 Jun/03/14
Description: Novellus 15-034104-00 4-Slot Cool Station Assembly Rev.B 200mm Concept II Altus
usedeqsales Used - $804.13 4 Apr/09/13 Apr/30/13
Description: Novellus 15-034104-00 3-Slot Cool Station Assembly Rev.B 200mm Concept II Altus
supertechshop Used - $750.00 0 Apr/10/13 May/10/13
Description: AMAT 0010-10327 / 0020-32909 Chuck ESC 200mm Pedestal / Shell Assy / Warranty
supertechshop Used - $299.00 0 Apr/10/13 May/10/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
athomemarket Used - $3,999.99 0 Apr/10/13 May/03/13
Description: Applied Materials/AMAT 0040-00876 E-Max 200mm ESC Chuck EMXP+ Dual Zone 5000
athomemarket Used - $2,899.99 0 Apr/10/13 May/10/13
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Scrap, for parts - $624.99 0 Apr/10/13 May/10/13
Description: AMAT 0010-09978 Reflector Base 5000 CVD Ring Lamp Module 200mm Gold(Au) Plated
athomemarket Scrap, for parts - $834.99 0 Apr/10/13 May/10/13
Description: AMAT 0010-09978 Reflector Base Ring 5000 CVD Lamp Module 200mm Gold(Au) Plated
svcstore Used - $899.99 0 Apr/10/13 Apr/13/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $937.99 0 Apr/11/13 May/11/13
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
athomemarket Used - $514.99 0 Apr/11/13 May/11/13
Description: Applied Materials AMAT 0010-10327 8" ESC Wafer Chuck MXP+ 200mm 0020-32909
athomemarket NEW - $1,799.99 0 Apr/15/13 Apr/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $313.99 0 Apr/13/13 May/13/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $196.99 0 Apr/13/13 May/13/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket Refurbished - $194.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
farmoninc Used - $1,000.00 1 Apr/15/13 Aug/13/13
Description: AMAT 0040-09957 ESC assy, 200mm, notch, with backing.
systasemi Used - $1,000.00 0 Apr/14/13 May/14/13
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
svcstore Used - $899.99 0 Apr/13/13 Apr/16/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore Used - $899.99 0 Apr/16/13 Apr/19/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore Used - $899.99 0 Apr/19/13 Apr/22/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
pohyh Used - $2,200.00 0 Apr/20/13 May/20/13
Description: AMAT 5000CVD AU PLATED 200mm 0010-09978
helixtek NEW - $200.00 0 Apr/21/13 May/01/13
Description: Amat Cmp Mirra 200mm Robot Bearing Retainer 0022-77576
helixtek NEW - $10,000.00 0 Apr/21/13 May/01/13
Description: amat mirra lower platen assembly 200mm 0040-77085
helixtek NEW - $450.00 0 Apr/21/13 May/01/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
supertechshop NEW - $299.00 0 Apr/21/13 May/21/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
athomemarket NEW - $616.99 0 Apr/21/13 May/21/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
svcstore Used - $899.99 0 Apr/22/13 Apr/25/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $1,799.99 0 Apr/23/13 May/23/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $305.99 0 Apr/23/13 May/23/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
christyhtx NEW - $25.00 20 Apr/25/13 Dec/11/15
Description: Novellus 15-053394-02 Spindle Fork Finger Min Contact 200mm
athomemarket NEW - $150.99 0 Apr/25/13 May/25/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore Used - $899.99 0 Apr/25/13 Apr/28/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $179.99 0 Apr/29/13 May/29/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
dr.fantom Used - $600.00 0 Apr/30/13 Feb/12/15
Description: Applied Materials/AMAT 0190-02938 200mm PVD Lamp/ Heater Driver 0190-76273
athomemarket NEW - $933.99 0 Apr/30/13 May/30/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore Used - $899.99 0 Apr/30/13 May/03/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
smartelektronikgmbh Used - $940.00 0 May/01/13 Nov/10/20
Description: AMAT 0040-39138 // Dual Sensor End Point Detector 200mm
helixtek NEW - $400.00 0 May/01/13 May/11/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
helixtek NEW - $10,000.00 0 May/01/13 May/11/13
Description: amat mirra lower platen assembly 200mm 0040-77085
helixtek NEW - $200.00 0 May/01/13 May/11/13
Description: Amat Cmp Mirra 200mm Robot Bearing Retainer 0022-77576
athomemarket NEW - $507.99 0 May/02/13 Jun/01/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
visionsemi Used - $39.99 0 May/03/13 May/08/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PRODUCER 200MM 0021-01813
svcstore Used - $899.99 0 May/03/13 May/06/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $3,838.99 0 May/06/13 Jun/05/13
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
athomemarket NEW - $368.99 0 May/05/13 Jun/04/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $234.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $500.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
visionsemi Used - $99.99 0 May/06/13 May/13/13
Description: Lam Research Focus Ring 716-018614-082 JEIDA 200MM
athomemarket Used - $957.99 0 May/06/13 Jun/05/13
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
svcstore Used - $899.99 0 May/06/13 May/09/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
supertechshop Used - $1,750.00 0 May/07/13 Jun/06/13
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
capitolareatech NEW - $262.50 0 May/08/13 Sep/18/14
Description: APPLIED MATERIALS (AMAT) 0020-31572 PLATE BLOCKER 8" WSI,LID, 200MM
capitolareatech NEW - $61.50 0 May/08/13 Sep/30/14
Description: APPLIED MATERIALS (AMAT) 0020-31304 INSERT, NI, OUTER, 200MM POLY, 218MM NI
capitolareatech NEW - $125.00 0 May/08/13 Sep/05/13
Description: AMAT 0020-31461 COVER, PEDESTAL, 200MM POLY, 218MM SHUNT
capitolareatech NEW - $1,750.00 0 May/08/13 Sep/05/13
Description: AMAT 0100-00313 PCB 200mm CONTROLLER QUANTUM
usedeqsales NEW - $508.10 1 Dec/23/10 May/06/13
Description: Praxair CMP 200mm Polishing Pad Lot 20" new 0190-30146
athomemarket Refurbished - $357.99 0 May/09/13 Jun/08/13
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
svcstore Used - $899.99 0 May/09/13 May/12/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $2,899.99 0 May/10/13 Jun/09/13
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Scrap, for parts - $624.99 0 May/10/13 Jun/09/13
Description: AMAT 0010-09978 Reflector Base 5000 CVD Ring Lamp Module 200mm Gold(Au) Plated
athomemarket Scrap, for parts - $834.99 0 May/10/13 Jun/09/13
Description: AMAT 0010-09978 Reflector Base Ring 5000 CVD Lamp Module 200mm Gold(Au) Plated
bobsgoodies NEW - $777.00 0 May/13/13 May/17/13
Description: AMAT 0040-39138 Dual Sensor End Point Detector 200mm Producer 0021-15140
helixtek NEW - $400.00 0 May/13/13 May/23/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
helixtek NEW - $200.00 0 May/13/13 May/23/13
Description: Amat Cmp Mirra 200mm Robot Bearing Retainer 0022-77576
helixtek NEW - $10,000.00 0 May/13/13 May/23/13
Description: amat mirra lower platen assembly 200mm 0040-77085
visionsemi Used - $39.99 0 May/15/13 May/20/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PRODUCER 200MM 0021-01813
athomemarket NEW - $313.99 0 May/14/13 Jun/13/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
visionsemi Used - $99.99 0 May/15/13 May/22/13
Description: Lam Research Focus Ring 716-018614-082 JEIDA 200MM
svcstore Used - $899.99 0 May/15/13 May/18/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
systasemi Used - $1,000.00 0 May/15/13 Jun/14/13
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
bobsgoodies Used - $2,350.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0010-05904 Throttle Valve Assy, 200mm
supertechshop Used - $299.95 0 May/17/13 Jun/16/13
Description: AMAT 200mm Bracket RF Flange Producer Applied Material 0040-38036 / Warranty
svcstore Used - $899.99 0 May/18/13 May/21/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
bobsgoodies NEW - $1,977.00 0 May/21/13 Jun/20/13
Description: AMAT 0040-39138 Dual Sensor End Point Detector 200mm Producer 0021-15140
svcstore Used - $899.99 0 May/21/13 May/24/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $616.99 0 May/22/13 Jun/21/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
supertechshop NEW - $299.00 0 May/22/13 Jun/21/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
athomemarket NEW - $1,799.99 0 May/23/13 Jun/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $305.99 0 May/23/13 Jun/22/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore Used - $899.99 0 May/24/13 May/27/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
helixtek NEW - $10,000.00 0 May/25/13 Jun/04/13
Description: amat mirra lower platen assembly 200mm 0040-77085
helixtek NEW - $400.00 0 May/25/13 Jun/04/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
helixtek NEW - $200.00 0 May/25/13 Jun/04/13
Description: Amat Cmp Mirra 200mm Robot Bearing Retainer 0022-77576
athomemarket NEW - $150.99 0 May/25/13 Jun/24/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore Used - $899.99 0 May/28/13 May/31/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $179.99 0 May/29/13 Jun/28/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $933.99 0 May/31/13 Jun/30/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
athomemarket NEW - $507.99 0 Jun/01/13 Jul/01/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
svcstore Used - $899.99 0 May/31/13 Jun/03/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore Used - $899.99 0 Jun/03/13 Jun/06/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
hot!cardz NEW - $18,000.00 0 Jun/04/13 Jun/14/13
Description: AMAT 0010-06229 / 0040-44645 eMAX HART Assy 200mm ESC / Pedestal / echuck
athomemarket NEW - $368.99 0 Jun/04/13 Jul/04/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $234.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $500.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
helixtek NEW - $200.00 0 Jun/04/13 Jul/04/13
Description: Amat Cmp Mirra 200mm Robot Bearing Retainer 0022-77576
helixtek NEW - $10,000.00 0 Jun/04/13 Jul/04/13
Description: amat mirra lower platen assembly 200mm 0040-77085
helixtek NEW - $400.00 0 Jun/04/13 Jul/04/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
wtservicecompany2011 Used - $1,200.00 0 Jun/04/13 Apr/23/14
Description: AMAT PEDESTAL ASSY, 200MM, NOTCH (1S), NI, LI 0010-10528
athomemarket Used - $3,838.99 0 Jun/05/13 Jul/05/13
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
athomemarket Used - $957.99 0 Jun/06/13 Jul/06/13
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
supertechshop Used - $1,750.00 0 Jun/06/13 Jul/06/13
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
svcstore Used - $899.99 0 Jun/06/13 Jun/09/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
visionsemi Used - $39.99 0 Jun/07/13 Oct/05/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PRODUCER 200MM 0021-01813
athomemarket Refurbished - $357.99 0 Jun/08/13 Jul/08/13
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
athomemarket Used - $2,899.99 0 Jun/09/13 Jul/09/13
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
svcstore Used - $899.99 0 Jun/09/13 Jun/12/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
capitolareatech NEW - $320.00 0 Jun/10/13 Sep/08/13
Description: AMAT 0200-00089 CAP,TOP,QUARTZ,ASP+F,200MM
capitolareatech Refurbished - $172.12 0 Jun/10/13 Sep/08/13
Description: AMAT 0040-80465 RETAINING RING 200MM 5 ZONE PROFILER
athomemarket Scrap, for parts - $834.99 0 Jun/10/13 Jul/10/13
Description: AMAT 0010-09978 Reflector Base Ring 5000 CVD Lamp Module 200mm Gold(Au) Plated
athomemarket Scrap, for parts - $624.99 0 Jun/10/13 Jul/10/13
Description: AMAT 0010-09978 Reflector Base 5000 CVD Ring Lamp Module 200mm Gold(Au) Plated
athomemarket Used - $937.99 0 Jun/10/13 Jul/10/13
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
athomemarket NEW - $269.99 0 Jun/13/13 Jul/13/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
supertechshop Used - $299.00 0 Jun/12/13 Jul/12/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
athomemarket NEW - $196.99 0 Jun/12/13 Jul/12/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket Refurbished - $194.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Used - $1,199.99 0 Jun/13/13 Jul/13/13
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
athomemarket NEW - $69.99 0 Jun/13/13 Jul/13/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
svcstore Used - $899.99 0 Jun/12/13 Jun/15/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore Used - $899.99 0 Jun/15/13 Jun/18/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
systasemi Used - $1,000.00 0 Jun/16/13 Jul/16/13
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
supertechshop Used - $299.95 0 Jun/18/13 Jul/18/13
Description: AMAT 200mm Bracket RF Flange Producer Applied Material 0040-38036 / Warranty
supertechshop Used - $750.00 1 Jun/18/13 Jun/19/13
Description: AMAT 0010-10327 / 0020-32909 Chuck ESC 200mm Pedestal / Shell Assy / Warranty
svcstore Used - $899.99 0 Jun/18/13 Jun/21/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
hot!cardz NEW - $18,000.00 0 Jun/19/13 Jun/29/13
Description: AMAT 0010-06229 / 0040-44645 eMAX HART Assy 200mm ESC / Pedestal / echuck
capitolareatech NEW - $93.75 0 Jun/19/13 Sep/17/13
Description: AMAT 0021-23497 FACEPLATE NON RF CAPABLE LID 200MM PMD P
athomemarket NEW - $616.99 0 Jun/21/13 Jul/21/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
supertechshop NEW - $299.00 0 Jun/21/13 Jul/21/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
capitolareatech NEW - $485.00 0 Jun/21/13 Sep/19/13
Description: AMAT 0021-11186 LINER, EXHAUST, NI PLATED, 200MM TICL4
capitolareatech NEW - $1,200.00 0 Jun/21/13 Jul/11/13
Description: LAM RESEARCH 799-432042-202 END EFFECTOR, 200MM MOLY/KALRE
svcstore Used - $899.99 0 Jun/21/13 Jun/24/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
capitolareatech NEW - $95.63 0 Jun/21/13 Sep/14/14
Description: NOVELLUS SYSTEMS 15-028766-00 RING,GUARD,200MM
athomemarket NEW - $1,799.99 0 Jun/22/13 Jul/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $305.99 0 Jun/22/13 Jul/22/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $99.99 0 Jun/24/13 Jul/24/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
capitolareatech Refurbished - $10,800.00 1 Jun/25/13 Nov/20/13
Description: NOVELLUS 02-134263-00 ASSY,ESC,200MM SEMI,MC
capitolareatech NEW - $42.19 0 Jun/25/13 Sep/23/13
Description: AMAT 0020-46518 SEAL, 200MM 5 ZONE PROFILER
svcstore Used - $899.99 0 Jun/25/13 Jun/28/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
capitolareatech NEW - $16.10 0 Jun/28/13 Jul/15/13
Description: AMAT 0020-10188 LEVELING PIN 200MM
capitolareatech NEW - $4.78 0 Jun/28/13 Sep/21/14
Description: AMAT 0021-18956 SENSOR PLUNGER, 200MM TITAN PROFILER
capitolareatech NEW - $111.57 0 Jun/28/13 Sep/21/14
Description: NOVELLUS SYSTEMS 15-033113-00 STATION,CENTERING,200MM
athomemarket NEW - $179.99 0 Jun/28/13 Jul/28/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore Used - $899.99 0 Jun/28/13 Jul/01/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $933.99 0 Jun/30/13 Jul/30/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
athomemarket NEW - $507.99 0 Jul/01/13 Jul/31/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
turkeymakesmetired-2008 NEW - $1,700.00 1 Jul/01/13 Jun/21/16
Description: AMAT 0010-23344 200mm Ultima Centura Dome Temperature Controller
svcstore Used - $899.99 0 Jul/01/13 Jul/04/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
capitolareatech NEW - $5.83 0 Jul/02/13 Sep/25/14
Description: AMAT 0200-09071 LIFTING PIN 200MM
athomemarket NEW - $450.89 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $332.09 0 Jul/04/13 Aug/03/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $211.49 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
svcstore Used - $899.99 0 Jul/04/13 Jul/07/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $3,455.09 0 Jul/05/13 Aug/04/13
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
athomemarket Used - $862.19 0 Jul/06/13 Aug/05/13
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
svcstore Used - $899.99 0 Jul/07/13 Jul/10/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
gesemiconductor Used - $7,500.00 0 Jul/08/13 Jun/24/15
Description: Applied Materials 0010-06544 Throttle Valve Assembly EMAX 200mm Rev.2
athomemarket Refurbished - $322.19 0 Jul/08/13 Aug/07/13
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
supertechshop Used - $299.00 0 Jul/15/13 Aug/14/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
hot!cardz NEW - $18,000.00 0 Jul/17/13 Jul/27/13
Description: AMAT 0010-06229 / 0040-44645 eMAX HART Assy 200mm ESC Pedestal echuck Applied
systasemi Used - $1,000.00 0 Jul/17/13 Aug/16/13
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
svcstore Used - $899.99 0 Jul/16/13 Jul/19/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
redrockranch NEW - $399.00 0 Jul/09/13 Jan/23/15
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
capitolareatech NEW - $15.41 0 Jul/19/13 Sep/12/14
Description: AMAT 0300-00379 HOUSING, THROTTLE VALVE 200MM SACVD PROD
capitolareatech NEW - $281.25 0 Jul/19/13 Sep/12/14
Description: AMAT 0200-35113 10044595 SUSC R3 ROTATION 200MM EPI
capitolareatech NEW - $468.75 0 Jul/19/13 Sep/12/14
Description: AMAT 0200-35157 SUSCEPTOR R3 ROTATION, BLACK POLY COAT 200MM
capitolareatech NEW - $356.25 0 Jul/19/13 Sep/12/14
Description: AMAT 0200-35113 SUSC R3 ROTATION 200MM EPI
svcstore Used - $899.99 0 Jul/19/13 Jul/22/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
supertechshop Used - $299.95 1 Jul/20/13 Jul/21/13
Description: AMAT 200mm Bracket RF Flange Producer Applied Material 0040-38036 / Warranty
athomemarket NEW - $555.29 0 Jul/21/13 Aug/20/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $1,619.99 0 Jul/22/13 Aug/21/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $275.39 0 Jul/22/13 Aug/21/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $89.99 0 Jul/24/13 Aug/23/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
supertechshop NEW - $299.00 0 Jul/24/13 Aug/23/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
hot!cardz NEW - $15,900.00 0 Jul/26/13 Jul/29/13
Description: Applied Materials 0040-34865 DGDP EMAX CHAMBER WAFFLE-LESS 200MM AMAT
hot!cardz NEW - $11,500.00 0 Jul/26/13 Aug/05/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
hot!cardz NEW - $18,000.00 0 Jul/28/13 Aug/07/13
Description: AMAT 0010-06229 / 0040-44645 eMAX HART Assy 200mm ESC Pedestal echuck Applied
athomemarket NEW - $161.99 0 Jul/28/13 Aug/27/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $840.59 0 Jul/30/13 Aug/29/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
athomemarket NEW - $457.19 0 Jul/31/13 Aug/30/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
farmoninc NEW - $550.00 0 Aug/01/13 May/18/23
Description: 11 new AMAT 0030-00196 face seal 200mm
rc85323 Used - $5,000.00 0 Aug/02/13 Aug/09/13
Description: 200mm Pedestal AMAT 0040-18053
helixtek NEW - $200.00 0 Aug/03/13 Sep/02/13
Description: Amat Cmp Mirra 200mm Robot Bearing Retainer 0022-77576
helixtek NEW - $8,000.00 1 Aug/03/13 Aug/14/13
Description: amat mirra lower platen assembly 200mm 0040-77085
helixtek NEW - $400.00 0 Aug/03/13 Sep/02/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
athomemarket NEW - $211.49 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $332.09 0 Aug/03/13 Sep/02/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $450.89 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket Used - $3,455.09 0 Aug/04/13 Sep/03/13
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
farmoninc NEW - $950.00 0 Aug/05/13 May/18/23
Description: AMAT 0020-04469 BLADE, shuttle 200mm electroless NI plate
athomemarket Used - $862.19 0 Aug/05/13 Sep/04/13
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
athomemarket Refurbished - $322.19 0 Aug/07/13 Sep/06/13
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
hot!cardz NEW - $11,500.00 0 Aug/08/13 Aug/18/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
athomemarket Used - $2,609.99 0 Aug/09/13 Sep/08/13
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Used - $844.19 0 Aug/09/13 Sep/08/13
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
athomemarket Scrap, for parts - $751.49 0 Aug/09/13 Sep/08/13
Description: AMAT 0010-09978 Reflector Base Ring 5000 CVD Lamp Module 200mm Gold(Au) Plated
athomemarket Scrap, for parts - $562.49 0 Aug/09/13 Sep/08/13
Description: AMAT 0010-09978 Reflector Base 5000 CVD Ring Lamp Module 200mm Gold(Au) Plated
svcstore NEW - $399.99 0 Aug/09/13 Aug/12/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket Refurbished - $175.49 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Used - $751.49 0 Aug/10/13 Sep/09/13
Description: AMAT 0010-09652 Reflector Base Ring 5000 CVD Lamp Module 200mm 5000J
supertechshop Used - $1,750.00 0 Aug/11/13 Sep/10/13
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
athomemarket NEW - $177.29 0 Aug/11/13 Sep/10/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket NEW - $242.99 0 Aug/12/13 Sep/11/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $62.99 0 Aug/12/13 Sep/11/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket Used - $1,079.99 0 Aug/12/13 Sep/11/13
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
svcstore NEW - $399.99 0 Aug/12/13 Aug/15/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
hot!cardz NEW - $9,500.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0200-02619 eMAX Top Ring RA63 Depo Mode 200MM Applied Materials
hot!cardz NEW - $1,500.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0021-04318 Pump Port Liner, Throttle Valve 200MM Applied Materials
hot!cardz NEW - $29,000.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
svcstore Used - $799.99 0 Aug/15/13 Aug/18/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $399.99 0 Aug/15/13 Aug/18/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop Used - $299.00 0 Aug/16/13 Sep/15/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
systasemi Used - $1,000.00 0 Aug/18/13 Sep/17/13
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
svcstore Used - $799.99 0 Aug/18/13 Aug/21/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $399.99 0 Aug/18/13 Aug/21/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
hot!cardz NEW - $11,500.00 0 Aug/19/13 Aug/29/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
athomemarket NEW - $555.29 0 Aug/20/13 Sep/19/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $1,619.99 0 Aug/21/13 Sep/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $275.39 0 Aug/21/13 Sep/20/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore Used - $799.99 0 Aug/21/13 Aug/24/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $399.99 0 Aug/22/13 Aug/25/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $89.99 0 Aug/23/13 Sep/22/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore NEW - $399.99 0 Aug/28/13 Aug/31/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
hot!cardz NEW - $9,500.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0200-02619 eMAX Top Ring RA63 Depo Mode 200MM Applied Materials
hot!cardz NEW - $1,500.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0021-04318 Pump Port Liner, Throttle Valve 200MM Applied Materials
hot!cardz NEW - $29,000.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
athomemarket NEW - $840.59 0 Aug/29/13 Sep/28/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
tdindustrial Used - $49.50 1 Aug/29/13 Sep/10/13
Description: AMAT Collar, Min Contact 200MM SNNF, 0200-18095 Rev 002, 305-407-002
supertechshop NEW - $299.00 0 Aug/29/13 Sep/28/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
svcstore Used - $799.99 0 Aug/27/13 Aug/30/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
hot!cardz NEW - $11,500.00 0 Aug/29/13 Sep/08/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
athomemarket NEW - $457.19 0 Aug/30/13 Sep/29/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
svcstore Used - $799.99 0 Aug/30/13 Sep/02/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $399.99 0 Aug/31/13 Sep/03/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
helixtek NEW - $200.00 0 Sep/02/13 Oct/02/13
Description: Amat Cmp Mirra 200mm Robot Bearing Retainer 0022-77576
helixtek NEW - $400.00 0 Sep/02/13 Oct/02/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
athomemarket NEW - $450.89 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $211.49 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $332.09 0 Sep/02/13 Oct/02/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
svcstore Used - $799.99 0 Sep/05/13 Sep/08/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Refurbished - $322.19 0 Sep/06/13 Oct/06/13
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
visionsemi Used - $850.00 0 Sep/05/13 Oct/05/13
Description: APPLIED MATERIALS AMAT TILT OUT CASSETTE ENDURA PVD 200MM 8" 0020-75108
athomemarket Used - $2,609.99 0 Sep/08/13 Oct/08/13
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Scrap, for parts - $751.49 0 Sep/08/13 Oct/08/13
Description: AMAT 0010-09978 Reflector Base Ring 5000 CVD Lamp Module 200mm Gold(Au) Plated
athomemarket Scrap, for parts - $562.49 0 Sep/08/13 Oct/08/13
Description: AMAT 0010-09978 Reflector Base 5000 CVD Ring Lamp Module 200mm Gold(Au) Plated
svcstore Used - $799.99 0 Sep/08/13 Sep/11/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
hot!cardz NEW - $18,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0010-06229 / 0040-44645 eMAX HART Assy 200mm ESC Pedestal echuck Applied
hot!cardz NEW - $11,500.00 0 Sep/09/13 Sep/19/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
hot!cardz NEW - $29,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
hot!cardz NEW - $1,500.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0021-04318 Pump Port Liner, Throttle Valve 200MM Applied Materials
hot!cardz NEW - $9,500.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0200-02619 eMAX Top Ring RA63 Depo Mode 200MM Applied Materials
athomemarket Used - $844.19 0 Sep/09/13 Oct/09/13
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
athomemarket Refurbished - $175.49 0 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
svcstore NEW - $399.99 0 Sep/09/13 Sep/12/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket Used - $751.49 0 Sep/10/13 Oct/10/13
Description: AMAT 0010-09652 Reflector Base Ring 5000 CVD Lamp Module 200mm 5000J
supertechshop Used - $1,750.00 0 Sep/11/13 Oct/11/13
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
athomemarket Used - $1,079.99 0 Sep/11/13 Oct/11/13
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
athomemarket NEW - $62.99 0 Sep/11/13 Oct/11/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket NEW - $242.99 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $177.29 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
capitolareatech NEW - $46.87 0 Sep/12/13 Oct/07/14
Description: AMAT 0050-61597 TUBE, PUMP OUTLET TO 3-WAY VALVE & PV19, 200MM MEG LDM
capitolareatech NEW - $28.13 0 Sep/12/13 Oct/07/14
Description: AMAT 0050-61596 TUBE, HEAT EX. OUTLET TO PUMP INLET, 200MM MEG LDM
capitolareatech NEW - $28.13 0 Sep/12/13 Oct/07/14
Description: AMAT 0050-61595 TUBE, HEAT EX. INLET TO CHEM INLET 200MM MEG. LDM
svcstore NEW - $399.99 0 Sep/12/13 Sep/15/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $799.99 0 Sep/14/13 Sep/17/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $399.99 0 Sep/15/13 Sep/18/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $799.99 0 Sep/17/13 Sep/20/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $399.99 0 Sep/18/13 Sep/21/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $555.29 0 Sep/19/13 Oct/19/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
wideerp01 Refurbished - $199.00 0 Sep/20/13 Sep/27/13
Description: APPLIED MATERIALS 200MM PVD UPPER SHIELD 0020-47722
athomemarket NEW - $1,799.99 0 Sep/20/13 Oct/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
svcstore Used - $799.99 0 Sep/20/13 Sep/23/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
supertechshop Used - $299.00 0 Sep/21/13 Oct/21/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
athomemarket NEW - $305.99 0 Sep/21/13 Oct/21/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore NEW - $399.99 0 Sep/21/13 Sep/24/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $99.99 0 Sep/22/13 Oct/22/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
systasemi Used - $1,000.00 0 Sep/22/13 Oct/22/13
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
xl-t_com NEW - $111.00 0 Sep/23/13 Aug/31/16
Description: APPLIED MATERIALS - 0021-78300 - FILM CENTER BUMP 200MM
svcstore Used - $799.99 0 Sep/23/13 Sep/26/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
hot!cardz NEW - $9,500.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0200-02619 eMAX Top Ring RA63 Depo Mode 200MM Applied Materials
hot!cardz NEW - $1,500.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0021-04318 Pump Port Liner, Throttle Valve 200MM Applied Materials
hot!cardz NEW - $29,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
hot!cardz NEW - $18,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0010-06229 / 0040-44645 eMAX HART Assy 200mm ESC Pedestal echuck Applied
hot!cardz NEW - $11,500.00 0 Sep/24/13 Oct/04/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
svcstore NEW - $399.99 0 Sep/24/13 Sep/27/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
ultra_clean_warehouse NEW - $466.84 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0041-02168 MANIFOLD 200MM WALD LID GAS - Rev 02
athomemarket NEW - $179.99 0 Sep/26/13 Oct/26/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore Used - $799.99 0 Sep/26/13 Sep/29/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
keykorea NEW - $82.00 1 Sep/27/13 Oct/17/14
Description: AMAT 0020-30108 SHIELD CLAMP, ALUMINUM, 100 THRU 200MM COMMON SIL, LOT 8, NEW
wideerp01 NEW - $199.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS RING,SINGLE,LOW PROFILE 200MM SNNF,QTZ DPN 0200-02000
wideerp01 NEW - $999.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS RING,COVER PVD TUNGSTEN 2 200MM 0020-26477
wideerp01 NEW - $299.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS RING WAFER LIFT 200MM 0020-10192
wideerp01 NEW - $139.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS ISOLATOR,200MM B-W 0200-09129
svcstore NEW - $399.99 0 Sep/27/13 Sep/30/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $933.99 0 Sep/28/13 Oct/28/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
athomemarket NEW - $507.99 0 Sep/29/13 Oct/29/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
wideerp01 Refurbished - $199.00 0 Sep/29/13 Oct/06/13
Description: APPLIED MATERIALS 200MM PVD UPPER SHIELD 0020-47722
svcstore Used - $799.99 0 Sep/30/13 Oct/03/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
supertechshop NEW - $299.00 0 Sep/30/13 Oct/30/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
svcstore NEW - $399.99 0 Sep/30/13 Oct/03/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $500.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $368.99 0 Oct/02/13 Nov/01/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $234.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
eisale1535 NEW - $1,950.00 0 Oct/03/13 Oct/13/16
Description: AMAT 0041-34688 SHELL ASSY, 200MM. MXP+EP ESC ASSY NOTCH, 1 MIL, AMAT 0021-82260
athomemarket Used - $3,838.99 0 Oct/03/13 Nov/02/13
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
svcstore Used - $799.99 0 Oct/03/13 Oct/06/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
helixtek NEW - $400.00 0 Oct/03/13 Nov/02/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
helixtek NEW - $200.00 0 Oct/03/13 Nov/02/13
Description: Amat Cmp Mirra 200mm Robot Bearing Retainer 0022-77576
svcstore NEW - $399.99 0 Oct/03/13 Oct/06/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket Used - $957.99 0 Oct/04/13 Nov/03/13
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
wideerp01 NEW - $400.00 0 Oct/05/13 Oct/12/13
Description: APPLIED MATERIALS RING,COVER PVD TUNGSTEN 2 200MM 0020-26477
svcstore Used - $799.99 0 Oct/06/13 Oct/09/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Refurbished - $357.99 0 Oct/07/13 Nov/06/13
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
gesemiconductor Used - $8,500.00 0 Oct/07/13 Aug/13/14
Description: Applied Materials 0040-34865 Chamber Liner 200mm EMAX
svcstore NEW - $399.99 0 Oct/07/13 Oct/10/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
wideerp01 Refurbished - $199.00 0 Oct/08/13 Oct/15/13
Description: APPLIED MATERIALS 200MM PVD UPPER SHIELD 0020-47722
wideerp01 NEW - $199.00 0 Oct/08/13 Oct/15/13
Description: LAM RESEARCH FOCUS,RING,TOP QTZ 200MM JEIDA 716-800842-005
athomemarket Used - $2,899.99 0 Oct/08/13 Nov/07/13
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Scrap, for parts - $624.99 0 Oct/08/13 Nov/07/13
Description: AMAT 0010-09978 Reflector Base 5000 CVD Ring Lamp Module 200mm Gold(Au) Plated
athomemarket Scrap, for parts - $834.99 0 Oct/08/13 Nov/07/13
Description: AMAT 0010-09978 Reflector Base Ring 5000 CVD Lamp Module 200mm Gold(Au) Plated
athomemarket Refurbished - $194.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
wideerp01 NEW - $299.00 0 Oct/09/13 Oct/16/13
Description: APPLIED MATERIALS RING WAFER LIFT 200MM 0020-10192
wideerp01 NEW - $299.00 0 Oct/09/13 Oct/16/13
Description: Applied Materials 0200-04092 Ceramic liner,top,Adf 200mm Producer
athomemarket Used - $937.99 0 Oct/09/13 Nov/08/13
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
svcstore Used - $799.99 0 Oct/09/13 Oct/12/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
sparepartssolution NEW - $1,500.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-10328 SHELL ASSY,200MM,FLAT(1S 5MIC,0.8THK,SI NEW
svcstore NEW - $399.99 0 Oct/10/13 Oct/13/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
hot!cardz NEW - $9,500.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0200-02619 eMAX Top Ring RA63 Depo Mode 200MM Applied Materials
hot!cardz NEW - $1,500.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0021-04318 Pump Port Liner, Throttle Valve 200MM Applied Materials
hot!cardz NEW - $29,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
sparepartssolution Used - $1,000.00 0 Oct/10/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-09053 ASSY, 200MM STORAGE USED
sparepartssolution NEW - $2,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-05904 ASSY, 200MM PROD. UNIVERSAL SIGMA TV W/I NEW
sparepartssolution Used - $5,000.00 0 Oct/10/13 Sep/29/14
Description: AMAT APPLIED MATERIALS 0010-04542 ASSY, HEATER, 200MM WXZ CERAMIC RING, OS USED
hot!cardz NEW - $18,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0010-06229 / 0040-44645 eMAX HART Assy 200mm ESC Pedestal echuck Applied
hot!cardz NEW - $11,500.00 0 Oct/10/13 Oct/20/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
athomemarket NEW - $196.99 0 Oct/11/13 Nov/10/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket NEW - $269.99 0 Oct/11/13 Nov/10/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $69.99 0 Oct/11/13 Nov/10/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket Used - $1,199.99 0 Oct/11/13 Nov/10/13
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
sparepartssolution Used - $3,000.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20481 ASSY 200MM CASSETTE HANDLER (LLB) USED
sparepartssolution Used - $3,000.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20480 ASSY 200MM CASSETTE HANDLER LEFT (LLA) USED
sparepartssolution Used - $700.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20399 ASSY, CASSETTE CLAMPING 200MM PLASTIC USED
sparepartssolution Used - $3,800.00 0 Oct/12/13 Apr/17/14
Description: AMAT APPLIED MATERIALS 0010-12168 AC BOX 200MM SLT ESC ASSEMBLY USED
supertechshop Used - $1,750.00 0 Oct/12/13 Nov/11/13
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
svcstore NEW - $399.99 0 Oct/13/13 Oct/16/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
visionsemi NEW - $750.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0200-02124 E-MAX QUARTZ COVER RING 200MM
wideerp01 NEW - $139.00 0 Oct/15/13 Oct/22/13
Description: APPLIED MATERIALS ISOLATOR,200MM B-W 0200-09129
visionsemi Used - $189.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PROD 200MM 0021-01813
visionsemi NEW - $450.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0200-02555 COLLAR STEPPED 200MM
visionsemi Used - $850.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT TILT OUT CASSETTE ENDURA PVD 200MM 8" 0020-75108
visionsemi Used - $39.99 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PRODUCER 200MM 0021-01813
svcstore Used - $799.99 0 Oct/15/13 Oct/18/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
grandbirdnet Used - $600.00 3 Oct/16/13 Aug/16/22
Description: AMAT 0200-36666 ISOLATOR CERAMIC PRODUCER 200MM, 2ND USED
wideerp01 NEW - $199.00 0 Oct/16/13 Oct/23/13
Description: APPLIED MATERIALS RING,SINGLE,LOW PROFILE 200MM SNNF,QTZ DPN 0200-02000
wideerp01 NEW - $199.00 0 Oct/16/13 Oct/23/13
Description: LAM RESEARCH FOCUS,RING,TOP QTZ 200MM JEIDA 716-800842-005
wideerp01 NEW - $299.00 0 Oct/16/13 Oct/23/13
Description: Applied Materials 0200-04092 Ceramic liner,top,Adf 200mm Producer
svcstore NEW - $399.99 0 Oct/16/13 Oct/19/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
keykorea Used - $1,100.00 4 Oct/16/13 Feb/24/15
Description: AMAT 0010-09978 ASSY LAMP MODULE AU-PLATED 200MM, USED
svcstore Used - $799.99 0 Oct/18/13 Oct/21/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
ace449parts2010 Refurbished - $1,900.00 0 Oct/19/13 Jan/14/14
Description: AMAT 0010-36941 AUTO BIAS, H.E. MATCH, 200MM, ASSEMBLY
athomemarket NEW - $616.99 0 Oct/19/13 Nov/18/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
turkeymakesmetired-2008 NEW - $249.99 2 Oct/19/13 Jun/26/14
Description: Applied Materials AMAT 200mm Top Plate Ceramic Liner 0200-04092 New
svcstore NEW - $399.99 0 Oct/19/13 Oct/22/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $1,799.99 0 Oct/20/13 Nov/19/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $305.99 0 Oct/21/13 Nov/20/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore Used - $799.99 0 Oct/21/13 Oct/24/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
supertechshop NEW - $249.00 0 Oct/22/13 Nov/21/13
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
supertechshop Used - $299.00 0 Oct/22/13 Nov/21/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
athomemarket NEW - $99.99 0 Oct/22/13 Nov/21/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
wideerp01 NEW - $199.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS RING,SINGLE,LOW PROFILE 200MM SNNF,QTZ DPN 0200-02000
wideerp01 NEW - $199.00 0 Oct/23/13 Oct/30/13
Description: LAM RESEARCH FOCUS,RING,TOP QTZ 200MM JEIDA 716-800842-005
svcstore Used - $799.99 0 Oct/24/13 Oct/27/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
systasemi Used - $1,000.00 0 Oct/22/13 Nov/21/13
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
sparepartssolution NEW - $1,000.00 0 Oct/25/13 Jul/13/15
Description: AMAT APPLIED MATERIALS 0021-35819 CHUCK, ASP, 200MM, TOP MOUNT TYPE NEW
svcstore NEW - $399.99 0 Oct/25/13 Oct/28/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $179.99 0 Oct/26/13 Nov/25/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore Used - $799.99 0 Oct/27/13 Oct/30/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
visionsemi NEW - $750.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0200-02124 E-MAX QUARTZ COVER RING 200MM
visionsemi Used - $850.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT TILT OUT CASSETTE ENDURA PVD 200MM 8" 0020-75108
visionsemi NEW - $450.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0200-02555 COLLAR STEPPED 200MM
visionsemi Used - $39.99 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PRODUCER 200MM 0021-01813
visionsemi Refurbished - $500.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0200-01041 COVER RING 8" AL ARC-SPRAY 200mm
visionsemi Used - $189.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PROD 200MM 0021-01813
hot!cardz NEW - $11,500.00 0 Oct/28/13 Nov/07/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
athomemarket NEW - $933.99 0 Oct/28/13 Nov/27/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore NEW - $399.99 0 Oct/28/13 Oct/31/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $507.99 0 Oct/29/13 Nov/28/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
svcstore Used - $799.99 0 Oct/30/13 Nov/02/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
keykorea NEW - $5,557.50 0 Oct/31/13 Apr/27/21
Description: AMAT 0010-77463 FLYWHEEL,200MM,DRYER(0010-77463), NEW
svcstore NEW - $399.99 0 Oct/31/13 Nov/03/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
sparepartssolution Used - $3,800.00 0 Nov/01/13 May/22/15
Description: AMAT APPLIED MATERIALS 0010-10979 ASSY, SIMPLE CATHODE, 200MM, RPS USED
wideerp01 NEW - $199.00 0 Nov/01/13 Dec/31/13
Description: APPLIED MATERIALS RING,SINGLE,LOW PROFILE 200MM SNNF,QTZ DPN 0200-02000
wideerp01 NEW - $199.00 0 Nov/01/13 Dec/31/13
Description: LAM RESEARCH FOCUS,RING,TOP QTZ 200MM JEIDA 716-800842-005
wideerp01 NEW - $199.99 0 Nov/01/13 Dec/01/13
Description: LAM RESEARCH FOCUS,RING,TOP QTZ 200MM JEIDA 716-800842-005
wideerp01 NEW - $299.00 1 Nov/01/13 Aug/21/14
Description: Applied Materials 0200-04092 Ceramic liner,top,Adf 200mm Producer
wideerp01 NEW - $299.00 1 Nov/01/13 Jan/07/14
Description: APPLIED MATERIALS RING WAFER LIFT 200MM 0020-10192
athomemarket NEW - $234.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $368.99 0 Nov/01/13 Dec/01/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $500.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
sparepartssolution Used - $800.00 1 Nov/01/13 Jun/04/14
Description: AMAT APPLIED MATERIALS 0021-35819 CHUCK, ASP, 200MM, TOP MOUNT TYPE USED
sparepartssolution Used - $800.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-31503 CHUCK,200MM,ASP USED
athomemarket Used - $3,838.99 0 Nov/02/13 Dec/02/13
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
svcstore Used - $799.99 0 Nov/02/13 Nov/05/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $957.99 0 Nov/03/13 Dec/03/13
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
systasemi Used - $8,000.00 0 Nov/03/13 Dec/03/13
Description: Novellus Bell jar Dome / 02-162351-00 / Hi Power / C2 Speed-S, 200mm
svcstore NEW - $399.99 0 Nov/04/13 Nov/07/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $299.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
keykorea NEW - $300.00 0 Nov/04/13 Mar/07/16
Description: AMAT 0040-46173 BEARING HOUSING, BASE ASSY 200MM PAD CON, NEW
keykorea NEW - $100.00 0 Nov/05/13 Jun/09/16
Description: AMAT 0021-28010 SST SEAL CLAMP(UNIVERSAL), HEAD ASSY, PAD CONDITIONER 200MM, NEW
svcstore Used - $799.99 0 Nov/05/13 Nov/08/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Refurbished - $357.99 0 Nov/06/13 Dec/06/13
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
athomemarket Used - $2,899.99 0 Nov/07/13 Dec/07/13
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Scrap, for parts - $834.99 0 Nov/07/13 Dec/07/13
Description: AMAT 0010-09978 Reflector Base Ring 5000 CVD Lamp Module 200mm Gold(Au) Plated
athomemarket Scrap, for parts - $624.99 0 Nov/07/13 Dec/07/13
Description: AMAT 0010-09978 Reflector Base 5000 CVD Ring Lamp Module 200mm Gold(Au) Plated
svcstore NEW - $399.99 0 Nov/07/13 Nov/10/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
helixtek NEW - $400.00 0 Nov/07/13 Dec/07/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
helixtek NEW - $200.00 0 Nov/07/13 Dec/07/13
Description: Amat Cmp Mirra 200mm Robot Bearing Retainer 0022-77576
athomemarket Used - $937.99 0 Nov/08/13 Dec/08/13
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
athomemarket Refurbished - $194.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
svcstore Used - $799.99 0 Nov/08/13 Nov/11/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $399.99 0 Nov/13/13 Nov/16/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
ace449parts2010 Refurbished - $1,500.00 0 Nov/13/13 Dec/11/13
Description: AMAT, 0040-99949 SHELL ASSY,200MM,NOTCH(2),5MIC,0.8"THK,S
svcstore Used - $799.99 0 Nov/12/13 Nov/15/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
hot!cardz NEW - $11,500.00 0 Nov/11/13 Nov/21/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
svcstore Used - $799.99 0 Nov/15/13 Nov/18/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $399.99 0 Nov/22/13 Nov/25/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop Used - $299.00 0 Nov/21/13 Dec/13/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
svcstore Used - $799.99 0 Nov/21/13 Nov/24/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
supertechshop NEW - $249.00 0 Nov/21/13 Dec/21/13
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
hot!cardz NEW - $11,500.00 0 Nov/21/13 Dec/01/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
svcstore Used - $799.99 0 Nov/24/13 Nov/27/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
trees_for_a_better_tomorrow NEW - $95.00 0 Nov/25/13 Dec/02/13
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
athomemarket NEW - $179.99 0 Nov/25/13 Dec/25/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
systasemi Used - $1,000.00 0 Nov/25/13 Dec/25/13
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
svcstore NEW - $399.99 0 Nov/25/13 Nov/28/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $933.99 0 Nov/27/13 Dec/27/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore Used - $799.99 0 Nov/27/13 Nov/30/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
keykorea NEW - $3,200.00 0 Nov/28/13 Jul/30/14
Description: AMAT 0190-09496 PLATE ASSY, PLASMA CHUCK, 200MM, 2ND NEW
athomemarket NEW - $507.99 0 Nov/28/13 Dec/28/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
svcstore NEW - $399.99 0 Nov/28/13 Dec/01/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
harryha64 Used - $4,000.00 0 Nov/28/13 Dec/28/13
Description: 0010-38438 Assy C-Chuck 200mm, CIP 99
svcstore Used - $799.99 0 Nov/30/13 Dec/03/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
hot!cardz NEW - $1,500.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0021-04318 Pump Port Liner, Throttle Valve 200MM Applied Materials
hot!cardz NEW - $9,500.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0200-02619 eMAX Top Ring RA63 Depo Mode 200MM Applied Materials
hot!cardz NEW - $29,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
hot!cardz NEW - $18,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0010-06229 / 0040-44645 eMAX HART Assy 200mm ESC Pedestal echuck Applied
athomemarket NEW - $234.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $368.99 0 Dec/01/13 Dec/31/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $500.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket Used - $3,838.99 0 Dec/02/13 Jan/01/14
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
svcstore NEW - $399.99 0 Dec/02/13 Dec/05/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/02/13 Dec/09/13
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
keykorea NEW - $65.00 8 Dec/02/13 Oct/18/16
Description: AMAT 0021-79220 CLAMP, 200MM, WALKING BEAM, NEW
hot!cardz NEW - $11,500.00 0 Dec/03/13 Dec/13/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
athomemarket Used - $957.99 0 Dec/03/13 Jan/02/14
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
svcstore Used - $799.99 0 Dec/03/13 Dec/06/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
conquer_2011 NEW - $8,500.00 0 Dec/04/13 Dec/14/13
Description: NEW AMAT WxZ CVD Chamber Assembly, Isolation Valve, 200mm, 0010-36278
systasemi Used - $8,000.00 0 Dec/04/13 Jan/03/14
Description: Novellus Bell jar Dome / 02-162351-00 / Hi Power / C2 Speed-S, 200mm
svcstore NEW - $399.99 0 Dec/05/13 Dec/08/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
capitolareatech NEW - $48.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials 0200-09614 Pin, Lift wafer 200mm BWCVD
supertechshop NEW - $299.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
capitolareatech NEW - $487.50 0 Dec/06/13 Oct/02/14
Description: LAM Research (LAM) 716-800951-300 Base, FCS, Ring, Shld, 200MM & 300 M
athomemarket Refurbished - $357.99 0 Dec/06/13 Jan/05/14
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
svcstore Used - $799.99 0 Dec/06/13 Dec/09/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $2,899.99 0 Dec/07/13 Jan/06/14
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Scrap, for parts - $834.99 0 Dec/07/13 Jan/06/14
Description: AMAT 0010-09978 Reflector Base Ring 5000 CVD Lamp Module 200mm Gold(Au) Plated
athomemarket Scrap, for parts - $624.99 0 Dec/07/13 Jan/06/14
Description: AMAT 0010-09978 Reflector Base 5000 CVD Ring Lamp Module 200mm Gold(Au) Plated
helixtek NEW - $350.00 0 Dec/08/13 Jan/07/14
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
helixtek NEW - $175.00 0 Dec/08/13 Jan/07/14
Description: Amat Cmp Mirra 200mm Robot Bearing Retainer 0022-77576
athomemarket Used - $942.99 0 Dec/08/13 Jan/07/14
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
athomemarket Refurbished - $198.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
svcstore NEW - $399.99 0 Dec/08/13 Dec/11/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $273.99 0 Dec/10/13 Jan/09/14
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $199.99 0 Dec/10/13 Jan/09/14
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket NEW - $72.99 0 Dec/10/13 Jan/09/14
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket Used - $1,236.99 0 Dec/10/13 Jan/09/14
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
ace449parts2010 Used - $990.00 0 Dec/11/13 Nov/11/19
Description: AMAT Al UNPINNED 101 PEDESTAL 200MM 0020-27975
svcstore NEW - $399.99 0 Dec/11/13 Dec/14/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
capitolareatech NEW - $86.69 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-06305 200MM MDP LEFT Wafer Support Fin
capitolareatech NEW - $86.69 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-06306 200MM MDP Right Weather Support Fin
capitolareatech NEW - $66.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-01317 Outer Shield, TXZ 200MM
capitolareatech NEW - $44.71 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-22918 Side Reciever 200MM Cassette, Bracket
capitolareatech NEW - $81.45 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-94808 Fixed Restraints, 200mm,
capitolareatech NEW - $5.85 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10153 Buffer, Cassette, Male, 200MM
capitolareatech NEW - $31.87 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-08774 Guide Cassette Universal MNL FEND 200MM
capitolareatech NEW - $41.44 0 Dec/12/13 May/30/14
Description: Applied Materials (AMAT) 0020-46323 Membrane 200mm Titan Center BU
capitolareatech NEW - $75.00 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-13047 Wafer calibration AL 200mm
capitolareatech NEW - $31.87 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-12611 KEEPER LIFT TUBE RING 200MM CWXZ
capitolareatech NEW - $223.13 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0040-09961 Pedestal, 200mm Notch, IS, NI Lift2, HVCEN,.
supertechshop Used - $1,750.00 0 Dec/12/13 Jan/11/14
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
hot!cardz NEW - $11,500.00 0 Dec/13/13 Dec/23/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
ace449parts2010 Refurbished - $1,000.00 0 Dec/14/13 Nov/14/19
Description: AMAT, 0040-99949 SHELL ASSY,200MM,NOTCH(2),5MIC,0.8"THK,S
ace449parts2010 Used - $2,500.00 0 Dec/14/13 Apr/30/14
Description: AMAT, 0010-15668 ASSY, CERAMIC ESC, 200MM SNNF, POLY DPS
conquer_2011 NEW - $6,375.00 0 Dec/14/13 Dec/24/13
Description: NEW AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore NEW - $399.99 0 Dec/14/13 Dec/17/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $399.99 0 Dec/20/13 Dec/23/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $1,817.99 0 Dec/19/13 Jan/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $618.99 0 Dec/18/13 Jan/17/14
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $307.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore Used - $699.99 0 Dec/17/13 Dec/20/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore Used - $699.99 0 Dec/20/13 Dec/23/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $100.99 0 Dec/21/13 Jan/20/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore NEW - $399.99 0 Dec/23/13 Dec/26/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $249.00 0 Dec/24/13 Jan/23/14
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
svcstore Used - $699.99 0 Dec/23/13 Dec/26/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $399.99 0 Dec/26/13 Dec/29/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $699.99 0 Dec/26/13 Dec/29/13
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $935.99 0 Dec/27/13 Jan/26/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
visionsemi NEW - $600.00 1 Dec/27/13 Jun/23/15
Description: AMAT APPLIED MATERIALS 0200-02124 E-MAX QUARTZ COVER RING 200MM
athomemarket NEW - $509.99 0 Dec/28/13 Jan/27/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
pohyh Used - $3,500.00 0 Dec/30/13 Jun/11/14
Description: 1080 (2) AMAT 0242-27091 KIT, THROTTLING GATE VALVE, 200MM, W CONTROLLER AND CAB
conquer_2011 NEW - $6,350.00 0 Dec/30/13 Jan/29/14
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore Used - $699.99 0 Dec/30/13 Jan/02/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $399.99 0 Jan/01/14 Jan/04/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/02/14 Jan/09/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
athomemarket Used - $959.99 0 Jan/02/14 Feb/01/14
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
svcstore Used - $699.99 0 Jan/02/14 Jan/05/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
systasemi Used - $1,000.00 0 Jan/01/14 Jan/31/14
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
visionsemi Used - $175.00 1 Jan/03/14 May/26/17
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PRODUCER 200MM 0021-01813
visionsemi NEW - $650.00 1 Jan/03/14 Sep/02/15
Description: APPLIED MATERIALS AMAT ENDURA ORIENTER CHUCK 0020-21708 200MM
svcstore NEW - $399.99 0 Jan/04/14 Jan/07/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket Refurbished - $359.99 0 Jan/05/14 Feb/04/14
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
systasemi Used - $8,000.00 0 Jan/05/14 Feb/04/14
Description: Novellus Bell jar Dome / 02-162351-00 / Hi Power / C2 Speed-S, 200mm
svcstore Used - $699.99 0 Jan/05/14 Jan/08/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $2,927.99 0 Jan/06/14 Feb/05/14
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Scrap, for parts - $626.99 1 Jan/06/14 Jan/17/14
Description: AMAT 0010-09978 Reflector Base 5000 CVD Ring Lamp Module 200mm Gold(Au) Plated
athomemarket Scrap, for parts - $836.99 4 Jan/06/14 Jan/17/14
Description: AMAT 0010-09978 Reflector Base Ring 5000 CVD Lamp Module 200mm Gold(Au) Plated
athomemarket Used - $939.99 0 Jan/07/14 Feb/06/14
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
athomemarket Refurbished - $196.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
hot!cardz NEW - $29,000.00 0 Jan/07/14 Jan/17/14
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
hot!cardz NEW - $11,500.00 0 Jan/07/14 Jan/17/14
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
svcstore NEW - $399.99 0 Jan/07/14 Jan/10/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
pohyh Used - $2,500.00 0 Jan/07/14 Oct/05/14
Description: 1346 (6) AMAT 5000 CVD 200MM LAMP MODULE 0010-09337
wideerp01 NEW - $199.00 0 Jan/09/14 Feb/08/14
Description: LAM RESEARCH FOCUS,RING,TOP QTZ 200MM JEIDA 716-800842-005
svcstore Used - $699.99 0 Jan/11/14 Jan/14/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
nationwidesurplus NEW - $29.99 0 Jan/08/14 Sep/24/16
Description: Novellus 15-041335-00 Tool Alignment 200MM 200 mm Alignment Tool
svcstore NEW - $399.99 0 Jan/13/14 Jan/16/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
visionsemi Refurbished - $500.00 1 Jan/14/14 Jun/19/14
Description: AMAT APPLIED MATERIALS 0200-01041 COVER RING 8" AL ARC-SPRAY 200mm
visionsemi Used - $189.00 0 Jan/14/14 Jan/28/17
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PROD 200MM 0021-01813
keykorea NEW - $7,500.00 0 Jan/14/14 Feb/07/14
Description: AMAT 0040-32927 HOUSING, BRUSH MODULE, 200MM, NEW
athomemarket NEW - $618.99 0 Jan/17/14 Feb/16/14
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
svcstore NEW - $399.99 0 Jan/16/14 Jan/19/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $699.99 0 Jan/16/14 Jan/19/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
farmoninc NEW - $450.00 1 Jan/16/14 May/14/15
Description: 7 Novellus 70-055860-00 POD, SMIF, ASYST, KA200-85MT, 200mm
athomemarket NEW - $1,817.99 0 Jan/18/14 Feb/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $307.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/19/14 Jan/26/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
svcstore Used - $699.99 0 Jan/19/14 Jan/22/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $399.99 0 Jan/19/14 Jan/22/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $100.99 0 Jan/20/14 Feb/19/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
sparepartssolution NEW - $18,000.00 0 Jan/21/14 Apr/20/15
Description: (19) AMAT APPLIED MATERIALS 0010-05995 ASSY ESC RF 200MM FLAT EMAX BRAND NEW
svcstore Used - $699.99 0 Jan/22/14 Jan/25/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $399.99 0 Jan/22/14 Jan/25/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $181.99 0 Jan/24/14 Feb/23/14
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore Used - $699.99 0 Jan/25/14 Jan/28/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $399.99 0 Jan/25/14 Jan/28/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $935.99 0 Jan/26/14 Feb/25/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
athomemarket NEW - $509.99 0 Jan/27/14 Feb/26/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
ace449parts2010 Refurbished - $1,450.00 1 Jan/28/14 May/05/14
Description: AMAT 0010-36941 AUTO BIAS, H.E. MATCH, 200MM, ASSEMBLY
supertechshop NEW - $249.00 0 Jan/28/14 Feb/27/14
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
svcstore Used - $699.99 0 Jan/28/14 Jan/31/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
ace449parts2010 Scrap, for parts - $1,000.00 0 Jan/29/14 Oct/29/19
Description: AMAT, COLLAR, 200MM SNNF, SML FLT, ULTIMA HDPC 0020-18109
ace449parts2010 Used - $550.00 0 Jan/29/14 May/08/17
Description: AMAT, SHELL ASSY 200MM NOTCH HIVIB SOLID COMP R 0040-09797
conquer_2011 NEW - $6,350.00 0 Jan/29/14 Feb/28/14
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
athomemarket NEW - $370.99 0 Jan/30/14 Mar/01/14
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $236.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $502.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
prism_electronics6 Used - $279.95 1 Jan/30/14 Mar/27/14
Description: NOVELLUS 200mm Flatted Electrostatic Chuck, 02-121642-00 Rev. G, S/N: 9207
prism_electronics6 Used - $279.95 1 Jan/30/14 Mar/27/14
Description: NOVELLUS 200mm Flatted Electrostatic Chuck, 02-121642-00 Rev. G, S/N: 9187
prism_electronics6 Used - $279.95 1 Jan/30/14 Mar/27/14
Description: NOVELLUS 200mm Flatted Electrostatic Chuck, 02-121642-00 Rev. G, S/N: 7874
prism_electronics6 Used - $279.95 1 Jan/30/14 Mar/27/14
Description: NOVELLUS 200mm Flatted Electrostatic Chuck, 02-121642-00 Rev. G, S/N: 050833
prism_electronics6 Used - $279.95 1 Jan/30/14 Mar/27/14
Description: NOVELLUS 200mm Flatted Electrostatic Chuck, 02-121642-00 Rev. G, S/N: 050783
athomemarket Used - $3,875.99 0 Jan/31/14 Mar/02/14
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
wideerp01 NEW - $350.00 0 Jan/31/14 Nov/11/15
Description: Applied Materials PRODUCER 200MM SST LID KIT H2O RETRO FIT 0242-11877
wideerp01 NEW - $499.99 1 Jan/31/14 Mar/27/15
Description: Applied Materials DPS Cable Assy, HV ESC 200mm 0090-09157
svcstore Used - $699.99 0 Jan/31/14 Feb/03/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $959.99 0 Feb/01/14 Mar/03/14
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
helixtek NEW - $175.00 0 Feb/02/14 Mar/04/14
Description: Amat Cmp Mirra 200mm Robot Bearing Retainer 0022-77576
trees_for_a_better_tomorrow NEW - $95.00 0 Feb/03/14 Feb/10/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
svcstore Used - $699.99 0 Feb/03/14 Feb/06/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Refurbished - $359.99 0 Feb/04/14 Mar/06/14
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
systasemi Used - $1,000.00 0 Feb/05/14 Mar/07/14
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
systasemi Used - $8,000.00 0 Feb/05/14 Mar/07/14
Description: Novellus Bell jar Dome / 02-162351-00 / Hi Power / C2 Speed-S, 200mm
lolly711 NEW - $12,500.00 0 Feb/04/14 Feb/11/14
Description: ASYST SCI INDEXER 2200 PN: 9700-3260-01 (New) 200mm
athomemarket Used - $2,927.99 0 Feb/05/14 Mar/07/14
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Refurbished - $196.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Used - $939.99 0 Feb/06/14 Mar/08/14
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
svcstore Used - $699.99 0 Feb/06/14 Feb/09/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $271.99 0 Feb/08/14 Mar/10/14
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket Used - $1,211.99 0 Feb/08/14 Mar/10/14
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
athomemarket NEW - $71.99 0 Feb/08/14 Mar/10/14
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
svcstore Used - $699.99 0 Feb/09/14 Feb/12/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
farmoninc Used - $2,200.00 1 Feb/11/14 Dec/10/14
Description: AMAT 0010-09337 P5000 CVD 200mm Lamp Module
svcstore NEW - $399.99 0 Feb/12/14 Feb/15/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
catalystparts NEW - $610.00 1 Feb/13/14 May/09/14
Description: Applied Materials 0021-35744 Blocker Plate TXZ 200MM
supertechshop NEW - $299.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
svcstore Used - $699.99 0 Feb/13/14 Feb/16/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
usedeqsales Used - $7,005.12 0 Feb/20/14 May/29/14
Description: AMAT Applied Materials 200mm Centura 5200 RTP Chamber 0040-35703 as-is
svcstore NEW - $399.99 0 Feb/18/14 Feb/21/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $100.99 0 Feb/19/14 Mar/21/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore Used - $699.99 0 Feb/19/14 Feb/22/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
prism_electronics3 Used - $80.97 0 Feb/17/14 Jun/24/22
Description: Novellus 15-054434-03 2.50mm Exclusion Ring 200mm Diameter
svcstore NEW - $399.99 0 Feb/21/14 Feb/24/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $699.99 0 Feb/22/14 Feb/25/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
harryha64 Used - $4,000.00 0 Feb/23/14 Mar/25/14
Description: 0010-38438 Assy C-Chuck 200mm, CIP 99
athomemarket NEW - $181.99 0 Feb/23/14 Mar/25/14
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore NEW - $399.99 0 Feb/24/14 Feb/27/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $935.99 0 Feb/25/14 Mar/27/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore Used - $699.99 0 Feb/25/14 Feb/28/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
ecomicron Used - $700.00 2 Feb/26/14 Feb/26/15
Description: AMAT ISOLATOR TEOS PUMPING RING, PRODUCER 200MM, 0200-39289
athomemarket NEW - $509.99 0 Feb/26/14 Mar/28/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
dr.fantom Used - $300.00 1 Feb/27/14 Jul/30/14
Description: Applied Materials 0010-02905 Blade Assy P5000 Robot 200MM w/Mixed Parts
supertechshop NEW - $249.00 0 Feb/27/14 Mar/29/14
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
svcstore NEW - $399.99 0 Feb/27/14 Mar/02/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
conquer_2011 NEW - $6,350.00 0 Feb/28/14 Mar/30/14
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore Used - $699.99 0 Feb/28/14 Mar/03/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $271.99 0 Mar/11/14 Apr/10/14
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
tcchie Used - $1,999.00 0 Mar/11/14 Mar/16/14
Description: Applied Materials 0010-14612 DPS cathode 200mm
athomemarket Used - $1,211.99 0 Mar/11/14 Apr/10/14
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
athomemarket NEW - $71.99 0 Mar/11/14 Apr/10/14
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
prism_electronics6 Used - $119.97 0 Mar/12/14 Aug/02/22
Description: Lam Electrode, Surface Groove, High Temperature, 200mm, Epic, 839-072146-001
svcstore Used - $699.99 0 Mar/09/14 Mar/12/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
systasemi Used - $1,000.00 0 Mar/09/14 Apr/08/14
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
smtechkoreacom Used - $1,200.00 0 Mar/13/14 Jun/11/14
Description: AMAT 0090-35688 200MM NOTCH ESC
smtechkoreacom NEW - $9,999.00 0 Mar/13/14 Jun/11/14
Description: AMAT 0040-79924 SGD, UPPER, 200MM EMAX
smtechkoreacom Used - $9,990.00 1 Mar/13/14 May/13/14
Description: AMAT 0040-18053 PEDESTAL HOSE 200MM BLUE SNNF
smtechkoreacom Used - $9,990.00 0 Mar/13/14 Jun/11/14
Description: AMAT 0040-05492 0040-05493 ESC ASSY 200MM NOTCH EMAX
smtechkoreacom Used - $9,990.00 0 Mar/13/14 Jun/11/14
Description: AMAT 0040-02149 200MM LONG SHAFT ALN CERAMIC HEATER
svcstore Used - $699.99 0 Mar/13/14 Mar/16/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
farmoninc NEW - $250.00 0 Mar/15/14 Apr/09/14
Description: AMAT 0021-39389 Plate, Reference Indicator, 200MM
trees_for_a_better_tomorrow NEW - $95.00 0 Mar/16/14 Mar/23/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
svcstore Used - $699.99 0 Mar/16/14 Mar/19/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
ace449parts2010 Used - $550.00 0 Mar/17/14 Nov/12/14
Description: AMAT ASSY BLADE ROBOT 8" 200mm 0010-76005
svcstore NEW - $299.99 0 Mar/20/14 Mar/23/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $1,817.99 0 Mar/20/14 Apr/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $307.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore Used - $699.99 0 Mar/19/14 Mar/22/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
supertechshop Used - $1,750.00 0 Mar/22/14 Apr/21/14
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
farmoninc NEW - $950.00 0 Mar/22/14 Feb/13/18
Description: AMAT 0040-39138 Cell, End PT DET, 200MM Producer
athomemarket NEW - $100.99 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
farmoninc NEW - $50.00 1 Mar/22/14 Apr/22/14
Description: AMAT 0040-09254 Weldment, R/F Ground 200MM AA-00435
svcstore Used - $699.99 0 Mar/22/14 Mar/25/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
trees_for_a_better_tomorrow NEW - $95.00 0 Mar/23/14 Mar/30/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
svcstore NEW - $299.99 0 Mar/23/14 Mar/26/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
farmoninc NEW - $650.00 0 Mar/24/14 Sep/10/14
Description: AMAT 0020-30287 Adapter Ring, Pumping Plate, 200MM Common Silane
farmoninc NEW - $495.00 0 Mar/24/14 Jan/27/15
Description: AMAT 0020-10191 Shield, Common Silane, 200MM GECO
farmoninc NEW - $650.00 0 Mar/24/14 Sep/10/14
Description: AMAT 0020-30059 Pumping Plate, Common Silane 200MM
wpvbekins NEW - $800.00 0 Mar/24/14 Nov/14/15
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
powersell007 NEW - $489.00 0 Mar/25/14 Dec/22/14
Description: *UNUSED* APPLIED MATERIALS AMAT 0200-10073 QUARTZ INSULATOR 871//196-0428 200mm
svcstore Used - $699.99 0 Mar/25/14 Mar/28/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $181.99 0 Mar/26/14 Apr/25/14
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore NEW - $299.99 0 Mar/26/14 Mar/29/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $935.99 0 Mar/28/14 Apr/27/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore Used - $699.99 0 Mar/28/14 Mar/31/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
ace449parts2010 Used - $990.00 1 Mar/29/14 Jun/17/16
Description: AMAT 0040-37265 CATHODE 200MM
athomemarket NEW - $509.99 0 Mar/29/14 Apr/28/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
svcstore NEW - $299.99 0 Mar/29/14 Apr/01/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
conquer_2011 NEW - $6,350.00 0 Mar/30/14 Apr/29/14
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
trees_for_a_better_tomorrow NEW - $95.00 0 Mar/30/14 Apr/06/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
supertechshop NEW - $249.00 0 Mar/31/14 Apr/30/14
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
svcstore Used - $699.99 0 Mar/31/14 Apr/03/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $236.99 0 Apr/01/14 May/01/14
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $370.99 0 Apr/01/14 May/01/14
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $502.99 0 Apr/01/14 May/01/14
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket Used - $3,875.99 0 Apr/02/14 May/02/14
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
svcstore NEW - $299.99 0 Apr/02/14 Apr/05/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket Used - $959.99 0 Apr/03/14 May/03/14
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
smtechkoreacom Used - $2,500.00 0 Apr/03/14 Jun/02/14
Description: AMAT 0010-38437 ASSY, P-CHUCK, 200MM, CIP 99
svcstore Used - $699.99 0 Apr/03/14 Apr/06/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
farmoninc NEW - $450.00 0 Apr/04/14 Aug/02/23
Description: AMAT 0200-10448 Insert Ring Silicon 200MM Flat (IS)
svcstore NEW - $299.99 0 Apr/05/14 Apr/08/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket Refurbished - $359.99 0 Apr/06/14 May/06/14
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
svcstore Used - $699.99 0 Apr/06/14 Apr/09/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $2,927.99 0 Apr/07/14 May/07/14
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Refurbished - $196.99 0 Apr/08/14 May/08/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Used - $939.99 0 Apr/08/14 May/08/14
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
svcstore NEW - $299.99 0 Apr/08/14 Apr/11/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
systasemi Used - $1,000.00 0 Apr/08/14 May/08/14
Description: Novellus 15-134732-00 / HUB,200mm,PED,CONCENTRIC LIFT
farmoninc NEW - $1,155.00 2 Apr/09/14 Aug/05/14
Description: AMAT 0020-36105 Chamber Insert 200MM TXZ CIP
svcstore NEW - $299.99 0 Apr/11/14 Apr/14/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
capitolareatech NEW - $1,250.00 0 Apr/11/14 Oct/08/14
Description: APPLIED MATERIALS (AMAT) 0010-13140 ASSY, 15-SLOT TRAY, 200MM, .450SPG
capitolareatech NEW - $638.88 2 Apr/11/14 Jul/03/14
Description: Applied Materials (AMAT) 0041-04323 Gas Box Silane, Bypassing 200MM
azbidder68 NEW - $425.00 0 Apr/11/14 Jun/06/14
Description: Lam Research Gate Valve PM Kit 200mm 849-030994-001 NEW!!
svcstore Used - $699.99 0 Apr/10/14 Apr/13/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore Used - $699.99 0 Apr/13/14 Apr/16/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
farmoninc NEW - $1,500.00 0 Apr/16/14 Jun/22/17
Description: AMAT 0242-15051 Kit, 0040-41961 Weldment, Press Equalization, 200MM Producer
farmoninc NEW - $1,600.00 1 Apr/16/14 Sep/30/14
Description: AMAT 0242-23528 Kit, End Point Detector, Producer 200MM
svcstore Used - $699.99 0 Apr/16/14 Apr/19/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
trees_for_a_better_tomorrow NEW - $95.00 0 Apr/17/14 Apr/24/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
farmoninc NEW - $450.00 0 Apr/17/14 Apr/26/17
Description: NEW AMAT 0200-00411 Top Ring, Quartz, 200MM, Oxide, 200 mm
farmoninc Used - $300.00 0 Apr/17/14 Oct/22/15
Description: AMAT 0020-10732 PLATE PUMPING BW 200MM
svcstore NEW - $299.99 0 Apr/17/14 Apr/20/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $299.00 0 Apr/17/14 May/17/14
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
athomemarket NEW - $1,817.99 0 Apr/19/14 May/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
svcstore Used - $699.99 0 Apr/19/14 Apr/22/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $307.99 0 Apr/20/14 May/20/14
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore NEW - $299.99 0 Apr/20/14 Apr/23/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
pnpsemi NEW - $6,000.00 0 Apr/20/14 May/16/14
Description: AMAT 0010-38437 ASSY, P-CHUCK, 200MM
athomemarket NEW - $100.99 0 Apr/21/14 May/21/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
generalpublicsales Scrap, for parts - $349.00 1 Apr/22/14 Apr/23/14
Description: NOVELLUS 15-118976-00 HDP ESC ELECTROSTATIC CHUCK 200MM BLACK PLATE HEATER
visionsemi NEW - $480.00 4 Apr/22/14 Oct/10/17
Description: LAM RESEARCH 716-018614-082 Focus Ring 200MM Jeida ESC 4420 NEW
svcstore Used - $699.99 0 Apr/22/14 Apr/25/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
supertechshop Used - $1,750.00 0 Apr/22/14 May/22/14
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
svcstore NEW - $299.99 0 Apr/23/14 Apr/26/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $299.99 0 Apr/26/14 Apr/29/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $935.99 0 Apr/27/14 May/27/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
trees_for_a_better_tomorrow NEW - $95.00 0 Apr/26/14 May/03/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
athomemarket NEW - $181.99 0 Apr/25/14 May/25/14
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
snatcher53 NEW - $50.00 0 Apr/26/14 May/03/14
Description: Ring Guard 200mm 15-028766-00
svcstore Used - $699.99 0 Apr/25/14 Apr/28/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
keykorea Used - $6,000.00 0 Apr/28/14 Nov/13/18
Description: AMAT 0240-43874 KIT, PLATEN DRIVE MTR- 200MM WITH 3970-00030 GEAR BOX, USED
wtservicecompany2011 Used - $1,200.00 0 Apr/28/14 Sep/12/14
Description: AMAT PEDESTAL ASSY, 200MM, NOTCH (1S), NI, LI 0010-10528
farmoninc NEW - $1,035.00 0 Apr/28/14 May/07/18
Description: AMAT 0010-92044 Cassette Tray 200MM
farmoninc NEW - $500.00 0 Apr/28/14 Oct/20/14
Description: AMAT 0200-10073 Insulator Quartz 200MM Simple Cathode
athomemarket NEW - $509.99 0 Apr/28/14 May/28/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
farmoninc NEW - $195.00 2 Apr/28/14 Jul/05/17
Description: NEW AMAT 0020-06202 NEST, 200mm YP-5073 CASSETTE NEST, WBL
farmoninc NEW - $1,150.00 1 Apr/28/14 May/17/16
Description: NEW AMAT 0010-12988 ASSY, EDGE CONTACT BLADE, MIRRA 200mm, AMAT 0190-08910
svcstore Used - $699.99 0 Apr/28/14 May/01/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $299.99 0 Apr/29/14 May/02/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
conquer_2011 NEW - $6,350.00 0 Apr/29/14 May/29/14
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
visionsemi NEW - $695.00 1 May/01/14 Oct/31/14
Description: APPLIED MATERIALS AMAT 0200-10194 SHIELD TAPERED 200MM
athomemarket NEW - $502.99 0 May/01/14 May/31/14
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $236.99 0 May/01/14 May/31/14
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $370.99 0 May/01/14 May/31/14
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
supertechshop NEW - $249.00 0 May/01/14 May/31/14
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
svcstore Used - $699.99 0 May/01/14 May/04/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $3,875.99 0 May/02/14 Jun/01/14
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
svcstore NEW - $299.99 0 May/02/14 May/05/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
baybimmer Used - $1,100.00 0 May/03/14 May/13/14
Description: AMAT 0010-38438 C-Chuck 200mm
athomemarket Used - $959.99 0 May/03/14 Jun/02/14
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
snatcher53 NEW - $50.00 0 May/03/14 May/10/14
Description: Ring Guard 200mm 15-028766-00
xsysengineering Used - $1,200.00 0 May/04/14 May/11/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
xsysengineering Used - $999.00 0 May/04/14 May/11/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
svcstore Used - $699.99 0 May/04/14 May/07/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
pnpsemi NEW - $6,000.00 0 May/16/14 May/19/14
Description: AMAT 0010-38437 ASSY, P-CHUCK, 200MM
svcstore NEW - $299.99 0 May/16/14 May/19/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
artsemi Used - $3,800.00 1 May/16/14 Aug/23/16
Description: Novellus Spindle Assy 200mm 02-106507-00 SEQUEL Ferro Upper w/Shaft Ferrofluidic
artsemi Used - $250.00 1 May/12/14 Nov/16/15
Description: Novellus C2 ESC, 200mm, 02-121642-00 Tested By OEM w/Data TST-2044, 15-121119-00
snatcher53 NEW - $50.00 0 May/11/14 May/18/14
Description: Ring Guard 200mm 15-028766-00
athomemarket NEW - $1,817.99 0 May/19/14 Jun/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
keykorea Used - $4,500.00 0 May/19/14 Apr/11/18
Description: AMAT 0040-32927 HOUSING, BRUSH MODULE, 200MM , USED
svcstore NEW - $299.99 0 May/19/14 May/22/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
snatcher53 NEW - $50.00 0 May/19/14 May/26/14
Description: Ring Guard 200mm 15-028766-00
supertechshop NEW - $299.00 0 May/20/14 Jun/19/14
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
athomemarket NEW - $307.99 0 May/20/14 Jun/19/14
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
fablogic NEW - $325.00 3 May/21/14 May/22/14
Description: Applied Materials PVD 0200-00221 Insulator, 200MM PCII (New)
resalesavings NEW - $350.00 0 May/21/14 May/28/14
Description: Applied Materials 0021-11186 LINER, EXHAUST, NI PLATED, 200MM
athomemarket NEW - $100.99 0 May/21/14 Jun/20/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
yayais2012 NEW - $200.00 0 May/22/14 Jun/21/14
Description: Applied Material BLOCKER PLATE 0021-25875 200MM
fablogic NEW - $275.00 3 May/22/14 Aug/20/14
Description: Applied Materials PVD 0200-00221 Insulator, 200MM PCII (New)
svcstore NEW - $299.99 0 May/23/14 May/26/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
xsysengineering Used - $1,200.00 0 May/23/14 May/30/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
xsysengineering Used - $999.00 0 May/23/14 May/30/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
svcstore Used - $599.99 0 May/24/14 May/27/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $181.99 0 May/25/14 Jun/24/14
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore NEW - $299.99 0 May/26/14 May/29/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $935.99 0 May/27/14 Jun/26/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore Used - $599.99 0 May/27/14 May/30/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
grandbirdnet Used - $2,000.00 0 May/28/14 Jun/01/23
Description: AMAT 0040-54386 CUP, HCLU 200MM , USED
dr.fantom NEW - $800.00 1 May/28/14 Aug/26/14
Description: SMG AMAT 0205-01830 Robot Blade Assembly 150MM SET (2 x 0010-29736 Blade 200MM)
dr.fantom Used - $450.00 2 May/28/14 May/31/14
Description: Applied Materials AMAT 0090-02346 HEATER RF FILTER 200MM
supertechshop Used - $1,750.00 0 May/28/14 Jun/27/14
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
athomemarket NEW - $509.99 0 May/28/14 Jun/27/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
svcstore NEW - $299.99 0 May/29/14 Jun/01/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
conquer_2011 NEW - $6,350.00 0 May/29/14 Jun/28/14
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
keykorea NEW - $800.00 1 May/29/14 Apr/18/15
Description: AMAT 0021-09630 SHIELD,GROUND,200MM CATHODE,DPS , NEW
xsysengineering Used - $999.00 0 May/30/14 Jun/06/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering Used - $1,200.00 0 May/30/14 Jun/06/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
svcstore Used - $599.99 0 May/30/14 Jun/02/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
conquer_2011 NEW - $4,448.00 0 Jul/29/14 Aug/05/14
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
athomemarket NEW - $236.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $370.99 0 Jul/30/14 Aug/29/14
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $502.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
svcstore Used - $599.99 0 Jul/29/14 Aug/01/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $299.99 0 Jul/30/14 Aug/02/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket Used - $3,875.99 0 Jul/31/14 Aug/30/14
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
supertechshop Used - $1,750.00 0 Aug/01/14 Aug/31/14
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
athomemarket Used - $959.99 0 Aug/01/14 Aug/31/14
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
svcstore Used - $599.99 0 Aug/01/14 Aug/04/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $299.99 0 Aug/02/14 Aug/05/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
keykorea NEW - $900.00 2 Aug/04/14 Sep/23/14
Description: AMAT 0200-02576 LIFT RING, FIXED LIFT PIN, 200MM PRODUCER , NEW
athomemarket Refurbished - $359.99 0 Aug/04/14 Sep/03/14
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
prism_electronics6 Used - $199.95 1 Aug/04/14 Aug/05/14
Description: NOVELLUS 200mm Flatted Electrostatic Chuck, 02-134263-00 Rev. G, S/N: 08481
prism_electronics6 Used - $249.95 1 Aug/04/14 Sep/19/14
Description: NOVELLUS 200mm Flatted Electrostatic Chuck, 02-121642-00 Rev. G, S/N: 9176
prism_electronics6 Used - $249.95 1 Aug/04/14 Sep/19/14
Description: NOVELLUS 200mm Flatted Electrostatic Chuck, 02-121642-00 Rev. G, S/N: 051715
prism_electronics6 Scrap, for parts - $79.90 1 Aug/04/14 Dec/15/14
Description: NOVELLUS 200mm Flatted Electrostatic Chuck, 02-121642-00 Rev. G, S/N: 051693
velocity-semiconductor-equipment NEW - $95.00 0 Aug/04/14 Sep/03/14
Description: AMAT STAGE 200MM INDEXER CASSETTE SUPPORT 0020-22740
velocity-semiconductor-equipment NEW - $165.00 0 Aug/04/14 Sep/03/14
Description: AMAT ADAPTOR ISO/THROTTLE CLUSTER 200MM PROD 0021-04499
xsysengineering Used - $999.00 0 Aug/04/14 Aug/11/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering Used - $1,200.00 0 Aug/04/14 Aug/11/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
svcstore Used - $599.99 0 Aug/04/14 Aug/07/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
conquer_2011 NEW - $6,500.00 0 Aug/05/14 Sep/04/14
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
athomemarket Used - $2,927.99 0 Aug/05/14 Sep/04/14
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
svcstore NEW - $299.99 0 Aug/05/14 Aug/08/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
usedeqsales NEW - $808.14 0 Aug/06/14 May/04/15
Description: Kawasaki 50480-0106 TX210 200mm Robot Blade STD Deck Pads AMAT 0190-39315 New
sparesllc09 NEW - $4,000.00 0 Aug/06/14 May/04/15
Description: COLLAR RING, SHOWER HEAD, 200MM FLAT, ES AMAT 0021-00759
resalesavings NEW - $200.00 0 Aug/06/14 Aug/13/14
Description: Applied Materials 0021-11186 LINER, EXHAUST, NI PLATED, 200MM
athomemarket Refurbished - $196.99 0 Aug/06/14 Sep/05/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Used - $939.99 0 Aug/06/14 Sep/05/14
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
supertechshop NEW - $299.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
svcstore Used - $599.99 0 Aug/07/14 Aug/10/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
resalesavings NEW - $200.00 0 Aug/14/14 Aug/21/14
Description: Applied Materials 0021-11186 LINER, EXHAUST, NI PLATED, 200MM
xsysengineering Used - $999.00 0 Aug/13/14 Aug/20/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering Used - $1,200.00 0 Aug/13/14 Aug/20/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
svcstore Used - $599.99 0 Aug/13/14 Aug/16/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
supertechshop NEW - $249.00 0 Aug/11/14 Sep/10/14
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
svcstore Used - $599.99 0 Aug/16/14 Aug/19/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $1,817.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $307.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $100.99 0 Aug/19/14 Sep/18/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
farmoninc NEW - $450.00 0 Aug/20/14 Sep/02/21
Description: AMAT 0200-09547 Ceramic Clamp Ring, 200MM, 194MM, Notch
ace449parts2010 Refurbished - $3,000.00 0 Aug/20/14 Aug/20/14
Description: AMAT, 0010-15668 ASSY, CERAMIC ESC, 200MM SNNF, DPS PLUS
ace449parts2010 Refurbished - $2,500.00 0 Aug/20/14 Jan/19/15
Description: AMAT, 0010-15668 ASSY, CERAMIC ESC, 200MM SNNF, DPS PLUS
svcstore Used - $599.99 0 Aug/20/14 Aug/23/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
yayais2012 Used - $350.00 0 Aug/20/14 Sep/19/14
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
xsysengineering Used - $999.00 0 Aug/21/14 Aug/28/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering Used - $1,200.00 0 Aug/21/14 Aug/28/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
farmoninc NEW - $350.00 0 Aug/21/14 Jun/01/23
Description: AMAT 0021-13695 Plate Hard Stop 200MM CWXZ
farmoninc NEW - $650.00 0 Aug/21/14 Jun/01/23
Description: AMAT 0040-04751 Plate Cool Down 200MM SWLL
ecomicron NEW - $52,000.00 0 Aug/22/14 Jan/23/15
Description: ASSY, SNNF 200MM SUB ZERO BESC W/MCA, 0010-04450
athomemarket NEW - $181.99 0 Aug/23/14 Sep/22/14
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore Used - $599.99 0 Aug/23/14 Aug/26/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
resalesavings NEW - $200.00 0 Aug/25/14 Sep/01/14
Description: Applied Materials 0021-11186 LINER, EXHAUST, NI PLATED, 200MM
farmoninc NEW - $450.00 0 Aug/25/14 Jun/01/23
Description: AMAT 1270-00380 SW Assy ST1,2 Mapping Interlock 200MM FA
athomemarket NEW - $935.99 0 Aug/25/14 Sep/24/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
farmoninc Used - $250.00 1 Aug/25/14 Aug/03/17
Description: AMAT 0020-10402 Pumping Plate, 200MM
svcstore NEW - $199.99 0 Aug/25/14 Aug/28/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
farmoninc NEW - $1,350.00 0 Aug/26/14 May/07/18
Description: 5 AMAT 0020-32132 Insert Outer N15 200MM ESC
athomemarket NEW - $509.99 0 Aug/26/14 Sep/25/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
svcstore Used - $599.99 0 Aug/26/14 Aug/29/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
grandbirdnet Used - $1,900.00 0 Aug/27/14 Jun/01/23
Description: AMAT 0010-03175 SUSCEPTOR ASSY, TEOS 200MM , MANUFACTURER REFURBISHED
svcstore NEW - $199.99 0 Aug/28/14 Aug/31/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $236.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $502.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $370.99 0 Aug/29/14 Sep/28/14
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
svcstore Used - $599.99 0 Aug/29/14 Sep/01/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $3,875.99 0 Aug/30/14 Sep/29/14
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
athomemarket Used - $959.99 0 Aug/31/14 Sep/30/14
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
svcstore NEW - $199.99 0 Aug/31/14 Sep/03/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
xsysengineering Used - $1,200.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
xsysengineering Used - $999.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
svcstore Used - $599.99 0 Sep/01/14 Sep/04/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
xsysengineering Used - $750.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT) 0020-32909 ESC. 200mm
xsysengineering NEW - $375.00 0 Sep/02/14 Sep/09/14
Description: Applied Materials (AMAT) P/N: 0200-09074 Quartz heater window 200mm
velocity-semiconductor-equipment NEW - $95.00 0 Sep/03/14 Oct/03/14
Description: AMAT STAGE 200MM INDEXER CASSETTE SUPPORT 0020-22740
svcstore NEW - $199.99 0 Sep/03/14 Sep/06/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
velocity-semiconductor-equipment NEW - $165.00 0 Sep/03/14 Oct/03/14
Description: AMAT ADAPTOR ISO/THROTTLE CLUSTER 200MM PROD 0021-04499
athomemarket Refurbished - $359.99 0 Sep/04/14 Oct/04/14
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
athomemarket Used - $2,927.99 0 Sep/04/14 Oct/04/14
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
svcstore Used - $599.99 0 Sep/04/14 Sep/07/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
resalesavings NEW - $125.00 0 Sep/04/14 Sep/11/14
Description: Applied Materials 0021-11186 LINER, EXHAUST, NI PLATED, 200MM
supertechshop Used - $1,750.00 0 Sep/05/14 Oct/05/14
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
athomemarket Refurbished - $196.99 0 Sep/05/14 Oct/05/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Used - $939.99 0 Sep/05/14 Oct/05/14
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
svcstore NEW - $199.99 0 Sep/06/14 Sep/09/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
xl-t_com NEW - $50.00 1 Sep/07/14 Jun/26/15
Description: APPLIED MATERIALS - 0020-22918 - SIDE RECIEVER 200MM CASSETTE
svcstore Used - $599.99 0 Sep/07/14 Sep/10/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
conquer_2011 NEW - $6,500.00 0 Sep/07/14 Oct/07/14
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
athomemarket NEW - $271.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $71.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket Used - $1,211.99 0 Sep/08/14 Oct/08/14
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
supertechshop NEW - $299.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
svcstore NEW - $199.99 0 Sep/09/14 Sep/12/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $599.99 0 Oct/02/14 Oct/05/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
yayais2012 Used - $350.00 0 Oct/01/14 Oct/31/14
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore NEW - $183.99 0 Oct/03/14 Oct/06/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket Refurbished - $359.99 0 Oct/04/14 Nov/03/14
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
velocity-semiconductor-equipment NEW - $165.00 0 Oct/03/14 Oct/06/14
Description: AMAT ADAPTOR ISO/THROTTLE CLUSTER 200MM PROD 0021-04499
velocity-semiconductor-equipment NEW - $95.00 0 Oct/03/14 Oct/06/14
Description: AMAT STAGE 200MM INDEXER CASSETTE SUPPORT 0020-22740
svcstore Used - $599.99 0 Oct/11/14 Oct/14/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $183.99 0 Oct/10/14 Oct/13/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $499.99 0 Dec/02/14 Dec/05/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
yayais2012 Used - $280.00 0 Dec/02/14 Jan/01/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
equipplus Used - $399.00 1 Nov/27/14 Dec/02/17
Description: Lam Research 713-250733-001 Rev A Plate,Test,Top,200mm,PROC,CHMB,Unused
keykorea NEW - $1,900.00 0 Dec/04/14 Dec/10/14
Description: AMAT 0140-08724 HARNESS, E-CHAIN AI/O HEAD, 200MM , NEW
svcstore NEW - $199.99 0 Dec/03/14 Dec/06/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
athomemarket Used - $2,929.99 0 Dec/04/14 Jan/03/15
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
jhkkim7636 Refurbished - $300.00 0 Dec/05/14 Dec/09/14
Description: 0200-00317 ISOLATOR PUMPING RING BOTTOM 200MM PRODUCER
athomemarket Used - $947.99 0 Dec/05/14 Jan/04/15
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
athomemarket Refurbished - $199.99 0 Dec/05/14 Jan/04/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
svcstore NEW - $156.99 0 Dec/05/14 Dec/08/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $149.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $199.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $49.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $189.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $149.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
gti-semi NEW - $10,400.00 0 Dec/06/14 Nov/26/19
Description: Applied Materials, AMAT, TARGET - CO 5N 200MM ALPS, p/n 0190-00221
spire-tec_sales Refurbished - $8,000.00 0 Dec/05/14 Dec/15/14
Description: 0010-03244 AMAT 200MM HP TXZ Heater Assy
svcstore NEW - $199.99 0 Dec/06/14 Dec/09/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
allpart2014 Used - $1,450.00 0 Dec/07/14 Mar/30/15
Description: AMAT 0010-09337 P5000 CVD 200mm Lamp Module sold AS-IS
athomemarket NEW - $273.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket Used - $1,213.99 0 Dec/07/14 Jan/06/15
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
athomemarket NEW - $74.99 1 Dec/07/14 Jan/06/15
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
sourceprocess909 Used - $22,000.00 0 Dec/08/14 Dec/08/14
Description: AMAT MCA HEATER 0010-03254 200mm MCA E-Chuck Heater
svcstore NEW - $156.99 0 Dec/08/14 Dec/11/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $149.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/08/14 Dec/11/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
svcstore NEW - $199.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $49.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $189.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $499.99 0 Dec/08/14 Dec/11/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $149.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $199.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
keykorea NEW - $450.00 2 Dec/09/14 Sep/04/16
Description: AMAT 0200-09072 SHIELD, 200MM , NEW
jhkkim7636 Refurbished - $300.00 1 Dec/10/14 Dec/30/14
Description: AMAT 0200-00317 ISOLATOR PUMPING RING BOTTOM 200MM PRODUCER
svcstore NEW - $156.99 0 Dec/11/14 Dec/14/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $149.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/11/14 Dec/14/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
svcstore NEW - $189.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $199.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
supertechshop Used - $1,750.00 0 Dec/11/14 Jan/10/15
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
svcstore Used - $499.99 0 Dec/11/14 Dec/14/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $49.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
conquer_2011 NEW - $5,950.00 0 Dec/12/14 Jan/11/15
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore NEW - $199.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $149.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
sparesllc09 NEW - $800.00 1 Dec/06/11 Dec/10/14
Description: APPLIED MATERIALS 0040-54102 LID,CHAMBER, 200MM
keykorea NEW - $1,600.00 4 Oct/07/14 Dec/05/14
Description: AMAT 0040-02520 GAS BOX, SILANE, 200MM PRODUCER, Qualified 2ND NEW
usedeqsales NEW - $312.11 1 Dec/12/11 Nov/25/14
Description: Applied Materials AMAT 0200-09217 Quartz Ring 200MM New
powersell007 NEW - $15,999.00 1 Oct/28/14 Nov/11/14
Description: APPLIED MATERIALS 0010-03841 OUTSOURCED ASSY,CERAMIC HEATER, 200MM PRODUCER AMAT
svcstore NEW - $156.99 0 Dec/14/14 Dec/17/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $149.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $189.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
techshop7777 Used - $1,250.00 0 Dec/14/14 Jan/14/15
Description: AMAT 0010-04542 M WXZ HEATER ASSY Applied Materials 0040-04542, 200mm
svcstore NEW - $199.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/14/14 Dec/21/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
svcstore Used - $499.99 0 Dec/14/14 Dec/17/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $49.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
spire-tec_sales Refurbished - $8,000.00 0 Dec/15/14 Jan/14/15
Description: 0010-03244 AMAT 200MM HP TXZ Heater Assy
kingprecision NEW - $11,500.00 0 Dec/15/14 Feb/03/16
Description: 0010-03244 NEW 200MM HP TXZ Heater Assy NEW with WARRANTY AND CERTS
svcstore NEW - $199.99 0 Dec/15/14 Dec/18/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $149.99 0 Dec/15/14 Dec/18/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
athomemarket NEW - $1,827.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
supertechshop NEW - $249.00 0 Dec/17/14 Jan/16/15
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
supertechshop NEW - $299.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
farmoninc NEW - $550.00 0 Dec/17/14 Apr/10/16
Description: AMAT 0021-24888 Spring Plate, QTZ Dome W/GVDE, 200MM DPN, Quartz
benta09 NEW - $99.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0020-31305 //INSERT, ALUM, OUTER, 200MM POLY, 218MM
supertechshop Used - $495.00 0 Dec/17/14 Jan/16/15
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
athomemarket NEW - $311.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
gigabitpartsolutions NEW - $175.00 0 Dec/17/14 Jul/24/15
Description: ADAPTOR, ISO/THROTTLE CLUSTER 200MM PRODUCER 0021-04499 AMAT
gigabitpartsolutions NEW - $145.00 0 Dec/17/14 Jul/24/15
Description: STAGE, 200MM INDEXER CASSETTE SUPPORT 0020-22740 AMAT
gti-semi NEW - $1,380.00 0 Dec/17/14 Jan/16/15
Description: AMAT, Applied Materials, RING CERAMIC 200MM NITRIDE, p/n 0200-09405 Rev A
svcstore NEW - $156.99 0 Dec/17/14 Dec/20/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $149.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $189.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $199.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $499.99 0 Dec/17/14 Dec/20/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
prism_electronics6 Used - $259.95 1 Oct/13/14 Dec/16/14
Description: Novellus 02-121642-00 Rev: G, Flatted ESC 200mm TST-2044I, S/N 051746
prism_electronics6 Used - $259.95 1 Oct/13/14 Dec/16/14
Description: Novellus 02-121642-00 Rev: G, Flatted ESC 200mm TST-2044I, S/N 9089
prism_electronics6 Used - $259.95 1 Oct/13/14 Dec/16/14
Description: Novellus 02-121642-00 Rev: G, Flatted ESC 200mm TST-2044I, S/N 9157
prism_electronics6 Used - $259.95 1 Oct/13/14 Dec/16/14
Description: NOVELLUS 200mm Flatted Electrostatic Chuck, S/N: 9176, 02-121642-00 Rev. G
prism_electronics6 Used - $259.95 1 Oct/13/14 Dec/16/14
Description: NOVELLUS 200mm Flatted Electrostatic Chuck,, S/N: 051715, 02-121642-00 Rev. G
prism_electronics6 Used - $279.99 1 May/08/14 Dec/16/14
Description: Novellus 02-121642-00 Rev: G, S/N 051692, Flatted ESC 200mm TST-2044I
athomemarket NEW - $103.99 0 Dec/18/14 Jan/17/15
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
testeqe Used - $3,499.99 0 Dec/18/14 Jul/05/17
Description: Novellus PN: 16-131278-00 200mm Heater Block, Low Contact Area 8"
svcstore NEW - $49.99 0 Dec/18/14 Dec/21/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $199.99 0 Dec/18/14 Dec/21/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $149.99 0 Dec/18/14 Dec/21/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
used1eqsales NEW - $452.31 1 May/07/14 Dec/19/14
Description: AMAT Applied Materials 0240-28181 Heater Installation BESC Kit AMAT Endura 200mm
svcstore NEW - $156.99 0 Dec/20/14 Dec/23/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $149.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $199.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $189.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $499.99 0 Dec/20/14 Dec/23/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $49.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $199.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $149.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
athomemarket NEW - $192.99 0 Dec/22/14 Jan/21/15
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
farmoninc NEW - $20,000.00 0 Dec/23/14 Aug/03/15
Description: AMAT 0080-77072 LIQUID DELIVERY SYSTEM, M-200-T31-010, MICROBAR MEG 200MM LDM
svcstore NEW - $156.99 0 Dec/23/14 Dec/26/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $149.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $199.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $189.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $499.99 0 Dec/23/14 Dec/26/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $942.99 0 Dec/24/14 Jan/23/15
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore NEW - $149.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $49.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $199.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
athomemarket NEW - $512.99 0 Dec/25/14 Jan/24/15
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
capitolareatech NEW - $155.93 7 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-01317 Outer Shield, TXZ 200MM
capitolareatech NEW - $98.82 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-02624 CLAMP ISOLATOR, VIEW LID, 200MM CUXZ
capitolareatech NEW - $422.58 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-02818 FLANGE, THROTTLE VALVE, 200MM SACVD PROD
capitolareatech NEW - $750.60 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0021-04686 PLATE, HEATER LEVELING, 200MM UNIVERSAL
capitolareatech NEW - $271.94 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-06305 200MM MDP LEFT Wafer Support Fin
capitolareatech NEW - $271.94 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-06306 200MM MDP Right Weather Support Fin
capitolareatech NEW - $1,300.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-09152 PEDESTAL, 200MM, SHOWER DBL NOTCH
capitolareatech NEW - $6,180.30 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-07962 RING, CLAMP, LOWER, 200MM, LTE/E-CLEAN
capitolareatech Refurbished - $3,500.00 0 Dec/26/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 0040-18023 PEDESTAL,COATED,200MM,SNNF,MK4,CVD
capitolareatech NEW - $1,953.42 0 Dec/26/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0040-34148 LINER, GDP, 43R HOLE, HART 200MM
capitolareatech NEW - $2.21 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0030-00233 SHIELD,CLIP,200MM OXIDE
capitolareatech NEW - $259.88 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0030-09037 Seal, 200mm Pedestal Face CHEMRAZ
capitolareatech NEW - $700.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-09961 Pedestal, 200mm Notch, IS, NI Lift2, HVCE
capitolareatech NEW - $150.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-78284 CABLE CLEANER EMO 1 200MM
capitolareatech NEW - $275.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-27682 Chamber MAINT Wafer 200MM OEE
capitolareatech NEW - $42.71 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-00051 WINDOW, LID ENDPOINT, QTZ. EMAX 200MM
capitolareatech NEW - $272.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0200-00089 CAP,TOP,QUARTZ,ASP+F,200MM
capitolareatech NEW - $1,200.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-00144 Susceptor, XZYARB, 200MM, EPI
capitolareatech NEW - $2,986.56 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0200-01001 HOOP V2,CENTERING, 200MM IBC,SF3 ECP
capitolareatech NEW - $29.07 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0770-01071 HERAEUS 0978-4754 WFR 200MM NOTCH QUARTZ
capitolareatech NEW - $255.52 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-94808 Fixed Restraints, 200mm,
capitolareatech NEW - $21.09 0 Dec/27/14 Nov/22/15
Description: NOVELLUS 10-024502-00 TUBE,DIVERT,LOWER,200mm,SYST.
capitolareatech Refurbished - $187.50 0 Dec/27/14 Feb/24/16
Description: LAM RESEARCH (LAM) 713-031390-001 RING, UPR GAS DUAL FEED 200MM
svcstore Used - $499.99 0 Dec/27/14 Dec/30/14
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
capitolareatech Used - $50.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10111 PIN, WAFER LIFT, METAL HOOP, 200MM PLASM
capitolareatech NEW - $576.09 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10129 RING,ISOLATOR,200MM
capitolareatech NEW - $347.28 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 0200-10192 SHIELD, DELTA NITRIDE, 200MM
capitolareatech NEW - $1,100.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10267 COVER,QUARTZ,200MM FLAT,S-XTAL,HIGH PROF
capitolareatech NEW - $597.80 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 0200-10292 COLLAR, OUTER, QUARTZ, 200MM ESC
athomemarket NEW - $372.99 0 Dec/28/14 Jan/27/15
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $240.99 0 Dec/28/14 Jan/27/15
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $505.99 0 Dec/28/14 Jan/27/15
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
xl-t_com NEW - $75.00 0 Dec/29/14 Aug/31/16
Description: APPLIED MATERIALS - 0040-33541 - BRACKET, UPPER SHIELD, 200MM
athomemarket Used - $3,877.99 0 Dec/29/14 Jan/28/15
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
testeqe Used - $999.99 0 Dec/29/14 Dec/10/15
Description: Applied Materials AMAT PN: 0270-90168 Cassette-Blade Alignment Jig 200mm 8" xR80
xl-t_com NEW - $600.00 0 Dec/29/14 Jan/28/15
Description: APPLIED MATERIALS - 0040-01671 - SPIDER CLIP 200MM SRP ECP
svcstore NEW - $137.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $183.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Dec/29/14 Jan/01/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $137.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $174.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $45.99 0 Dec/30/14 Jan/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
athomemarket Used - $966.99 0 Dec/30/14 Jan/29/15
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
farmoninc NEW - $250.00 0 Dec/30/14 Mar/02/23
Description: AMAT 0010-22229 ASSY CASS PRESENT SENSOR 200MM LLB, 0040-24029, 0090-20235
svcstore Used - $499.99 0 Dec/30/14 Jan/02/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
farmoninc NEW - $200.00 0 Jan/01/15 Mar/02/23
Description: AMAT 0140-09464 H/A, MV INTERLOCK, CH C & D, DCSXZ ON IPCC 200MM
svcstore NEW - $183.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $137.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
yayais2012 Used - $350.00 0 Jan/01/15 Jan/31/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore NEW - $183.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Jan/01/15 Jan/04/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $174.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
trees_for_a_better_tomorrow NEW - $100.00 0 Jan/02/15 Jan/09/15
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
athomemarket Refurbished - $361.99 0 Jan/02/15 Feb/01/15
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
svcstore NEW - $45.99 0 Jan/02/15 Jan/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Jan/02/15 Jan/05/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $2,929.99 0 Jan/03/15 Feb/02/15
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Used - $947.99 0 Jan/04/15 Feb/03/15
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
athomemarket Refurbished - $199.99 0 Jan/04/15 Feb/03/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
supertechshop NEW - $1,499.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
svcstore NEW - $183.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jan/04/15 Jan/07/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $183.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $174.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $45.99 0 Jan/05/15 Jan/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
athomemarket NEW - $260.99 0 Jan/06/15 Feb/05/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $74.99 0 Jan/06/15 Jan/13/15
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket Used - $1,170.99 0 Jan/06/15 Feb/05/15
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
farmoninc Used - $700.00 0 Jan/06/15 Mar/02/23
Description: AMAT 0020-32151 ESC Chuck, Pedestal 200mm, Notch HVIB, 0040-09797, 400259
farmoninc Used - $900.00 0 Jan/06/15 Mar/02/23
Description: AMAT 0020-32151 ESC Chuck, Pedestal 200mm, Notch HVIB, 0040-09797, 400257
svcstore NEW - $183.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $137.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $183.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Jan/07/15 Jan/10/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
dr.fantom Refurbished - $80.00 0 Jan/08/15 Feb/12/15
Description: Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
dr.fantom Refurbished - $80.00 0 Jan/08/15 Feb/12/15
Description: Applied Materials AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore NEW - $174.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $45.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
keykorea NEW - $1,600.00 5 Jan/08/15 Apr/28/17
Description: AMAT 0040-02520 GAS BOX, SILANE, 200MM PRODUCER, Qualified 2ND NEW
svcstore Used - $499.99 0 Jan/08/15 Jan/11/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
keykorea Used - $700.00 2 Jan/09/15 Jul/26/16
Description: AMAT 0100-01646 PCB ASSY, UPA DISTRIBUTION BD., 200MM 5- , USED
farmoninc NEW - $950.00 0 Jan/09/15 Jan/26/15
Description: AMAT 0010-70601 ASSY, SPEC. CASS PRESENT LLB 200MM, BANNER SM312LV,0010-76993
powersell007 Used - $159.00 11 Jan/10/15 Mar/20/19
Description: APPLIED MATERIALS 0200-10073 INSULATOR QUARTZ 200MM SIMPLE CATHODE AMAT CLEANED
bornalliancecom NEW - $745.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials PVD 0200-00221 Insulator, 200MM PCII (New)
svcstore NEW - $137.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $183.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jan/10/15 Jan/13/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $183.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
conquer_2011 NEW - $5,950.00 0 Jan/11/15 Feb/10/15
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
ace449parts2010 NEW - $125.00 1 Jan/11/15 Jun/11/15
Description: AMAT 0020-90213 BLOCKER PLATE SILANE 200mm NEW
ace449parts2010 Refurbished - $250.00 0 Jan/11/15 Jun/04/16
Description: LAM 200MM 716-011763-008 RING EDGE WAFER CLAMP
svcstore NEW - $45.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
helixtek NEW - $150.00 1 Jan/11/15 Jan/12/15
Description: Amat Mirra 200mm cmp polisher robot cable 0140-05027
svcstore Used - $499.99 0 Jan/11/15 Jan/14/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
dr.fantom NEW - $499.99 0 Jan/12/15 Feb/12/15
Description: Applied Materials AMAT 0190-35388 P3 8" 200mm Emissometer High Emissivity Wafer
dr.fantom NEW - $499.99 0 Jan/12/15 Feb/12/15
Description: Applied Materials AMAT 0190-04665 001 8" 200mm Radiance Emissometer Wafer
usedeqsales NEW - $3,501.15 0 Jan/12/15 Jan/15/15
Description: AMAT Applied Materials 0010-23172 RF Match HDPCVD 200mm Ultima X New
supertechshop Used - $1,750.00 0 Jan/12/15 Feb/11/15
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
prism_electronics8 Used - $1,188.66 1 Jan/12/15 Jun/23/17
Description: Novellus 16-156335-00 200mm Heater Assembly
prism_electronics8 Used - $1,188.66 1 Jan/12/15 Jun/30/17
Description: Novellus 16-140656-00 200mm AP5100 33 03 002 Heater Assembly
svcstore NEW - $137.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
helixtek NEW - $7,000.00 0 Jan/13/15 May/07/15
Description: Applied materials mirra 200mm cmp polisher model 3400 0100-13016 ASSEMBLY, PCB,
svcstore NEW - $183.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
helixtek NEW - $30.00 0 Jan/13/15 May/07/15
Description: Applied materials mirra 200mm cmp polisher long robot flange 0020-77337
helixtek NEW - $950.00 8 Jan/13/15 Dec/20/15
Description: Amat mirra 200mm cmp polisher model 3400 Titanii 4 port spindle 0040-77641
svcstore NEW - $137.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jan/13/15 Jan/16/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $183.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
tdindustrial NEW - $55.00 0 Jan/14/15 Nov/19/21
Description: AMAT Membrane EPDM 200MM Titan Head, 0020-75129 (lot of 6), New
svcstore NEW - $45.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $499.99 0 Jan/14/15 Jan/17/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $1,799.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
helixtek NEW - $2,500.00 1 Jan/15/15 Feb/20/15
Description: Applied Materials 3400 Mirra CMP 200mm Robot Blade-End Effector 0040-82678
helixtek Used - $450.00 0 Jan/15/15 Aug/28/16
Description: Applied Materials 3400 Mirra CMP 200mm Robot Drive belt pulley 0020-78432
helixtek NEW - $60.00 0 Jan/15/15 May/07/15
Description: Applied materials mirra 200mm cmp polisher Robot x- track travel flag 0021-79809
systasemi Used - $8,800.00 0 Jan/16/15 Dec/07/16
Description: AMAT W/H C.O.E LOADLOCK CHAMBER ASSY,200mm, 0010-70064, P5000 ROBOT, ARM, Paddle
athomemarket NEW - $293.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
benta09 NEW - $99.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0020-31305 //INSERT, ALUM, OUTER, 200MM POLY, 218MM
svcstore NEW - $45.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Jan/16/15 Jan/19/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $137.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $183.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
athomemarket NEW - $92.99 0 Jan/17/15 Feb/16/15
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore Used - $499.99 0 Jan/17/15 Jan/20/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
supertechshop Used - $495.00 0 Jan/19/15 Feb/18/15
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
supertechshop NEW - $249.00 1 Jan/19/15 Feb/05/15
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
supertechshop NEW - $299.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
svcstore NEW - $137.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $183.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jan/19/15 Jan/22/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $183.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $45.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $499.99 0 Jan/20/15 Jan/23/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
powersell007 NEW - $599.00 1 Jan/21/15 Sep/15/15
Description: APPLIED MATERIALS 0200-35783 SHADOW RING, 200MM NOTCH(2), SI/QTZ OXID AMAT *NEW*
athomemarket NEW - $166.99 0 Jan/21/15 Feb/20/15
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore NEW - $137.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $183.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jan/22/15 Jan/25/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
redrockranch NEW - $399.00 0 Jan/23/15 Jan/14/16
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
usedeqsales Used - $2,001.15 1 Jan/23/15 Jan/23/15
Description: Asyst 9700-6197-01 200mm SMIF Indexer 220 EP AMAT 0500-00245 Used Working
athomemarket NEW - $920.99 0 Jan/23/15 Feb/22/15
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore NEW - $183.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $45.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $499.99 0 Jan/23/15 Jan/26/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $497.99 0 Jan/24/15 Feb/23/15
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
svcstore NEW - $137.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $183.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $183.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Jan/26/15 Jan/29/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
helixtek Used - $700.00 0 Jan/24/15 May/07/15
Description: Applied materials mirra 200mm cmp r3 way NC digital Pneutronic board 3870-02365
svcstore Used - $499.99 0 Jan/26/15 Jan/29/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $360.99 0 Jan/27/15 Feb/26/15
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $492.99 0 Jan/27/15 Feb/26/15
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $225.99 0 Jan/27/15 Feb/26/15
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket Used - $3,821.99 1 Jan/28/15 Feb/11/15
Description: AMAT 0010-05257 DxZ Heater Assembly 8" Forged Al 200mm
svcstore NEW - $183.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $137.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
athomemarket Used - $944.99 0 Jan/29/15 Feb/28/15
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
svcstore NEW - $144.99 0 Jan/29/15 Feb/01/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
helixtek Used - $185.00 0 Jan/29/15 May/07/15
Description: Applied materials mirra 200mm cmp mirra interlock pcp 0100-77037
svcstore Used - $499.99 0 Jan/29/15 Feb/01/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $183.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $137.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
athomemarket Refurbished - $330.99 0 Feb/01/15 Mar/03/15
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
svcstore NEW - $144.99 0 Feb/01/15 Feb/04/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
yayais2012 Used - $315.00 0 Feb/01/15 Mar/03/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore Used - $499.99 0 Feb/01/15 Feb/04/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Used - $2,881.99 0 Feb/02/15 Mar/04/15
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
dr.fantom NEW - $380.00 0 Feb/03/15 Feb/12/15
Description: Applied Materials / AMAT 0020-46478 Gasket Damped Gimbal 200MM 5 ZONE PROFILER
athomemarket Refurbished - $184.99 0 Feb/03/15 Mar/05/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
usedeqsales NEW - $4,502.15 0 Feb/03/15 Apr/30/15
Description: Nor-Cal 3870-04598 Throttling Pendulum Valve 200mm AMAT Applied Materials New
athomemarket Used - $924.99 0 Feb/03/15 Mar/05/15
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
usedeqsales NEW - $1,002.15 0 Feb/03/15 May/01/15
Description: AMAT Applied Materials 0200-02866 200mm Low Profile Quartz Ring New
usedeqsales NEW - $502.15 1 Feb/03/15 Mar/14/15
Description: AMAT Applied Materials 0200-00234 200mm BKMI Enhanced Isolator Ceramic Ring New
svcstore NEW - $137.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $183.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $183.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Feb/04/15 Feb/07/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
getspares.com_sparesllc09 Used - $8,000.00 0 Feb/05/15 Sep/13/16
Description: 19-024227-00 / HEATER,200MM NG,HOLLO PINS NG/ NOVELLUS
athomemarket NEW - $260.99 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket Used - $1,170.99 0 Feb/05/15 Mar/07/15
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
prism_electronics11 Used - $499.99 0 Feb/05/15 Feb/23/15
Description: Lam Research 716-027963-001 Semiconductor Ring WAP GENERIC 12.5 ID 200mm
supertechshop NEW - $750.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
svcstore Used - $499.99 0 Feb/05/15 Feb/08/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
prism_electronics11 Scrap, for parts - $999.95 0 Feb/06/15 Feb/23/15
Description: Lam Research 859-015434-104 Rev C LAM Assy RTRY LFTR Mech 200mm 2 For Parts
prism_electronics11 Used - $259.95 0 Feb/06/15 Feb/23/15
Description: Lam Research 716-059674-002 REV A Ring CLP Electrode Bevel 200mm
prism_electronics11 Used - $499.99 0 Feb/06/15 Feb/23/15
Description: Lam Research 716-800842-001 Ceramic Shield Ring NSR FOC R SHLD 200mm JEIDA
svcstore NEW - $183.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $137.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $174.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Feb/07/15 Feb/10/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
svcstore Used - $499.99 0 Feb/08/15 Feb/11/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $183.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
conquer_2011 NEW - $5,950.00 0 Feb/10/15 Mar/12/15
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore NEW - $183.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Feb/10/15 Feb/13/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
powersell007 Used - $15,999.00 0 Feb/11/15 Mar/02/23
Description: APPLIED MATERIALS 0010-06825 R HEATER 200MM CERAMIC NGK 2.2 GIGA-FILL DxZ AMAT
supertechshop Used - $1,750.00 0 Feb/11/15 Mar/13/15
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
svcstore Used - $499.99 0 Feb/11/15 Feb/14/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
dr.fantom Used - $499.00 0 Feb/12/15 Dec/01/19
Description: Applied Materials/AMAT 0200-10073 Insulator Quartz 200mm SimCa
dr.fantom Used - $500.00 1 Feb/12/15 Apr/18/17
Description: Applied Materials/AMAT 0020-33786 GDP MXP 200mm HEWEB 156
dr.fantom Used - $500.00 0 Feb/12/15 Dec/01/19
Description: Applied Materials/AMAT 0200-09478 Plate gas distr. upper 200mm
dr.fantom NEW - $175.00 3 Feb/12/15 May/19/17
Description: Applied Materials / AMAT 0020-46478 Gasket Damped Gimbal 200MM 5 ZONE PROFILER
dr.fantom NEW - $499.99 1 Feb/12/15 May/04/15
Description: Applied Materials AMAT 0190-35388 P3 8" 200mm Emissometer High Emissivity Wafer
dr.fantom NEW - $499.99 2 Feb/12/15 Dec/05/17
Description: Applied Materials AMAT 0190-04665 001 8" 200mm Radiance Emissometer Wafer
dr.fantom Refurbished - $69.99 0 Feb/12/15 Feb/10/20
Description: Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
dr.fantom Refurbished - $69.99 0 Feb/12/15 Feb/10/20
Description: Applied Materials AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore NEW - $183.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $137.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $144.99 0 Feb/13/15 Feb/16/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $174.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $45.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $183.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
athomemarket NEW - $1,799.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
svcstore Used - $499.99 0 Feb/14/15 Feb/17/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $293.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore NEW - $137.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $183.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
athomemarket NEW - $92.99 0 Feb/16/15 Mar/18/15
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore NEW - $137.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $183.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Feb/16/15 Feb/19/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
benta09 NEW - $99.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0020-31305 //INSERT, ALUM, OUTER, 200MM POLY, 218MM
svcstore NEW - $45.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $499.99 0 Feb/17/15 Feb/20/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
gigabitpartsolutions Refurbished - $990.00 8 Feb/18/15 Dec/01/17
Description: OEM Part PEDESTAL ESC ASSY, 200MM NOTCH, WEBApplied Materails (AMAT) 0010-10202
svcstore NEW - $183.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $137.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $183.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Feb/19/15 Feb/22/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
bigg.logistics101 NEW - $999.99 0 Feb/20/15 Mar/02/23
Description: APPLIED MATERIALS LINER,CERAMIC TOP NO GROVE PRODUCER 200MM 0200-02990
bigg.logistics101 Used - $1,499.99 0 Feb/20/15 Mar/02/23
Description: APPLIED MATERIALS FACE PLATE SACVD WATER COOLED 200MM 0040-70319
athomemarket NEW - $166.99 0 Feb/20/15 Mar/22/15
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore Used - $499.99 0 Feb/20/15 Feb/23/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
grandbirdnet NEW - $900.00 7 Feb/20/15 Oct/11/21
Description: AMAT 0200-02577 LIFT PLATE FIXED LIFT PIN 200MM PRODUCER, NEW
grandbirdnet NEW - $900.00 2 Feb/20/15 Sep/19/18
Description: AMAT 0200-02576 LIFT RING FIXED LIFT PIN 200MM PRODUCER, NEW
keykorea NEW - $1,400.00 2 Feb/20/15 Jul/26/18
Description: AMAT 0200-00402 ISOLATOR PUMPING RING TOP 200MM PRODUCER , NEW
grandbirdnet NEW - $2,200.00 0 Feb/21/15 Mar/02/23
Description: AMAT 0200-18109 COLLAR, 200MM SNNF, SML FLT, ULTIMA HDPC, NEW
keykorea NEW - $700.00 0 Feb/21/15 Nov/18/15
Description: AMAT 0200-00317 ISOLATOR PUMPING RING BOTTOM 200MM PRODU, NEW
svcstore NEW - $137.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $183.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
dr.fantom NEW - $170.00 0 Feb/22/15 Feb/13/20
Description: Kit of 50pcs Applied Materials 0020-46521 SCREEN SMALL 200MM 5 ZONE PROFILER
dr.fantom NEW - $300.00 0 Feb/22/15 Nov/19/15
Description: Applied Materials 0020-46516 CLAMP ROLLING SEAL 200MM 5 ZONE PROFIL
athomemarket NEW - $920.99 0 Feb/22/15 Mar/24/15
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore NEW - $137.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $183.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Feb/22/15 Feb/25/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
keykorea NEW - $3,500.00 0 Feb/22/15 Feb/22/15
Description: AMAT 0200-01119 SHADOW RING, QUARTZ, 200MM NOTCH (2) TIG, NEW
svcstore NEW - $45.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
dr.fantom NEW - $150.00 1 Feb/23/15 Mar/31/17
Description: Applied Materials 0020-46697 CENTERING FIXTURE 200MM 5 ZONE PROFILER
athomemarket NEW - $497.99 0 Feb/23/15 Mar/25/15
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
supertechshop NEW - $299.00 0 Feb/23/15 Mar/25/15
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
supertechshop Used - $495.00 0 Feb/23/15 Mar/25/15
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
svcstore Used - $499.99 0 Feb/23/15 Feb/26/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
dr.fantom NEW - $100.00 0 Feb/24/15 Feb/13/20
Description: Applied Materials 0021-33783 810-64909 200MM MEMBRANE SILICONE 8" TITAN HEAD
svcstore NEW - $137.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $183.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
farmoninc NEW - $1,050.00 0 Feb/25/15 Apr/20/16
Description: AMAT 0242-15051 Kit, Press Equalization, 200MM Producer, 0040-41959, 0040-41960
svcstore NEW - $137.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $183.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Feb/25/15 Feb/28/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
athomemarket NEW - $360.99 0 Feb/26/15 Mar/28/15
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $225.99 0 Feb/26/15 Mar/28/15
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $492.99 0 Feb/26/15 Mar/28/15
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
svcstore Used - $499.99 0 Feb/26/15 Mar/01/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
usedeqsales NEW - $1,002.15 0 Feb/27/15 May/01/15
Description: AMAT Applied Materials 0190-09429 Duration Suscepter Assembly 200mm New
svcstore NEW - $183.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
athomemarket Used - $944.99 0 Feb/28/15 Mar/30/15
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
svcstore NEW - $137.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Feb/28/15 Mar/03/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
svcstore Used - $499.99 0 Mar/01/15 Mar/04/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
usedeqsales Used - $1,503.15 0 Mar/02/15 May/01/15
Description: Semiquip CAT200P-98001 200mm Cassette Alignment AMAT 3920-00170 Used
prism_electronics2 Used - $1,599.99 1 Feb/08/13 Feb/16/15
Description: Applied Material AMAT 5000 CVD 200mm Precision 5000 Lamp Module 0010-09978W
xsysengineering NEW - $375.00 1 Oct/21/14 Feb/16/15
Description: Applied Materials (AMAT) P/N: 0200-09074 Quartz heater window 200mm
svcstore NEW - $183.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
athomemarket Refurbished - $330.99 0 Mar/03/15 Apr/02/15
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
svcstore NEW - $137.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $183.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Mar/03/15 Mar/06/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
athomemarket Used - $2,881.99 0 Mar/04/15 Apr/03/15
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
ustechno7 Used - $1,130.00 0 Mar/04/15 Apr/03/15
Description: AMAT 0010-04542 M WXZ HEATER ASSY Applied Materials 0040-04542, 200mm
svcstore Used - $499.99 0 Mar/04/15 Mar/07/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
yayais2012 Used - $350.00 0 Mar/05/15 Apr/04/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
athomemarket Refurbished - $184.99 0 Mar/05/15 Apr/04/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Used - $924.99 0 Mar/05/15 Apr/04/15
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
svcstore Used - $51.99 1 Feb/01/15 Feb/04/15
Description: LAM Research 839-800327-212F 200mm 8" ESC Electrostatic Chuck Electrode for 2300
svcstore Used - $56.99 1 Feb/01/15 Feb/04/15
Description: LAM Research 839-800327-212E 200mm 8" ESC Electrostatic Chuck Electrode for 2300
svcstore Used - $51.99 1 Feb/02/15 Feb/04/15
Description: LAM Research 839-800327-245A 200mm 8" ESC Electrostatic Chuck Electrode for 2300
svcstore Used - $43.99 1 Feb/01/15 Feb/04/15
Description: LAM Research 839-011307-211A 200mm 8" ESC Electrostatic Chuck Electrode for 2300
svcstore Used - $56.99 1 Feb/01/15 Feb/04/15
Description: LAM Research 839-011307-211B 200mm 8" ESC Electrostatic Chuck Electrode for 2300
svcstore NEW - $137.99 0 Mar/05/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $183.99 0 Mar/05/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
keykorea NEW - $75.00 2 Mar/06/15 Oct/08/20
Description: AMAT 0200-10074 LIFT PIN, DELTA MF NITRIDE XP, 200MM , NEW
svcstore NEW - $137.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
grandbirdnet NEW - $1,200.00 5 Mar/06/15 Mar/10/22
Description: AMAT 0200-00234 ISOLATOR BKM1 ENHANCED TXZ 200MM , 2ND NEW
svcstore NEW - $183.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Mar/06/15 Mar/09/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
athomemarket NEW - $260.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket Used - $1,170.99 0 Mar/07/15 Apr/06/15
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
svcstore Used - $499.99 0 Mar/07/15 Mar/10/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
supertechshop NEW - $750.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
csi.usa NEW - $475.00 0 Mar/09/15 Mar/11/15
Description: APPLIED MATERIALS P/N 0200-00071 INSULATING PIPE,QUARTZ,200MM
csi.usa NEW - $995.00 0 Mar/09/15 Apr/03/15
Description: APPLIED MATERIALS P/N 0100-40039 PCB ASSY., HIGH DEN.PLAS.CH.,200MM
svcstore NEW - $183.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
grandbirdnet NEW - $2,500.00 0 Mar/09/15 Mar/10/22
Description: AMAT 0200-18095 COLLAR, MIN. CONTACT 200MM SNNT, HDP-CVD, NEW
svcstore NEW - $137.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Mar/09/15 Mar/12/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $499.99 0 Mar/10/15 Mar/13/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
gesemiconductor NEW - $1,500.00 1 Mar/11/15 Jan/26/17
Description: APPLIED MATERIALS 0021-09630 Shield Ground 200mm CATH DPS
gesemiconductor Used - $100.00 2 Mar/11/15 Apr/08/15
Description: APPLIED MATERIALS 0021-04297 MANIFOLD CLEAN GAS 200MM PRODUCER
gigabitpartsolutions Used - $49.50 0 Mar/11/15 Mar/02/23
Description: Wafer NOVELLUS 15-053394-02 C1/C2 MINIMUM AREA CONTACT FORK 200mm
conquer_2011 NEW - $5,950.00 0 Mar/12/15 Apr/11/15
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
prism_electronics3 Used - $102.59 1 Mar/12/15 Jan/24/18
Description: Applied Materials 0040-45801 200mm Profiler Outer Clamp
prism_electronics3 Used - $42.11 0 Mar/12/15 Apr/27/22
Description: Applied Materials 0021-13661 200mm Titan Head II Outer Housing Cover
svcstore NEW - $183.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $137.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $183.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Mar/12/15 Mar/15/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $499.99 0 Mar/13/15 Mar/16/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $183.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
supertechshop Used - $1,750.00 0 Mar/16/15 Apr/15/15
Description: AMAT Endura ALD TAN 200mm Lid Cap Assy Pumping Cover Plate Manifold 0010-17776
athomemarket NEW - $1,799.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $293.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore NEW - $160.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $126.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $169.99 0 Mar/18/15 Mar/21/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $41.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Mar/17/15 Mar/20/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $92.99 0 Mar/18/15 Apr/17/15
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
keykorea Used - $6,900.00 1 Mar/18/15 Oct/10/16
Description: AMAT 0040-18053 PEDESTAL, HOLES 200mm BLUE SNNF , USED
benta09 NEW - $99.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0020-31305 //INSERT, ALUM, OUTER, 200MM POLY, 218MM
usedeqsales Scrap, for parts - $1,503.15 1 Mar/19/15 Mar/19/15
Description: AMAT Applied Materials 0010-20524 RF Match Preclean II 200mm Untested As-Is
svcstore NEW - $41.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $126.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Mar/20/15 Mar/23/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
keykorea NEW - $1,800.00 7 Mar/21/15 Jun/04/15
Description: AMAT 0020-30628 RING, CLAMP, VESPEL, POLY/WSI, 200MM, NO , NEW
svcstore NEW - $169.99 0 Mar/21/15 Mar/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
desert_dweller77 NEW - $2,250.00 0 Mar/22/15 Apr/01/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
athomemarket NEW - $166.99 0 Mar/22/15 Apr/21/15
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore NEW - $160.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $126.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $41.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Mar/23/15 Mar/26/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket NEW - $920.99 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore NEW - $169.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $183.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
athomemarket NEW - $497.99 0 Mar/25/15 Apr/24/15
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
svcstore NEW - $160.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $126.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Mar/27/15 Mar/30/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $169.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $41.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $183.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
supertechshop Used - $195.00 0 Mar/28/15 Apr/27/15
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
supertechshop NEW - $299.00 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
athomemarket NEW - $225.99 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $360.99 0 Mar/28/15 Apr/27/15
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $492.99 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
svcstore NEW - $137.99 0 Mar/28/15 Mar/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
helixtek NEW - $450.00 0 Mar/29/15 May/07/15
Description: Applied materials mirra 200mm cmp mirra interlock pcp 0100-77037
svcstore NEW - $126.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $160.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
athomemarket Used - $944.99 0 Mar/30/15 Apr/29/15
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
svcstore Used - $499.99 0 Mar/30/15 Apr/02/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $169.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $41.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $183.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcompucycle NEW - $399.00 0 Apr/05/15 May/05/15
Description: NEW AMAT 0040-38036 RF Flange 200mm Bracket Producer Applied Materials / Sealed
athomemarket Refurbished - $184.99 0 Apr/05/15 May/05/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
svcstore NEW - $126.99 0 Apr/04/15 Apr/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
athomemarket Used - $2,881.99 0 Apr/04/15 May/04/15
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Used - $924.99 0 Apr/04/15 May/04/15
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
svcstore Used - $299.99 0 Apr/03/15 Apr/06/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
yayais2012 Used - $350.00 0 Apr/04/15 May/04/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore Used - $499.99 0 Apr/02/15 Apr/05/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $160.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $169.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $41.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Apr/05/15 Apr/08/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $183.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
ustechno7 Used - $1,100.00 0 Apr/05/15 May/05/15
Description: Applied Materials AMAT 0010-04542 M WXZ HEATER ASSY 0040-04542, 200mm
svcstore NEW - $137.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
usedeqsales Used - $2,504.15 1 Apr/06/15 Apr/08/15
Description: AMAT Applied Materials 0010-20524 RF Match PVD Phase IV 200mm Used Working
athomemarket NEW - $260.99 0 Apr/06/15 May/06/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket Used - $1,170.99 0 Apr/06/15 May/06/15
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
svcstore NEW - $137.99 0 Apr/06/15 Apr/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Apr/06/15 Apr/09/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $299.99 0 Apr/06/15 Apr/09/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $126.99 0 Apr/07/15 Apr/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
semitechs Used - $44,000.00 0 Apr/08/15 May/08/15
Description: ASSY, SNNF 200MM SUB ZERO BESC W/MCA, 0010-04450
svcstore NEW - $41.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
farmoninc NEW - $1,500.00 0 Apr/08/15 Dec/04/15
Description: AMAT 0190-01280 Module, Cooling, 200MM Pre-Clean, Ametek Rotron 1824-03, 401120
svcstore Used - $499.99 0 Apr/08/15 Apr/11/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $169.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $183.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcompucycle NEW - $499.95 0 Apr/08/15 May/08/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
svcstore NEW - $137.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $133.99 0 Apr/09/15 Apr/12/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $137.99 0 Apr/09/15 Apr/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore Used - $299.99 0 Apr/09/15 Apr/12/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
desert_dweller77 NEW - $1,000.00 0 Apr/11/15 Apr/21/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
conquer_2011 NEW - $5,950.00 0 Apr/11/15 May/11/15
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore NEW - $126.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $169.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $41.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $499.99 0 Apr/11/15 Apr/14/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $183.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Apr/12/15 Apr/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Apr/12/15 Apr/15/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $299.99 0 Apr/12/15 Apr/15/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
sparesllc09 Used - $15,420.00 0 Apr/13/15 Nov/03/16
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
svcompucycle Used - $199.00 0 Apr/14/15 May/14/15
Description: AMAT RF Flange 200mm Bracket Producer 0040-38036 / Warranty
farmoninc NEW - $650.00 0 Apr/14/15 Mar/02/23
Description: AMAT 0140-06132 HARNESS ASSEMBLY, EC VALVE 200MM WALD 401265
svcstore NEW - $183.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $133.99 0 Apr/15/15 Apr/18/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $137.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $160.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $169.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $137.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore Used - $499.99 0 Apr/15/15 Apr/18/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $41.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $126.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
athomemarket NEW - $1,799.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $293.99 0 Apr/16/15 May/16/15
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore Used - $299.99 0 Apr/16/15 Apr/19/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
athomemarket NEW - $92.99 0 Apr/17/15 May/17/15
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore NEW - $183.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
farmoninc Used - $350.00 1 Apr/18/15 Nov/20/15
Description: AMAT 0020-31163 Ring, Focus, Al, 200MM, PRSP3, 401370
svcstore NEW - $137.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Apr/18/15 Apr/21/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $41.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $169.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $499.99 0 Apr/18/15 Apr/21/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $126.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $299.99 0 Apr/19/15 Apr/22/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
farmoninc NEW - $950.00 0 Apr/20/15 Apr/30/15
Description: AMAT 0021-35869 Perf Plate, TxZ 200MM, 401389
farmoninc NEW - $750.00 0 Apr/20/15 Aug/07/20
Description: AMAT 0021-01317 3137R7-PE Chamber Outer Shield, TXZ 200MM 401406
athomemarket NEW - $166.99 0 Apr/21/15 May/05/15
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
dr.fantom Refurbished - $580.00 0 Apr/22/15 Feb/13/20
Description: Boc Edwards Applied Materials AMAT 0020-22196 Flame sprayed Pedestal 8" 200mm
svcstore NEW - $126.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $41.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $169.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $133.99 0 Apr/21/15 Apr/24/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $499.99 0 Apr/21/15 Apr/24/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
farmoninc NEW - $650.00 0 Apr/22/15 Jun/10/15
Description: AMAT 0200-10194 Shield, Tapered, 200MM, 401468
svcstore NEW - $126.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore Used - $299.99 0 Apr/22/15 Apr/25/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $126.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $169.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $118.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341-01
athomemarket NEW - $920.99 0 Apr/23/15 May/23/15
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
athomemarket NEW - $399.99 0 Apr/24/15 May/24/15
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
desert_dweller77 NEW - $1,000.00 0 Apr/25/15 May/05/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
svcstore NEW - $126.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $126.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $126.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $41.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $169.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $133.99 0 Apr/24/15 Apr/27/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $299.99 0 Apr/25/15 Apr/28/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore Used - $499.99 0 Apr/24/15 Apr/27/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $169.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
athomemarket NEW - $492.99 0 Apr/27/15 May/27/15
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $225.99 0 Apr/27/15 May/27/15
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $360.99 0 Apr/27/15 May/27/15
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
svcstore NEW - $160.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $169.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $499.99 0 Apr/27/15 Apr/30/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $41.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $126.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $126.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $126.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore Used - $299.99 0 Apr/28/15 May/01/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $122.99 0 Apr/28/15 May/01/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $169.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
athomemarket Used - $944.99 0 Apr/29/15 May/29/15
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
powersell007 Used - $599.00 0 Apr/30/15 Nov/30/23
Description: APPLIED MATERIALS 0041-11052 TOP ESC,0020-32909 PED 200MM NOTCH(2) 0.8" THK AMAT
powersell007 NEW - $2,599.00 1 Apr/30/15 Mar/31/20
Description: APPLIED MATERIALS 0010-09787 ASSY, SUSCEPTOR 200MM T2 TUNGSTEN BSE 8" AMAT *NEW*
svcstore NEW - $160.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $169.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $499.99 0 Apr/30/15 May/03/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $41.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $126.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $126.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $126.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $122.99 0 May/01/15 May/04/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $169.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
athomemarket Refurbished - $330.99 0 May/03/15 Jun/02/15
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
svcstore NEW - $169.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $41.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $499.99 0 May/03/15 May/06/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore Used - $299.99 0 May/03/15 May/06/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $126.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
powersell007 NEW - $1,099.00 1 May/04/15 Sep/11/15
Description: APPLIED MATERIALS 0020-33538 PLATE, PERF OX 200MM, UNANODIZED AMAT *NEW, SEALED*
athomemarket Used - $2,881.99 0 May/04/15 Jun/03/15
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
svcstore NEW - $126.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $122.99 0 May/04/15 May/07/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $126.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $169.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
yayais2012 Used - $350.00 0 May/05/15 Jun/04/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
athomemarket Refurbished - $184.99 0 May/05/15 Jun/04/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Used - $924.99 0 May/05/15 Jun/04/15
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
svcompucycle NEW - $399.00 0 May/05/15 Jun/04/15
Description: NEW AMAT 0040-38036 RF Flange 200mm Bracket Producer Applied Materials / Sealed
ustechno7 Used - $997.99 0 May/05/15 Jun/04/15
Description: Applied Materials AMAT 0010-04542 M WXZ HEATER ASSY 0040-04542, 200mm
semitechs Used - $44,000.00 0 May/08/15 Jun/07/15
Description: ASSY, SNNF 200MM SUB ZERO BESC W/MCA, 0010-04450
svcstore NEW - $169.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $122.99 0 May/07/15 May/10/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $126.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $126.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $126.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
athomemarket NEW - $260.99 0 May/07/15 Jun/06/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
desert_dweller77 NEW - $1,000.00 0 May/07/15 May/17/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
svcstore NEW - $41.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $169.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $499.99 0 May/06/15 May/09/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcompucycle NEW - $499.95 0 May/08/15 Jun/07/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
svcstore NEW - $41.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $169.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $299.99 0 May/09/15 May/12/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore Used - $499.99 0 May/09/15 May/12/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $126.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $126.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $122.99 0 May/10/15 May/13/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $126.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
conquer_2011 NEW - $5,950.00 0 May/11/15 Jun/10/15
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore NEW - $169.99 0 May/11/15 May/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
farmoninc NEW - $5,250.00 0 May/12/15 Feb/19/16
Description: AMAT 0010-37266 ASSY PLASMA CHUCK 200MM THICK CABLE W/ GRIND 401872
ta-korea.global NEW - $740.00 0 May/13/15 May/26/17
Description: AMAT Support Center Rps2 Lid 200mm producer 0040-54104 2nd New
usedeqsales NEW - $502.57 1 May/13/15 Sep/04/16
Description: AMAT Applied Materials 0010-15979 200mm Titan Head Gimbal and Film Assembly New
svcstore Used - $299.99 0 May/13/15 May/16/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $126.99 0 May/13/15 May/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $122.99 0 May/13/15 May/16/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $126.99 0 May/13/15 May/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $169.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $116.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $156.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $499.99 0 May/14/15 May/17/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $147.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $37.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcompucycle Used - $199.00 0 May/15/15 Jun/14/15
Description: AMAT RF Flange 200mm Bracket Producer 0040-38036 / Warranty
athomemarket NEW - $1,799.99 0 May/16/15 May/21/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
svcstore Used - $299.99 0 May/16/15 May/19/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $126.99 0 May/16/15 May/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $122.99 0 May/16/15 May/19/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $126.99 0 May/16/15 May/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
athomemarket NEW - $293.99 0 May/17/15 Jun/16/15
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore NEW - $169.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $116.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 May/17/15 May/20/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $147.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $156.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $37.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
desert_dweller77 NEW - $1,000.00 0 May/18/15 May/28/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
athomemarket NEW - $92.99 0 May/18/15 Jun/17/15
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
value-pack NEW - $740.00 0 May/19/15 Oct/16/15
Description: AMAT Support Center Rps2 Lid 200mm producer 0040-54104 2nd New
value-pack NEW - $1,550.00 0 May/19/15 Nov/15/15
Description: AMAT Gas Box, Silance 200mm Producer 0040-02520 2nd New
svcompucycle Used - $195.00 0 May/19/15 Jun/18/15
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
svcstore Used - $299.99 0 May/19/15 May/22/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $122.99 0 May/19/15 May/22/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $116.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 May/20/15 May/23/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $147.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $156.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $37.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcompucycle NEW - $299.00 1 May/21/15 Jun/12/15
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
svcstore NEW - $116.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore Used - $299.99 0 May/22/15 May/25/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $116.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $156.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $122.99 0 May/22/15 May/25/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $116.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $156.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $499.99 0 May/23/15 May/26/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $37.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $147.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
athomemarket NEW - $920.99 0 May/24/15 Jun/23/15
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
keykorea Used - $8,500.00 0 May/24/15 Jul/07/15
Description: AMAT 0010-22641 Titan II profiler head for 200MM MIRRA CMP , USED
athomemarket NEW - $399.99 0 May/25/15 Jun/24/15
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
svcstore Used - $299.99 0 May/25/15 May/28/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $116.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $116.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $156.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $116.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 May/26/15 May/29/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $147.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $156.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $112.99 0 May/26/15 May/29/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $37.99 0 May/27/15 May/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
athomemarket NEW - $225.99 0 May/28/15 Jun/27/15
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $360.99 0 May/28/15 Jun/27/15
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $492.99 0 May/28/15 Jun/27/15
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
svcstore Used - $299.99 0 May/28/15 May/31/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $116.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $116.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $156.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $116.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $156.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $112.99 0 May/29/15 Jun/01/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $499.99 0 May/29/15 Jun/01/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $147.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
athomemarket Used - $944.99 0 May/30/15 Jun/29/15
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
svcstore NEW - $37.99 0 May/30/15 Jun/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $116.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $116.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $156.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $116.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Jun/01/15 Jun/04/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $147.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $156.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $112.99 0 Jun/01/15 Jun/04/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket Refurbished - $330.99 0 Jun/02/15 Jul/02/15
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
svcstore NEW - $156.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $116.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $116.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
athomemarket Used - $2,881.99 0 Jun/03/15 Jul/03/15
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
svcstore NEW - $37.99 0 Jun/02/15 Jun/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $299.99 0 Jun/02/15 Jun/05/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
sparesllc09 NEW - $3,200.00 0 Jun/04/15 Jan/05/17
Description: 15-100263-00 /ROBOT BLADE 200MM HI PURITY / NOVELLUS
athomemarket Refurbished - $184.99 0 Jun/04/15 Jul/04/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Used - $924.99 0 Jun/04/15 Jul/04/15
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
svcstore NEW - $116.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcompucycle NEW - $399.00 0 Jun/04/15 Jul/04/15
Description: NEW AMAT 0040-38036 RF Flange 200mm Bracket Producer Applied Materials / Sealed
svcstore Used - $499.99 0 Jun/04/15 Jun/07/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $147.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $156.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $112.99 0 Jun/04/15 Jun/07/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
ustechno7 Used - $947.99 1 Jun/05/15 Jul/03/15
Description: Applied Materials AMAT 0010-04542 M WXZ HEATER ASSY 0040-04542, 200mm
gesemiconductor NEW - $325.00 0 Jun/05/15 Dec/02/15
Description: APPLIED MATERIALS 0200-10448 Insert Ring 200mm (1S) S1/Q Silicon
svcstore NEW - $37.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $299.99 0 Jun/05/15 Jun/08/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
yayais2012 Used - $350.00 0 Jun/06/15 Jul/06/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
desert_dweller77 NEW - $1,000.00 0 Jun/06/15 Jun/16/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
athomemarket NEW - $260.99 0 Jun/06/15 Jul/06/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket Used - $1,170.99 0 Jun/06/15 Jul/06/15
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
svcstore NEW - $116.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $156.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $116.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
farmoninc Used - $1,750.00 0 Jun/07/15 Sep/29/21
Description: AMAT 0040-09960 PEDESTAL, 200MM NOTCH, IS, SOLID, ESC assy, chuck, 327152
svcstore NEW - $116.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $156.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $112.99 0 Jun/07/15 Jun/10/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $499.99 0 Jun/07/15 Jun/10/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $147.99 0 Jun/08/15 Jun/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $37.99 0 Jun/08/15 Jun/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $299.99 0 Jun/08/15 Jun/11/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $116.99 0 Jun/09/15 Jun/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $156.99 0 Jun/09/15 Jun/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $116.99 0 Jun/09/15 Jun/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcompucycle NEW - $499.95 0 Jun/10/15 Jul/10/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
conquer_2011 NEW - $5,950.00 0 Jun/10/15 Jul/10/15
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore NEW - $112.99 0 Jun/10/15 Jun/13/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $299.99 0 Jun/11/15 Jun/14/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $116.99 0 Jun/11/15 Jun/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Jun/11/15 Jun/14/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
usedeqsales NEW - $306.15 1 Jun/12/15 Jul/27/15
Description: Lam Research 715-130092-008 End Effector 200mm Wafer Rev. A New
svcstore NEW - $147.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $156.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $116.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $37.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $116.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $156.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $112.99 0 Jun/14/15 Jun/17/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $299.99 0 Jun/14/15 Jun/17/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $116.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Jun/15/15 Jun/18/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $147.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $116.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $156.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $37.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $156.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $116.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
desert_dweller77 NEW - $1,000.00 0 Jun/16/15 Jun/26/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
athomemarket NEW - $264.59 0 Jun/16/15 Jul/16/15
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $83.69 0 Jun/17/15 Jul/17/15
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore NEW - $112.99 0 Jun/17/15 Jun/20/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $299.99 0 Jun/17/15 Jun/20/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcompucycle Used - $195.00 0 Jun/18/15 Jul/18/15
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
svcompucycle Used - $199.00 0 Jun/18/15 Jul/18/15
Description: AMAT RF Flange 200mm Bracket Producer 0040-38036 / Warranty
svcstore NEW - $116.99 0 Jun/18/15 Jun/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Jun/18/15 Jun/21/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $147.99 0 Jun/18/15 Jun/21/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $156.99 0 Jun/18/15 Jun/21/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $37.99 0 Jun/18/15 Jun/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
gosemicat NEW - $1,200.00 1 Jun/19/15 Oct/03/15
Description: 0200-00501 AMAT Isolator Block DxZ, 200mm
svcstore NEW - $112.99 0 Jun/20/15 Jun/23/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $299.99 0 Jun/20/15 Jun/23/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $116.99 0 Jun/21/15 Jun/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Jun/21/15 Jun/24/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $156.99 0 Jun/21/15 Jun/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $37.99 0 Jun/21/15 Jun/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $147.99 0 Jun/21/15 Jun/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
athomemarket NEW - $645.99 0 Jun/23/15 Jun/11/17
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore NEW - $107.99 0 Jun/23/15 Jun/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $107.99 0 Jun/23/15 Jun/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $144.99 0 Jun/23/15 Jun/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore Used - $299.99 0 Jun/23/15 Jun/26/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
solutions-on-silicon Used - $5,950.00 0 Jun/24/15 Dec/18/15
Description: LAM Rainbow 799-110333-004 Assyst smif indexer (recieve) 8" 200mm (used)
athomemarket NEW - $319.99 0 Jun/24/15 Nov/21/15
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
svcstore NEW - $37.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $147.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $116.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $156.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $499.99 0 Jun/24/15 Jun/27/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
eisale1535 Used - $7,900.00 0 Jun/26/15 Aug/19/16
Description: AMAT 0010-24500 Polisher Head Assy, 200mm CMP TITAN PROFILER
desert_dweller77 NEW - $1,000.00 0 Jun/26/15 Jul/06/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
svcstore NEW - $107.99 0 Jun/26/15 Jun/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $107.99 0 Jun/26/15 Jun/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jun/26/15 Jun/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore Used - $299.99 0 Jun/26/15 Jun/29/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $103.99 0 Jun/26/15 Jun/29/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $100.00 0 Jun/27/15 Jun/15/17
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $49.99 0 Jun/27/15 Jun/15/17
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $49.99 2 Jun/27/15 Apr/29/16
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
svcstore NEW - $147.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $116.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $156.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $499.99 0 Jun/27/15 Jun/30/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $37.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
athomemarket Used - $899.99 0 Jun/29/15 Nov/26/15
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
svcstore NEW - $107.99 0 Jun/29/15 Jul/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $103.99 0 Jun/29/15 Jul/02/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $144.99 0 Jun/29/15 Jul/02/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $107.99 0 Jun/29/15 Jul/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $37.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $147.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $116.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $156.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $499.99 0 Jun/30/15 Jul/03/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
athomemarket Refurbished - $251.20 0 Jul/02/15 Oct/30/15
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
svcstore NEW - $107.99 0 Jul/02/15 Jul/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $107.99 0 Jul/02/15 Jul/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jul/02/15 Jul/05/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
athomemarket Used - $500.00 0 Jul/03/15 Jun/22/17
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
svcstore NEW - $156.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $103.99 0 Jul/03/15 Jul/06/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $499.99 0 Jul/03/15 Jul/06/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $37.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $147.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $116.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $299.99 0 Jul/03/15 Jul/06/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
athomemarket Used - $100.00 1 Jul/04/15 Jun/22/17
Description: AMAT 0010-03171 200mm Screwless BB Susceptor Assy. TEOS
athomemarket Refurbished - $147.99 0 Jul/04/15 Nov/01/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
svcstore NEW - $107.99 0 Jul/05/15 Jul/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $107.99 0 Jul/05/15 Jul/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jul/05/15 Jul/08/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
semistrong1612 Used - $1,200.00 0 Jul/06/15 Aug/05/15
Description: Applied Materials 0010-10328 Shell Assy, 200mm
desert_dweller77 NEW - $1,000.00 0 Jul/06/15 Jul/16/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
athomemarket NEW - $198.40 0 Jul/06/15 Nov/03/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket Used - $300.00 1 Jul/06/15 Jun/21/17
Description: AMAT 0010-13321 P5000 Clean Room Robot Drive Assy 200mm
svcstore NEW - $103.99 0 Jul/06/15 Jul/09/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $116.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $156.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
helixtek NEW - $50.00 3 Jul/06/15 Jul/18/15
Description: Amat mirra 200mm cmp polisher model 3400 DDF3 conditioner pulley 0051-77152
svcstore Used - $499.99 0 Jul/06/15 Jul/09/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $37.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $147.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $299.99 0 Jul/06/15 Jul/09/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $107.99 0 Jul/08/15 Jul/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $107.99 0 Jul/08/15 Jul/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jul/08/15 Jul/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
yayais2012 Used - $350.00 0 Jul/09/15 Aug/08/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore NEW - $103.99 0 Jul/09/15 Jul/12/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $299.99 0 Jul/09/15 Jul/12/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
conquer_2011 NEW - $2,950.00 0 Jul/10/15 Aug/09/15
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore NEW - $34.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Jul/10/15 Jul/13/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $107.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $144.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $135.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $107.99 0 Jul/11/15 Jul/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $107.99 0 Jul/11/15 Jul/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jul/11/15 Jul/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $103.99 0 Jul/12/15 Jul/15/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
wideerp01 Used - $200.00 0 Jul/12/15 Nov/09/15
Description: Applied Materials ISOLATOR 200MM WB part#: 0200-09129
svcstore Used - $299.99 0 Jul/12/15 Jul/15/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcompucycle NEW - $499.95 0 Jul/13/15 Aug/12/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
svcstore NEW - $34.99 0 Jul/13/15 Jul/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Jul/13/15 Jul/16/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $107.99 0 Jul/13/15 Jul/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $144.99 0 Jul/13/15 Jul/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $135.99 0 Jul/14/15 Jul/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $107.99 0 Jul/14/15 Jul/17/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $107.99 0 Jul/14/15 Jul/17/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jul/14/15 Jul/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $103.99 0 Jul/15/15 Jul/18/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $299.99 0 Jul/15/15 Jul/18/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
athomemarket NEW - $49.99 0 Jul/16/15 Jul/05/17
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore NEW - $34.99 0 Jul/16/15 Jul/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Jul/16/15 Jul/19/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $107.99 0 Jul/16/15 Jul/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $144.99 0 Jul/16/15 Jul/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
athomemarket Used - $20.00 0 Jul/17/15 Jul/06/17
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
gosemicat NEW - $25.00 0 Jul/17/15 Jul/24/15
Description: 0040-08287 Applied Materials, Manifold, Capacitance Manometer, 200mm Preclean
svcstore NEW - $135.99 0 Jul/17/15 Jul/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $107.99 0 Jul/17/15 Jul/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $107.99 0 Jul/17/15 Jul/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jul/17/15 Jul/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $103.99 0 Jul/18/15 Jul/21/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $299.99 0 Jul/18/15 Jul/21/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $34.99 0 Jul/19/15 Jul/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Jul/19/15 Jul/22/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $107.99 0 Jul/19/15 Jul/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $144.99 0 Jul/19/15 Jul/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $135.99 0 Jul/20/15 Jul/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $103.99 0 Jul/21/15 Jul/24/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $299.99 0 Jul/21/15 Jul/24/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
gosemicat NEW - $1,950.00 0 Jul/22/15 Jan/29/16
Description: 0040-39619 Applied Materials Etch, Lid, Clamp, Slit Valve Door, 200mm Centura
svcstore NEW - $34.99 0 Jul/22/15 Jul/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Jul/22/15 Jul/25/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $107.99 0 Jul/22/15 Jul/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $107.99 0 Jul/23/15 Jul/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $107.99 0 Jul/23/15 Jul/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $144.99 0 Jul/23/15 Jul/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $144.99 0 Jul/23/15 Jul/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $135.99 0 Jul/23/15 Jul/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $299.99 0 Jul/24/15 Jul/27/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $34.99 0 Jul/25/15 Jul/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Jul/25/15 Jul/28/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $107.99 0 Jul/25/15 Jul/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $144.99 0 Jul/26/15 Jul/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $135.99 0 Jul/26/15 Jul/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $98.99 0 Jul/27/15 Jul/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Jul/27/15 Jul/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $95.99 0 Jul/27/15 Jul/30/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Jul/27/15 Jul/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore Used - $299.99 0 Jul/27/15 Jul/30/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcompucycle Used - $199.00 0 Jul/28/15 Aug/27/15
Description: AMAT RF Flange 200mm Bracket Producer 0040-38036 / Warranty
gigabitpartsolutions Used - $550.00 1 Jul/28/15 Jul/30/15
Description: Valve Applied Materails (AMAT) 0010-09174 ASSY THRVL VALVE 200MM, DOWNSTR,VI ORI
svcstore NEW - $34.99 0 Jul/28/15 Jul/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Jul/28/15 Jul/31/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $107.99 0 Jul/28/15 Jul/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
usedeqsales Used - $607.15 1 Jul/29/15 Feb/27/19
Description: Edwards Kachina 233-2700-21 Heater 200mm TxZ AMAT 0040-36180 Out-of-Spec As-Is
svcstore NEW - $144.99 0 Jul/29/15 Aug/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcompucycle Used - $195.00 0 Jul/29/15 Aug/28/15
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
svcstore NEW - $135.99 0 Jul/29/15 Aug/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
keykorea Used - $8,500.00 0 Jul/29/15 Dec/04/16
Description: AMAT 0010-24500 HEAD ASSY, 200MM TITAN PROFILER MIRRA CMP , USED
svcstore NEW - $95.99 0 Jul/30/15 Aug/02/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Jul/30/15 Aug/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Jul/30/15 Aug/02/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $98.99 0 Jul/30/15 Aug/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $34.99 0 Jul/31/15 Aug/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Jul/31/15 Aug/03/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $107.99 0 Jul/31/15 Aug/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $299.99 0 Jul/31/15 Aug/03/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $135.99 0 Aug/01/15 Aug/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $144.99 0 Aug/01/15 Aug/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $95.99 0 Aug/02/15 Aug/05/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Aug/02/15 Aug/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Aug/02/15 Aug/05/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $98.99 0 Aug/02/15 Aug/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $34.99 0 Aug/03/15 Aug/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Aug/03/15 Aug/06/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $107.99 0 Aug/03/15 Aug/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $135.99 0 Aug/04/15 Aug/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $144.99 0 Aug/04/15 Aug/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcompucycle NEW - $399.00 0 Aug/04/15 Sep/03/15
Description: NEW AMAT 0040-38036 RF Flange 200mm Bracket Producer Applied Materials / Sealed
svcstore Used - $299.99 0 Aug/04/15 Aug/07/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
semistrong1612 Used - $1,200.00 0 Aug/05/15 Sep/04/15
Description: Applied Materials Shell Assy 0010-10328 , 200mm
svcstore NEW - $95.99 0 Aug/05/15 Aug/08/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Aug/05/15 Aug/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Aug/05/15 Aug/08/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $98.99 0 Aug/05/15 Aug/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore Used - $299.99 0 Aug/07/15 Aug/10/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $107.99 0 Aug/07/15 Aug/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Aug/07/15 Aug/10/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $34.99 0 Aug/07/15 Aug/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $98.99 0 Aug/08/15 Aug/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Aug/08/15 Aug/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $95.99 0 Aug/08/15 Aug/11/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Aug/08/15 Aug/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
conquer_2011 NEW - $2,950.00 0 Aug/09/15 Sep/03/15
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore Used - $299.99 0 Aug/10/15 Aug/13/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $129.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $107.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Aug/10/15 Aug/13/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $34.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $121.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $98.99 0 Aug/11/15 Aug/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Aug/11/15 Aug/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $95.99 0 Aug/11/15 Aug/14/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Aug/11/15 Aug/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
sparesllc09 Refurbished - $3,500.00 0 Aug/12/15 Nov/10/15
Description: 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF / AMAT
svcompucycle NEW - $499.95 0 Aug/12/15 Sep/11/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
svcstore Used - $299.99 0 Aug/13/15 Aug/16/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $121.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $129.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $107.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Aug/13/15 Aug/16/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $34.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
yayais2012 Used - $350.00 0 Aug/14/15 Sep/13/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore NEW - $95.99 0 Aug/14/15 Aug/17/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Aug/14/15 Aug/17/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Aug/14/15 Aug/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $98.99 0 Aug/14/15 Aug/17/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore Used - $299.99 0 Aug/16/15 Aug/19/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $107.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $121.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $129.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $499.99 0 Aug/16/15 Aug/19/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $34.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $98.99 0 Aug/17/15 Aug/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Aug/17/15 Aug/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $95.99 0 Aug/17/15 Aug/20/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Aug/17/15 Aug/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore Used - $299.99 0 Aug/19/15 Aug/22/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $129.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $107.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Aug/19/15 Aug/22/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $34.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $121.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $98.99 0 Aug/20/15 Aug/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $98.99 0 Aug/20/15 Aug/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Aug/20/15 Aug/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $95.99 0 Aug/20/15 Aug/30/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $299.99 0 Aug/22/15 Aug/27/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $121.99 0 Aug/22/15 Aug/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $129.99 0 Aug/22/15 Aug/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $107.99 0 Aug/22/15 Aug/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Aug/22/15 Aug/27/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $34.99 0 Aug/22/15 Aug/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
sparesllc09 Used - $10,800.00 0 Aug/26/15 Nov/24/15
Description: 0010-12168 /AC BOX 200MM SLT ESC ASSEMBLY/ APPLIED MATERIALS
svcompucycle Used - $199.00 0 Aug/27/15 Sep/26/15
Description: AMAT RF Flange 200mm Bracket Producer 0040-38036 / Warranty
svcstore Used - $299.99 0 Aug/27/15 Sep/01/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $129.99 0 Aug/27/15 Sep/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $107.99 0 Aug/27/15 Sep/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Aug/27/15 Sep/01/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $34.99 0 Aug/27/15 Sep/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $121.99 0 Aug/27/15 Sep/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcompucycle Used - $195.00 0 Aug/28/15 Sep/27/15
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
svcstore NEW - $98.99 0 Aug/30/15 Sep/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $133.99 0 Aug/30/15 Sep/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $95.99 0 Aug/30/15 Sep/04/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Aug/30/15 Sep/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
usfoundry1 NEW - $250.00 0 Aug/31/15 Sep/30/15
Description: AMAT 196 Ceramic Shield 0200-09072 (200mm)
usfoundry1 NEW - $450.00 0 Aug/31/15 Sep/30/15
Description: AMAT 196 Ceramic Insert 0200-09075 (200mm)
usfoundry1 NEW - $425.00 0 Aug/31/15 Sep/30/15
Description: AMAT Ceramic Wafer Ring Lifter 0020-10192 (200mm)
usfoundry1 NEW - $230.00 0 Aug/31/15 Sep/30/15
Description: AMAT 0020-09031 Insulator Cathode (200mm)
usfoundry1 NEW - $300.00 0 Aug/31/15 Sep/30/15
Description: AMAT Blocker Plate 0020-10936 (200mm)
svcstore NEW - $129.99 0 Sep/01/15 Sep/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $107.99 0 Sep/01/15 Sep/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Sep/01/15 Sep/06/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $34.99 0 Sep/01/15 Sep/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $121.99 0 Sep/01/15 Sep/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $299.99 0 Sep/02/15 Sep/07/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
conquer_2011 NEW - $1,950.00 0 Sep/03/15 Sep/10/15
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore NEW - $107.99 0 Sep/06/15 Sep/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $121.99 0 Sep/06/15 Sep/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $129.99 0 Sep/06/15 Sep/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $499.99 0 Sep/06/15 Sep/11/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $34.99 0 Sep/07/15 Sep/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $299.99 0 Sep/07/15 Sep/12/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $98.99 0 Sep/08/15 Sep/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $95.99 0 Sep/08/15 Sep/13/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Sep/08/15 Sep/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Sep/08/15 Sep/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
conquer_2011 NEW - $2,950.00 0 Sep/10/15 Oct/10/15
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore NEW - $97.59 0 Sep/11/15 Sep/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $129.99 0 Sep/11/15 Sep/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcompucycle NEW - $499.95 0 Sep/12/15 Oct/12/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
svcstore Used - $299.99 0 Sep/12/15 Sep/17/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $98.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $107.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $95.99 0 Sep/14/15 Sep/19/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $34.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $133.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore Used - $499.99 0 Sep/14/15 Sep/19/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
yayais2012 Used - $350.00 0 Sep/14/15 Oct/14/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore NEW - $115.99 0 Sep/17/15 Sep/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $108.99 0 Sep/17/15 Sep/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $299.99 0 Sep/17/15 Sep/22/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $98.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $34.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $133.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $98.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $107.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $95.99 0 Sep/19/15 Sep/24/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $499.99 0 Sep/19/15 Sep/24/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
powersell007 NEW - $1,099.00 3 Sep/21/15 Jul/20/16
Description: APPLIED MATERIALS 0020-33538 PLATE, PERF OX 200MM, UNANODIZED AMAT *NEW, SEALED*
svcstore NEW - $115.99 0 Sep/22/15 Sep/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $108.99 0 Sep/22/15 Sep/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $299.99 0 Sep/22/15 Sep/27/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $133.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $98.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $107.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $95.99 0 Sep/24/15 Sep/29/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $34.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Sep/24/15 Sep/29/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
eastsemi Used - $12,000.00 0 Sep/25/15 Nov/24/15
Description: AMAT 0040-37359 HEATER, CERAMIC 200MM TI-XZ
svcstore NEW - $115.99 0 Sep/27/15 Oct/02/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $108.99 0 Sep/27/15 Oct/02/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $299.99 0 Sep/27/15 Oct/02/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
sparesllc09 Used - $4,700.00 0 Sep/28/15 Nov/27/15
Description: 0021-35008 / PLATE, REFLECTOR, 200MM, MOD II /APPLIED MATERIALS
svcompucycle NEW - $399.00 0 Sep/28/15 Oct/28/15
Description: NEW AMAT 0040-38036 RF Flange 200mm Bracket Producer Applied Materials / Sealed
svcompucycle Used - $195.00 0 Sep/28/15 Oct/28/15
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
svcompucycle Used - $199.00 0 Sep/28/15 Oct/28/15
Description: AMAT RF Flange 200mm Bracket Producer 0040-38036 / Warranty
ecomicron NEW - $3,500.00 0 Sep/28/15 Nov/27/15
Description: 0200-01932 SUSCEPTOR, TABBED, 200MM LTE
svcstore NEW - $133.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $98.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $107.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $95.99 0 Sep/29/15 Oct/04/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $34.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Sep/29/15 Oct/04/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
usfoundry1 NEW - $270.00 0 Sep/30/15 Oct/30/15
Description: AMAT Blocker Plate 0020-10936 (200mm)
usfoundry1 NEW - $250.00 0 Sep/30/15 Oct/30/15
Description: AMAT 196 Ceramic Shield 0200-09072 (200mm)
helixtek NEW - $1,500.00 0 Sep/30/15 Oct/30/15
Description: Applied Materials 3400 Mirra CMP 200mm analog/digital cable assy 0150-77049
helixtek NEW - $400.00 0 Sep/30/15 Oct/30/15
Description: Applied Materials Mirra CMP 200mm main frm bkhd aop2 cable,pneutronic 0140-77419
usfoundry1 NEW - $295.00 0 Sep/30/15 Oct/30/15
Description: AMAT 196 Ceramic Insert 0200-09075 (200mm)
svcstore NEW - $115.99 0 Oct/02/15 Oct/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $108.99 0 Oct/02/15 Oct/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $299.99 0 Oct/02/15 Oct/07/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $133.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $98.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $107.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $95.99 0 Oct/04/15 Oct/09/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $34.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $499.99 0 Oct/04/15 Oct/09/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
helixtek NEW - $1,500.00 0 Oct/05/15 Nov/04/15
Description: Applied Materials Mirra CMP 200mm hclu pedestal vac trap assy 0010-77348
helixtek Used - $4,500.00 0 Oct/05/15 Nov/04/15
Description: Confirmed working Applied Materials Mirra CMP 200mm MEI BOARD 0660-01820
gosemicat NEW - $25.00 0 Oct/06/15 Nov/05/15
Description: 0040-08287 Applied Materials, Manifold, Capacitance Manometer, 200mm Preclean
sparesllc09 NEW - $5,500.00 0 Oct/07/15 Nov/06/15
Description: 0010-15257 /ASSEMBLY, SPRING PLATE W/GVDE, 200MM DPN / APPLIED MATERIALS
svcstore NEW - $115.99 0 Oct/07/15 Oct/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $108.99 0 Oct/07/15 Oct/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $299.99 0 Oct/07/15 Oct/12/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $107.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $95.99 0 Oct/09/15 Oct/14/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $34.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $133.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore Used - $499.99 0 Oct/09/15 Oct/14/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $98.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
conquer_2011 NEW - $2,950.00 0 Oct/10/15 Nov/09/15
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcompucycle NEW - $499.95 0 Oct/12/15 Oct/21/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
svcstore NEW - $108.99 0 Oct/12/15 Oct/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $115.99 0 Oct/12/15 Oct/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore Used - $299.99 0 Oct/12/15 Oct/17/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore NEW - $80.99 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $95.99 0 Oct/14/15 Oct/19/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $34.99 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $100.49 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore Used - $374.99 0 Oct/14/15 Oct/19/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $98.99 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
katiil3 Used - $1,990.00 0 Oct/15/15 Dec/29/15
Description: AMAT 0040-18053 PEDESTAL 200MM
yayais2012 Used - $350.00 0 Oct/15/15 Nov/14/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore NEW - $115.99 0 Oct/17/15 Oct/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $108.99 0 Oct/17/15 Oct/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
gosemicat NEW - $1,250.00 0 Oct/19/15 Nov/18/15
Description: AMAT 0010-10569 Housing Assy, Hot Plate, 200mm TiCl4 TiN
svcstore NEW - $98.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $107.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $95.99 0 Oct/19/15 Oct/24/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $34.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $133.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore Used - $499.99 0 Oct/19/15 Oct/24/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore Used - $299.99 0 Oct/20/15 Oct/25/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
semistrong1612 Used - $1,000.00 0 Oct/21/15 Nov/20/15
Description: Applied Materials Shell Assy 0010-10328 , 200mm
svcstore NEW - $108.99 0 Oct/23/15 Oct/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $115.99 0 Oct/22/15 Oct/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $107.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $95.99 0 Oct/24/15 Oct/29/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $98.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $34.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $133.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore Used - $499.99 0 Oct/24/15 Oct/29/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $98.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore Used - $299.99 0 Oct/25/15 Oct/30/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
keykorea Used - $8,900.00 3 Oct/26/15 Dec/05/17
Description: AMAT 0010-33556 HEAD ASSEMBLY, 200MM CONTOUR , USED
supertechshop NEW - $499.95 0 Oct/26/15 Nov/25/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5MI/ 0.8" THK 0020-32909
svcstore Used - $299.99 0 Oct/27/15 Nov/01/15
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svcstore NEW - $108.99 0 Oct/28/15 Nov/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $115.99 0 Oct/27/15 Nov/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcompucycle Used - $195.00 0 Oct/29/15 Nov/28/15
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
svcompucycle Used - $199.00 0 Oct/29/15 Nov/28/15
Description: AMAT RF Flange 200mm Bracket Producer 0040-38036 / Warranty
svcstore Used - $299.99 0 Nov/01/15 Nov/06/15
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svcompucycle NEW - $399.00 0 Oct/31/15 Nov/30/15
Description: NEW AMAT 0040-38036 RF Flange 200mm Bracket Producer Applied Materials / Sealed
svcstore NEW - $119.99 0 Oct/30/15 Nov/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $30.99 0 Oct/31/15 Nov/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $88.99 0 Oct/30/15 Nov/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $96.99 0 Oct/30/15 Nov/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $88.99 0 Oct/30/15 Nov/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore Used - $499.99 0 Oct/30/15 Nov/04/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $85.99 0 Oct/31/15 Nov/04/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
usfoundry1 NEW - $270.00 0 Oct/30/15 Nov/29/15
Description: AMAT Blocker Plate 0020-10936 (200mm)
usfoundry1 NEW - $250.00 0 Oct/30/15 Nov/29/15
Description: AMAT 196 Ceramic Shield 0200-09072 (200mm)
usfoundry1 NEW - $295.00 0 Oct/30/15 Nov/29/15
Description: AMAT 196 Ceramic Insert 0200-09075 (200mm)
usfoundry1 NEW - $325.00 0 Oct/30/15 Nov/29/15
Description: AMAT Ceramic Wafer Ring Lifter 0020-10192 (200mm)
usfoundry1 NEW - $190.00 0 Oct/30/15 Nov/29/15
Description: AMAT 0020-09031 Insulator Cathode (200mm)
svcstore Used - $199.99 0 Oct/30/15 Nov/03/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore Used - $499.99 0 Nov/04/15 Nov/09/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $119.99 0 Nov/04/15 Nov/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $30.99 0 Nov/04/15 Nov/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $85.99 0 Nov/04/15 Nov/09/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $88.99 0 Nov/04/15 Nov/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore Used - $299.99 0 Nov/06/15 Nov/11/15
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svcstore NEW - $103.99 0 Nov/08/15 Nov/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $97.99 0 Nov/08/15 Nov/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $199.99 0 Nov/09/15 Nov/14/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
conquer_2011 NEW - $2,950.00 0 Nov/09/15 Dec/09/15
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
auctionrus NEW - $450.00 0 Nov/09/15 Jan/09/22
Description: AMAT 0140-12730 HARNESS PNEUMATIC, 200MM DESICA, SYSTEM LO. 411071, 411072
svcstore Used - $499.99 0 Nov/09/15 Nov/14/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $88.99 0 Nov/09/15 Nov/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $119.99 0 Nov/09/15 Nov/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $96.99 0 Nov/09/15 Nov/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $88.99 0 Nov/09/15 Nov/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $85.99 0 Nov/09/15 Nov/14/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $30.99 0 Nov/10/15 Nov/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
gosemicat NEW - $25.00 0 Nov/10/15 Dec/10/15
Description: 0040-08287 Applied Materials, Manifold, Capacitance Manometer, 200mm Preclean
svcstore Used - $299.99 0 Nov/11/15 Nov/16/15
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svcstore NEW - $103.99 0 Nov/13/15 Nov/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $97.99 0 Nov/13/15 Nov/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $199.99 0 Nov/14/15 Nov/19/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore Used - $499.99 0 Nov/14/15 Nov/19/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
yayais2012 Used - $350.00 0 Nov/14/15 Dec/14/15
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore NEW - $88.99 0 Nov/14/15 Nov/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $119.99 0 Nov/14/15 Nov/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $96.99 0 Nov/14/15 Nov/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $88.99 0 Nov/14/15 Nov/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $30.99 0 Nov/15/15 Nov/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $85.99 1 Nov/14/15 Nov/19/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore Used - $299.99 0 Nov/16/15 Nov/21/15
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
solutions-on-silicon Used - $3,000.00 0 Nov/17/15 Dec/20/18
Description: 0021-35008 PLATE REFLECTOR 200MM XE CHAMBER RTP
solutions-on-silicon NEW - $4,500.00 1 Nov/17/15 Jan/21/16
Description: 0010-10636 P-CHUCK 200MM
svcstore NEW - $103.99 0 Nov/18/15 Nov/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $97.99 0 Nov/18/15 Nov/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
helixtek NEW - $400.00 0 Nov/18/15 Dec/18/15
Description: Applied Materials Mirra CMP 200mm main frm bkhd aop2 cable,pneutronic 0140-77419
helixtek NEW - $1,500.00 0 Nov/18/15 Dec/18/15
Description: Applied Materials 3400 Mirra CMP 200mm analog/digital cable assy 0150-77049
helixtek Used - $4,500.00 0 Nov/18/15 Dec/18/15
Description: Confirmed working Applied Materials Mirra CMP 200mm MEI BOARD 0660-01820
helixtek NEW - $1,500.00 1 Nov/18/15 Dec/14/15
Description: Applied Materials Mirra CMP 200mm hclu pedestal vac trap assy 0010-77348
svcstore Used - $199.99 0 Nov/19/15 Nov/24/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore Used - $499.99 0 Nov/19/15 Nov/24/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $88.99 0 Nov/19/15 Nov/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $96.99 0 Nov/19/15 Nov/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $119.99 0 Nov/19/15 Nov/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $88.99 0 Nov/19/15 Nov/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $30.99 0 Nov/20/15 Nov/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
gosemicat NEW - $1,250.00 0 Nov/20/15 Feb/25/16
Description: AMAT 0010-10569 Housing Assy, Hot Plate, 200mm TiCl4 TiN
svcstore Used - $299.99 0 Nov/21/15 Nov/26/15
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svcstore NEW - $103.99 0 Nov/23/15 Nov/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $97.99 0 Nov/23/15 Nov/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $199.99 0 Nov/24/15 Nov/29/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore Used - $499.99 0 Nov/24/15 Nov/29/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $96.99 0 Nov/24/15 Nov/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $88.99 0 Nov/24/15 Nov/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $88.99 0 Nov/24/15 Nov/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $119.99 0 Nov/24/15 Nov/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $30.99 0 Nov/25/15 Nov/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $299.99 0 Nov/26/15 Dec/01/15
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
supertechshop NEW - $499.95 0 Nov/26/15 Dec/26/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5MI/ 0.8" THK 0020-32909
svcstore NEW - $103.99 0 Nov/28/15 Dec/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $97.99 0 Nov/28/15 Dec/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $199.99 0 Nov/29/15 Dec/04/15
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore Used - $499.99 0 Nov/29/15 Dec/04/15
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $96.99 0 Nov/29/15 Dec/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $88.99 0 Nov/29/15 Dec/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $119.99 0 Nov/29/15 Dec/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $88.99 0 Nov/29/15 Dec/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $30.99 0 Nov/30/15 Dec/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
artsemi Scrap, for parts - $495.00 0 Nov/30/15 Oct/12/16
Description: Novellus C2 ESC, 200mm, 02-121642-00, 15-121119-00
svliquidate Used - $195.00 0 Nov/30/15 Dec/30/15
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
svliquidate Used - $199.00 0 Nov/30/15 Dec/30/15
Description: AMAT RF Flange 200mm Bracket Producer 0040-38036 / Warranty
svliquidate NEW - $399.00 0 Nov/30/15 Dec/30/15
Description: NEW AMAT 0040-38036 RF Flange 200mm Bracket Producer Applied Materials / Sealed
svcstore Used - $299.99 0 Dec/01/15 Dec/06/15
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
yericomfg Refurbished - $12,000.00 0 Dec/03/15 Aug/08/18
Description: Novellus ESC 200mm HDP MC TYPE, 02-121096-00, 15-118976-00 REV H, Refurbished
svcstore NEW - $103.99 0 Dec/03/15 Jan/02/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $97.99 0 Dec/03/15 Jan/02/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $96.99 0 Dec/04/15 Jan/03/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $88.99 0 Dec/04/15 Jan/03/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $119.99 0 Dec/04/15 Jan/03/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore Used - $499.99 0 Dec/04/15 Jan/03/16
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $88.99 0 Dec/05/15 Jan/04/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $30.99 0 Dec/05/15 Jan/04/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $299.99 0 Dec/06/15 Jan/05/16
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
usfoundry1 NEW - $200.00 0 Dec/07/15 Jan/06/16
Description: AMAT 196 Ceramic Shield 0200-09072 (200mm)
usfoundry1 NEW - $225.00 0 Dec/07/15 Jan/06/16
Description: AMAT 196 Ceramic Insert 0200-09075 (200mm)
usfoundry1 NEW - $220.00 0 Dec/07/15 Jan/06/16
Description: AMAT Blocker Plate 0020-10936 (200mm)
usfoundry1 NEW - $225.00 0 Dec/07/15 Jan/06/16
Description: AMAT Ceramic Wafer Ring Lifter 0020-10192 (200mm)
usfoundry1 NEW - $190.00 0 Dec/07/15 Jan/06/16
Description: AMAT 0020-09031 Insulator Cathode (200mm)
katiil3 Used - $999.00 1 Dec/29/15 Feb/12/16
Description: AMAT 0040-18053 PEDESTAL 200MM
ptb-sales Used - $875.00 0 Dec/28/15 Jan/07/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
supertechshop NEW - $499.95 0 Dec/27/15 Jan/26/16
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5MI/ 0.8" THK 0020-32909
usedeqsales Used - $912.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 715-250593-001 200mm Top Baffle New
usedeqsales Used - $1,512.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 715-249056-001 200mm ESC Upper Confinment Ring OEM Refurbished
yayais2012 Used - $350.00 0 Dec/14/15 Jan/13/16
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
svcstore NEW - $97.99 0 Jan/02/16 Feb/01/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $103.99 0 Jan/02/16 Feb/01/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $82.99 0 Jan/03/16 Feb/02/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341-01
svcstore NEW - $88.99 0 Jan/03/16 Feb/02/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore Used - $499.99 0 Jan/03/16 Feb/02/16
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $88.99 0 Jan/04/16 Feb/03/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $119.99 0 Jan/03/16 Feb/02/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $96.99 0 Jan/03/16 Feb/02/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
ecomicron NEW - $950.00 0 Jan/04/16 Jul/26/18
Description: 0200-00637 SHADOW RING,QUARTZ,200MM,EMAX
ecomicron NEW - $4,900.00 0 Jan/04/16 Oct/17/18
Description: 0200-09672 RING, CLAMP, CER, NOTCH, AL, 200MM, 1.38, AMAT
svcstore NEW - $30.99 0 Jan/04/16 Feb/03/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore Used - $299.99 0 Jan/05/16 Feb/04/16
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svliquidate Used - $199.00 0 Jan/06/16 Feb/05/16
Description: AMAT RF Flange 200mm Bracket Producer 0040-38036 / Warranty
svliquidate Used - $195.00 0 Jan/06/16 Feb/05/16
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
usfoundry1 NEW - $120.00 0 Jan/06/16 Feb/05/16
Description: AMAT 196 Ceramic Shield 0200-09072 (200mm)
usfoundry1 NEW - $175.00 0 Jan/06/16 Feb/05/16
Description: AMAT Ceramic Wafer Ring Lifter 0020-10192 (200mm)
usfoundry1 NEW - $200.00 0 Jan/06/16 Feb/05/16
Description: AMAT Blocker Plate 0020-10936 (200mm)
usfoundry1 NEW - $150.00 0 Jan/06/16 Feb/05/16
Description: AMAT 196 Ceramic Insert 0200-09075 (200mm)
usfoundry1 NEW - $190.00 0 Jan/07/16 Feb/06/16
Description: AMAT 0020-09031 Insulator Cathode (200mm)
svliquidate NEW - $399.00 0 Jan/07/16 Feb/06/16
Description: NEW AMAT 0040-38036 RF Flange 200mm Bracket Producer Applied Materials / Sealed
ptb-sales Used - $875.00 0 Jan/07/16 Jan/17/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
conquer_2011 NEW - $2,950.00 0 Jan/08/16 Feb/07/16
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
helixtek NEW - $250.00 0 Jan/10/16 Aug/28/16
Description: Applied Materials Mirra CMP 200mm titanII edge control ring 0021-11230
helixtek NEW - $1,250.00 0 Jan/10/16 Aug/28/16
Description: Amat mirra 200mm cmp polisher model 3400 Titanii 4 port spindle 0040-77641
helixtek Used - $1,000.00 0 Jan/10/16 Aug/28/16
Description: Applied Materials Mirra CMP 200mm used driven pulley 0040-77170
helixtek Used - $450.00 0 Jan/10/16 Feb/09/16
Description: Applied Materials Mirra CMP 200mm TitanII Nickel gimbal 0040-83753
helixtek NEW - $150.00 0 Jan/10/16 Feb/09/16
Description: Applied Materials Mirra CMP 200mm robot flag 0021-79809
helixtek NEW - $400.00 0 Jan/10/16 Feb/09/16
Description: Applied Materials Mirra CMP 200mm 0090-77032 vac switch
helixtek Used - $175.00 0 Jan/10/16 Aug/28/16
Description: Applied Materials Mirra CMP 200mm titanII lower flange 0021-79222
helixtek NEW - $1,000.00 0 Jan/10/16 Feb/09/16
Description: Applied Materials Mirra CMP 200mm titanII lower housing 0021-78060
helixtek Used - $450.00 0 Jan/10/16 Aug/28/16
Description: Applied Materials Mirra CMP 200mm wet robot blade bracket 0021-15981
helixtek NEW - $250.00 0 Jan/10/16 Aug/28/16
Description: Applied Materials Mirra CMP 200mm NSK Motor Mount 0020-77214
svcstore Used - $178.99 0 Jan/10/16 Feb/09/16
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
tjtechseller Used - $23,000.00 0 Jan/12/16 Jan/22/16
Description: 0010-05994 0040-40978 0040-40980 Applied Material AMAT ETCH ESC 200MM CENTURA
tjtechseller NEW - $650.00 0 Jan/13/16 Jan/20/16
Description: 0020-30797 Applied Material AMAT Centura wPLATE PERF 200MM GIANT GAP NITRIDE
tjtechseller NEW - $6,500.00 0 Jan/13/16 Jan/20/16
Description: 0021-35008 Applied Materials AMAT Centura RTP XE XE+ PLATE REFLECTOR 200MM XE CH
yayais2012 Used - $280.00 0 Jan/14/16 Aug/09/18
Description: NOVELLUS Shower Head 200mm 03-800258-00 A LOT OF 2
redrockranch NEW - $399.00 0 Jan/14/16 Feb/13/16
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
tjtechseller Used - $650.00 0 Jan/15/16 Jan/22/16
Description: 0040-09136 Applied Material AMAT P5000 CVD CHAMBER 200MM BW
tjtechseller Used - $1,350.00 0 Jan/18/16 Jan/25/16
Description: 0010-02905 0040-09098 Applied Material AMAT P5000 BLADE P5000 ROBOT 200MM
ecomicron NEW - $1,400.00 1 Jan/14/16 Feb/23/17
Description: 0020-42112, AMAT, CYLINDER, LIFT, AL, 200MM, CERAMIC
ptb-sales Used - $875.00 0 Jan/18/16 Jan/28/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
helixtek NEW - $1,500.00 0 Jan/18/16 Feb/17/16
Description: Applied Materials 3400 Mirra CMP 200mm analog/digital cable assy 0150-77049
helixtek NEW - $400.00 0 Jan/18/16 Feb/17/16
Description: Applied Materials Mirra CMP 200mm main frm bkhd aop2 cable,pneutronic 0140-77419
tjtechseller NEW - $650.00 0 Jan/20/16 Jan/27/16
Description: 0020-30797 Applied Material AMAT Centura wPLATE PERF 200MM GIANT GAP NITRIDE
tjtechseller NEW - $6,500.00 0 Jan/20/16 Jan/27/16
Description: 0021-35008 Applied Materials AMAT Centura RTP XE XE+ PLATE REFLECTOR 200MM XE CH
tjtechseller Used - $19,550.00 0 Jan/22/16 Feb/01/16
Description: 0010-05994 0040-40978 0040-40980 Applied Material AMAT ETCH ESC 200MM CENTURA
tjtechseller Used - $650.00 0 Jan/22/16 Jan/29/16
Description: 0040-09136 Applied Material AMAT P5000 CVD CHAMBER 200MM BW
ecomicron NEW - $2,800.00 0 Jan/22/16 Mar/03/21
Description: 0010-09787, AMAT, ASSY SUSC 200MM T2 BSE
ecomicron NEW - $2,800.00 0 Jan/22/16 Sep/06/18
Description: 0010-10036, AMAT,ASSY,SUSC, BB TEOS, 200MM SCREWLESS
desert_dweller77 Used - $600.00 1 Jan/23/16 Apr/06/16
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
capitolareatech NEW - $29.07 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0770-01071 HERAEUS 0978-4754 WFR 200MM NOTCH QUARTZ
capitolareatech NEW - $350.00 0 Jan/23/16 Feb/24/16
Description: NOVELLUS 15-033113-00 STATION,CENTERING,200MM
capitolareatech NEW - $5,100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0242-15018 KIT,IS,CONS,PLANAR,200MM NOTCH,KALREZ
capitolareatech NEW - $5,421.01 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-95226 WEIGHT, 200MM CASSETTE, CLAMPING
capitolareatech NEW - $637.34 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39559 INSERT, LINER HT TIN CVD 200MM
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39520 BRACKET,TANTALUM VAPORIZER,200MM
capitolareatech NEW - $700.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09961 Pedestal, 200mm Notch, IS, NI Lift2, HVCE
capitolareatech NEW - $550.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-62420 0040-62420..CAP, LID, 200MM ALD TAN
capitolareatech NEW - $26.62 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-41085 Foreline, Vertical Producer 200MM
capitolareatech Used - $225.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-10191 PLATE BLOCKER 200MM
capitolareatech NEW - $1,300.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-09152 PEDESTAL, 200MM, SHOWER DBL NOTCH
capitolareatech NEW - $200.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04306 *INSERT,200MM EXT CATHOD
capitolareatech NEW - $904.37 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-05764 COVER, HOUSING 200mm
capitolareatech NEW - $349.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13794 SHIELD, BACK, UPPER, 200MM, IECP
capitolareatech NEW - $130.56 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13695 PLATE HARD STOP 200MM CWXZ
capitolareatech NEW - $51.78 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13145 SPACER, PNEUM VALVE, HE, 200MM RADIANCE
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13109 Temperature Block SW 200MM CWXZ
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-12758 CLAMP GAS LINE 200MM CWXZ
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-12611 KEEPER LIFT TUBE RING 200MM CWXZ
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11375 Sleeve Plunger SRD, Flywheel, 200MM SRD
capitolareatech NEW - $485.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11186 LINER, EXHAUST, NI PLATED, 200MM TICL4
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-08774 Guide Cassette Universal MNL FEND 200MM
capitolareatech NEW - $690.87 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-08722 PLUNGER, SPINDLE, 200MM IBC, IECP
capitolareatech NEW - $202.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-08228 Adapter, Handle, Clamp Ring, 200mm PRE-C
capitolareatech NEW - $456.90 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-07748 BRACKET, MAGNET SUPPORT, EMAX 200MM
capitolareatech NEW - $271.94 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-06306 200MM MDP Right Weather Support Fin
capitolareatech NEW - $271.94 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-06305 200MM MDP LEFT Wafer Support Fin
capitolareatech NEW - $750.60 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-04686 PLATE, HEATER LEVELING, 200MM UNIVERSAL
capitolareatech NEW - $422.58 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-02818 FLANGE, THROTTLE VALVE, 200MM SACVD PROD
capitolareatech NEW - $98.82 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-02624 CLAMP ISOLATOR, VIEW LID, 200MM CUXZ
supertechshop NEW - $499.95 0 Jan/26/16 Feb/25/16
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5MI/ 0.8" THK 0020-32909
dr.dantom NEW - $40.00 0 Jan/27/16 Feb/19/20
Description: Applied Materials 0270-03676 BOLT ESC REMOVAL CATHODE ASSY STAND 200MM ULTIMA
ecomicron NEW - $1,800.00 0 Jan/28/16 Oct/18/16
Description: 0200-18095 AMAT, COLLAR, MIN. CONTACT 200MM SNNT, HDP-CVD
visionsemi Used - $2,985.00 1 Jan/28/16 Feb/01/16
Description: APPLIED MATERIALS AMAT DxZ CENTURA CHAMBER LID ASSY W/LID COVER 0010-38107 200MM
ecomicron NEW - $400.00 0 Jan/28/16 Apr/26/21
Description: 0200-00639 AMAT, INSERT RING,SILICON,200MM NOTCH,SI/QTZ O
ecomicron Used - $1,000.00 1 Jan/28/16 Mar/03/16
Description: 0021-23332 AMAT, SHIELD, UPPER, STRAIGHT, SIP II 200MM
ptb-sales Used - $875.00 0 Jan/29/16 Feb/08/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
ecomicron Used - $1,000.00 0 Jan/29/16 Aug/08/18
Description: 0200-18108, AMAT, COLLAR, MIN CONTACT 200MM MOD JMF, HDPCV
ecomicron NEW - $1,600.00 0 Jan/29/16 Feb/29/24
Description: 0200-35783, AMAT, SHADOW RING, 200MM NOTCH(2), SI/QTZ OXID
tjtechseller Used - $552.50 0 Jan/31/16 Feb/03/16
Description: 0040-09136 Applied Material AMAT P5000 CVD CHAMBER 200MM BW
ecomicron NEW - $1,400.00 0 Feb/01/16 Sep/17/18
Description: 0200-36696, AMAT, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
ecomicron NEW - $1,500.00 0 Feb/01/16 Mar/22/16
Description: 0200-00602, AMAT, RING, SINGLE, LOW PROFILE, 200MM SNNF, Q
tjtechseller Used - $23,000.00 0 Feb/01/16 Feb/03/16
Description: 0010-05994 0040-40978 0040-40980 Applied Material AMAT ETCH ESC 200MM CENTURA
ecomicron NEW - $1,500.00 0 Feb/02/16 Feb/02/22
Description: 0010-05029, 0021-13481 AMAT, COVER ASSEMBLY, SAFETY, RADIANCE 200MM
ecomicron NEW - $500.00 0 Feb/02/16 Feb/02/22
Description: 0020-32131 AMAT, INSERT INNER N15 200MM ESC
ecomicron Used - $3,500.00 1 Feb/02/16 Jan/04/22
Description: 0040-31815, Applied Materials, SHELL ASSY,200MM,NOTCH(2),MONO,SOLID,
ecomicron Used - $6,000.00 0 Feb/02/16 Oct/02/18
Description: 0040-18219, Applied Materials,LASED, PEDESTAL, 200MM SNNF SML FLT W/WT
tjtechseller Used - $1,350.00 0 Feb/03/16 Mar/07/16
Description: 0010-02905 0040-09098 Applied Material AMAT P5000 BLADE P5000 ROBOT 200MM
tjtechseller NEW - $650.00 0 Feb/03/16 Jul/10/17
Description: 0020-30797 Applied Material AMAT Centura wPLATE PERF 200MM GIANT GAP NITRIDE
tjtechseller NEW - $5,700.00 0 Feb/03/16 Nov/10/16
Description: 0021-35008 Applied Materials AMAT Centura RTP XE XE+ PLATE REFLECTOR 200MM XE CH
tjtechseller Used - $650.00 0 Feb/03/16 Jul/10/17
Description: 0040-09136 Applied Material AMAT P5000 CVD CHAMBER 200MM BW
tjtechseller Used - $20,000.00 0 Feb/03/16 Aug/04/16
Description: 0010-05994 0040-40978 0040-40980 Applied Material AMAT ETCH ESC 200MM CENTURA
svcstore NEW - $87.99 0 Feb/03/16 Mar/04/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $92.99 0 Feb/03/16 Mar/04/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $106.99 0 Feb/03/16 Mar/04/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $79.99 0 Feb/03/16 Mar/04/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $86.99 0 Feb/03/16 Mar/04/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $499.99 0 Feb/03/16 Mar/04/16
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
svcstore NEW - $79.99 0 Feb/04/16 Mar/05/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $26.99 0 Feb/05/16 Feb/05/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
xltechtexas NEW - $2,350.00 0 Feb/05/16 Apr/19/17
Description: AMAT 0200-00632 RING, SHADOW 3MM 200MM WXZ
svcstore Used - $299.99 0 Feb/06/16 Mar/07/16
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svliquidate NEW - $399.00 0 Feb/06/16 Mar/07/16
Description: NEW AMAT 0040-38036 RF Flange 200mm Bracket Producer Applied Materials / Sealed
svliquidate Used - $195.00 0 Feb/06/16 Mar/07/16
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
svliquidate Used - $199.00 0 Feb/06/16 Mar/07/16
Description: AMAT RF Flange 200mm Bracket Producer 0040-38036 / Warranty
conquer_2011 NEW - $2,950.00 0 Feb/07/16 Mar/08/16
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
usfoundry1 NEW - $100.00 0 Feb/08/16 Mar/09/16
Description: AMAT 196 Ceramic Shield 0200-09072 (200mm)
usfoundry1 NEW - $150.00 0 Feb/08/16 Mar/09/16
Description: AMAT Blocker Plate 0020-10936 (200mm)
usfoundry1 NEW - $125.00 0 Feb/08/16 Mar/09/16
Description: AMAT Ceramic Wafer Ring Lifter 0020-10192 (200mm)
usfoundry1 NEW - $150.00 0 Feb/08/16 Mar/09/16
Description: AMAT 0020-09031 Insulator Cathode (200mm)
usfoundry1 NEW - $125.00 0 Feb/08/16 Mar/09/16
Description: AMAT 196 Ceramic Insert 0200-09075 (200mm)
ptb-sales Used - $875.00 0 Feb/08/16 Feb/18/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
ecomicron NEW - $800.00 0 Feb/09/16 Jun/14/18
Description: 0030-09085, AMAT, BARRIER SEAL,S20,200MM,NOTCH
ecomicron NEW - $1,600.00 0 Feb/10/16 May/03/17
Description: 0200-00624, AMAT, RING PURGE 200MM WXZ+ NCSR
dqtren NEW - $18,000.00 0 Feb/10/16 Mar/11/16
Description: 0010-06229, NEW, AMAT 200MM ESC ASSY, E-MAX
svcstore Used - $160.99 0 Feb/11/16 Mar/12/16
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
ecomicron NEW - $2,000.00 0 Feb/11/16 May/03/17
Description: 0200-00621, AMAT, RING SHADOW, 200MM WXZ+ NCSR 1.5MM EE
tjtechseller NEW - $800.00 1 Feb/18/16 Aug/11/17
Description: 0021-01813 Applied Materials AMAT FACEPLATE TEOS PRODUCER 200MM SHOWERHEAD
xltechtexas NEW - $46.80 16 Feb/18/16 Apr/19/17
Description: AMAT 0200-09071 PIN,WAFER LIFT, 200MM CVD LAMP HEATED CHAMBERS
redrockranch NEW - $399.00 0 Feb/15/16 Mar/16/16
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
ptb-sales Used - $875.00 0 Feb/22/16 Mar/03/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
asmtk Used - $20,000.00 0 Feb/23/16 Oct/01/21
Description: APPLIED MATERIALS 0010-39903 CATHODE ASSY, ESC, 200MM, SNNF, AIO, IPS AMAT
asmtk Used - $4,500.00 0 Feb/23/16 Oct/01/21
Description: APPLIED MATERIALS 0010-05965 ASSY, ESC/RF, 200MM FLAT, DUAL ZONE AMAT
asmtk Used - $15,000.00 0 Feb/23/16 Oct/01/21
Description: APPLIED MATERIALS 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT AMAT
asmtk Used - $6,500.00 0 Feb/23/16 Oct/01/21
Description: APPLIED MATERIALS 0040-18053 PEDESTAL, HOLES 200mm BLUE SNNF AMAT
asmtk Used - $18,000.00 0 Feb/23/16 Oct/01/21
Description: APPLIED MATERIALS 0010-02911 (0040-05492) ASSY, ESC/RF, 200MM, NOTCH, EMAX AMAT
be4049 NEW - $500.00 0 Feb/23/16 Mar/22/21
Description: AMAT 0200-40169 Cover Ring 200mm SNNF Non-Contact EA
be4049 NEW - $2,000.00 0 Feb/23/16 Sep/15/20
Description: AMAT 0200-40130 Cover Plate Si 200mm ½" Thick 16
capitolareatech NEW - $1,120.00 0 Feb/24/16 Mar/26/16
Description: APPLIED MATERIALS INC 0010-08114 ASSY, GRIPPER, UPGRADE, 200MM MESA
capitolareatech NEW - $1,120.00 0 Feb/24/16 Mar/26/16
Description: APPLIED MATERIALS INC 0010-08113 ASSY, INPUT GRIPPER, UPGRADE, 200MM MESA
capitolareatech NEW - $450.00 0 Feb/24/16 Mar/26/16
Description: Applied Materials 0010-00839 Pedestal, Assembly 200mm OXIDE
capitolareatech NEW - $6,460.62 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-39339 200MM SWLL LLB SINGLE SLOT WAFER LIFT HO
capitolareatech NEW - $6,116.29 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-39338 200MM SWLL LLA SINGLE SLOT WAFER LIFT HO
capitolareatech NEW - $3,500.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-23172 ASSEMBLY, TOP MATCH, 200MM ULTIMA X, HDP
capitolareatech NEW - $725.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-22228 ASSY, CASS PRESENT SENSOR 200MM LLA
capitolareatech NEW - $931.46 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-20474 ASSY, CASSETTE PRESENT LLA 200MM
capitolareatech NEW - $216.31 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-14780 Hose, Assembly. Jumper - 24" , 200MM PRE-CLE, Push-On 3/8 (9.5mm
capitolareatech NEW - $1,526.35 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-14736 Assembly, Fast Lift, 200MM Hart Chamber
capitolareatech NEW - $9,000.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-08580 ASSY, DIW INLET W/O CDA RGLTR, 200MM MESA
capitolareatech NEW - $450.00 0 Feb/24/16 Mar/26/16
Description: APPLIED MATERIALS 0020-31723 PEDESTAL, 200MM, POLY, NOTCH, 218MM, SHU
capitolareatech NEW - $175.00 0 Feb/24/16 Mar/26/16
Description: APPLIED MATERIALS 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM N
capitolareatech NEW - $45.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-40379 Spool Double KF 40X1.12L, 200mm Throttle Valve
capitolareatech NEW - $125.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-40376 Arm Rod Shaft, Butterfly, 200MM Throttle Valve
capitolareatech NEW - $238.95 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-32131 Insert Inner N15 200MM ESC
capitolareatech NEW - $750.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-31509 Pedestal, Rimless, Ox/MLR/Nit, 200MM, NO
capitolareatech NEW - $125.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-31461 COVER, PEDESTAL, 200MM POLY, 218MM SHUNT
capitolareatech NEW - $90.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-31459 INSERT,AL,200MM POLY, 218MM SHUNT
capitolareatech NEW - $82.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-31304 INSERT, NI, OUTER, 200MM POLY, 218MM NI
capitolareatech NEW - $33.11 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-31252 FINGERS REMOVABLE AL 200MM 200 EXCLUSION
capitolareatech NEW - $134.64 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-30585 INSERT NI POLY/WSI 200MM
capitolareatech NEW - $700.00 0 Feb/24/16 Jun/24/16
Description: Applied Materials 0040-09961 Pedestal, 200mm Notch, IS, NI Lift2, HVCEN,.
capitolareatech NEW - $65.00 0 Feb/24/16 Mar/26/16
Description: APPLIED MATERIALS 0020-31460 INSERT, INNER, NI, 200MM POLY, 218MM SHU
capitolareatech NEW - $500.00 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-84669 Gripper Claw, Upper, RH, 200mm
capitolareatech NEW - $125.00 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-81559 Finger, Wafer Holder, 200MM Desica, CMP
capitolareatech NEW - $237.28 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-81184 SHIELD, LIFT PIN, 200MM IBC, SC ECP
capitolareatech NEW - $1,100.00 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-76725 PUSHER PLATE, 200MM SRD, SC ECP
capitolareatech NEW - $1,500.00 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-75176 LID PLATE 200MM TaN-ALD
capitolareatech NEW - $550.00 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-62420 0040-62420..CAP, LID, 200MM ALD TAN
capitolareatech NEW - $5,093.34 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-60593 LASED, PEDESTAL, 200MM, JMF SMALL FLAT D
capitolareatech NEW - $80.00 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-50758 0040-50758..ADAPTER, PRECURSOR FEEDTHROUGH, 200MM AL
capitolareatech NEW - $4,806.71 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-48339 Faceplate, Dual Gas, 200MM TICL4 TIN
capitolareatech NEW - $120.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-11789 Cable Assembly, SRD Exhaust 200MM MESA
capitolareatech NEW - $765.92 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-18035 COLLAR,BOTTOM,2 PIECE 200MM,JMF
capitolareatech NEW - $900.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-10663 Collar ESC, 200MM SNNF, HDPK, NEW
capitolareatech NEW - $1,350.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-10643 COVER PLATE Si,200MM,.062 THK,HDPK
capitolareatech NEW - $513.95 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-10460 CLAMP RING COVER, QUARTZ, 200MM, POLY
capitolareatech NEW - $597.80 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-10292 COLLAR, OUTER, QUARTZ, 200MM ESC
capitolareatech NEW - $1,100.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-10267 COVER,QUARTZ,200MM FLAT,S-XTAL,HIGH PROF
capitolareatech NEW - $347.28 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-10192 SHIELD, DELTA NITRIDE, 200MM
capitolareatech NEW - $576.09 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-10129 RING,ISOLATOR,200MM
capitolareatech NEW - $927.05 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-09834 RING OUTER,200MM,NOTCH, SR,BWCVD
capitolareatech NEW - $1,331.22 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-09780 FOCUS RING, 200MM, POLY/ POLYCIDE, EXT,
capitolareatech NEW - $1,800.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-09706 RING,CENTERING,200MM 194 MM,NOTCH(200.5)
capitolareatech NEW - $103.74 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-09697 FINGER, CER, AL, 200MM, 2MM EXCLUSION
capitolareatech NEW - $261.34 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-09661 INSERT, QTZ, 200MM, EXT CATHODE, XTAL
capitolareatech NEW - $750.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-09619 COVER,WRAPAROUND,QTZ, 200MM, XTAL TIER I
capitolareatech NEW - $1,209.57 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-09574 HOOP,REV 1 CERAMIC,200MM
capitolareatech NEW - $968.98 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-09573 COVER, QTZ, OX/MLR/NIT, 200MM
capitolareatech NEW - $45.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-04992 Nozzle,. 020 Hole, Aluminum. 200mm
capitolareatech NEW - $1,434.71 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-03206 CERAMIC DOOR, SLIT LINER, HART 200MM
capitolareatech NEW - $2,144.18 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-03168 DEP RING, 200MM CLEANCOAT, BARE, 99.8%,
capitolareatech NEW - $1,900.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-02962 SINGLE RING QUARTZ 200MM SNNF (.25 HT) R
capitolareatech NEW - $476.66 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-02904 SINGLE RING CERAMIC 200MM SNNF (0.0 HEIG
capitolareatech NEW - $65.00 0 Feb/25/16 Jun/24/16
Description: Applied Materials 0200-09614 Pin, Lift wafer 200mm BWCVD
capitolareatech NEW - $350.00 0 Feb/25/16 Jun/24/16
Description: NOVELLUS SYSTEMS 15-033113-00 STATION,CENTERING,200MM
capitolareatech NEW - $350.00 0 Feb/25/16 Jun/24/16
Description: Novellus 15-032777-00 EXCL. Ring, 200mm, 2.0mm
capitolareatech NEW - $338.15 0 Feb/25/16 Mar/02/16
Description: AMAT 3250-01069 CNTNR WFR CARR FOR CASS 200MM 25 SLOTS
capitolareatech NEW - $35.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0040-99997 Gauge SS VCR Assembly, Pedestal, 200MM ESC, Range: 100PSI, Type:
supertechshop NEW - $499.95 0 Feb/25/16 Mar/26/16
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5MI/ 0.8" THK 0020-32909
logansemi Used - $550.00 1 Feb/26/16 Sep/22/16
Description: AMAT Applied Materials 200mm Shield Upper Floating, 0021-23332, Cleaned / Bagged
logansemi Used - $450.00 0 Feb/26/16 Sep/22/16
Description: AMAT Applied Materials 200mm Cover Ring. 0020-19706, Cleaned and Bagged
logansemi Used - $450.00 1 Feb/26/16 Sep/22/16
Description: AMAT Applied Materials 200mm UPPER SHIELD, 0021-21444, Cleaned and Bagged
vipermn NEW - $1,500.00 0 Feb/27/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 0020-32128 ESC CHUCK 200MM NOTCH HV IB NI - NEW (14)
vipermn NEW - $1,500.00 0 Feb/27/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 0020-32128 ESC CHUCK 200MM NOTCH HV IB NI - NEW (15)
capitolareatech NEW - $250.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0020-10842 SHIELD 200MM,EB
capitolareatech NEW - $250.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0270-01584 TOOL PLUG HTR 200MM CWXZ
capitolareatech NEW - $125.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-61597 TUBE, PUMP OUTLET TO 3-WAY VALVE & PV19, 200MM MEG LDM
capitolareatech NEW - $650.00 0 Feb/29/16 Jun/28/16
Description: LAM 716-800951-300 Base, FCS, Ring, Shld, 200MM & 300 M
capitolareatech Refurbished - $500.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0200-40108 COVER PLATE 200MM 1/4" THK E-CHUCK
capitolareatech Refurbished - $187.50 0 Feb/29/16 Jun/28/16
Description: LAM RESEARCH 713-031390-001 RING, UPR GAS DUAL FEED 200MM
ecomicron NEW - $15,000.00 0 Mar/01/16 Jun/26/20
Description: 0010-20480, AMAT, ASSY 200MM CASSETTE HANDLER LEFT (LLA)
sparesllc09 Used - $2,310.00 0 Mar/01/16 Jul/05/19
Description: 0010-10327 /SHELL ASSY ESC ,200MM,NOTCH(2),5MIC,0.8 THK,S/ APPLIED MATERIALS
capitolareatech Scrap, for parts - $125.00 0 Mar/02/16 Jun/30/16
Description: AMAT 0020-10117 PLATE PERF OXIDE 200MM
capitolareatech NEW - $1,795.00 0 Mar/02/16 Apr/07/16
Description: AMAT 0200-18109 COLLAR, 200MM,SNNF,SMLFLT,ULTIMA/AC
conquer_2011 NEW - $2,950.00 0 Mar/08/16 Apr/07/16
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
dr.dantom NEW - $3,500.00 0 Mar/08/16 Mar/09/16
Description: Applied Materials AMAT 0010-22641 Head Assy 8" Titan II 200MM Profiler No Cooper
dr.dantom Used - $2,000.00 0 Mar/09/16 Mar/09/16
Description: Applied Materials AMAT 0010-22641 Head Assy 8" Titan 200MM Profiler Non Cooper
powersell007 NEW - $14,999.00 1 Mar/09/16 May/31/17
Description: APPLIED MATERIALS 0010-77775 200MM TITAN PROFILER HEAD CMP MIRRA POLISHER AMAT
usfoundry1 NEW - $80.00 0 Mar/10/16 Apr/09/16
Description: AMAT 196 Ceramic Shield 0200-09072 (200mm)
usfoundry1 NEW - $95.00 0 Mar/10/16 Apr/09/16
Description: AMAT Ceramic Wafer Ring Lifter 0020-10192 (200mm)
usfoundry1 NEW - $100.00 0 Mar/10/16 Apr/09/16
Description: AMAT Blocker Plate 0020-10936 (200mm)
usfoundry1 NEW - $95.00 0 Mar/10/16 Apr/09/16
Description: AMAT 196 Ceramic Insert 0200-09075 (200mm)
usfoundry1 NEW - $95.00 0 Mar/10/16 Apr/09/16
Description: AMAT 0020-09031 Insulator Cathode (200mm)
svcstore Used - $499.99 0 Mar/11/16 Apr/10/16
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
dqtren NEW - $14,000.00 0 Mar/12/16 Apr/11/16
Description: 0010-06229, NEW, AMAT 200MM ESC ASSY, E-MAX
capitolareatech NEW - $50.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0050-07513 GAS LINE,TA CHAMBER,TANTALUM,200MM
capitolareatech NEW - $50.00 0 Mar/16/16 Mar/16/16
Description: AMAT 0050-07513 GAS LINE,TA CHAMBER,TANTALUM,200MM
capitolareatech NEW - $250.00 0 Mar/16/16 Mar/16/16
Description: AMAT 0020-20918 plate, upper, magnet ring, 200mm
capitolareatech NEW - $250.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0020-20918 plate, upper, magnet ring, 200mm
redrockranch NEW - $399.00 0 Mar/16/16 Apr/13/16
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
ptb-sales Used - $875.00 0 Mar/18/16 Mar/28/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
katiil3 Used - $999.00 0 Mar/20/16 Mar/09/17
Description: AMAT 0040-18053 PEDESTAL 200MM
svcstore Used - $128.79 0 Mar/21/16 Apr/20/16
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
helixtek NEW - $150.00 0 Mar/21/16 Apr/20/16
Description: Applied Materials Mirra CMP 200mm robot flag 0021-79809
helixtek NEW - $400.00 0 Mar/21/16 Apr/20/16
Description: Applied Materials Mirra CMP 200mm main frm bkhd aop2 cable,pneutronic 0140-77419
helixtek NEW - $400.00 0 Mar/21/16 Apr/20/16
Description: Applied Materials Mirra CMP 200mm 0090-77032 vac switch
helixtek Used - $450.00 0 Mar/21/16 Apr/20/16
Description: Applied Materials Mirra CMP 200mm TitanII Nickel gimbal 0040-83753
helixtek NEW - $1,000.00 0 Mar/21/16 Apr/20/16
Description: Applied Materials Mirra CMP 200mm titanII lower housing 0021-78060
helixtek NEW - $1,500.00 0 Mar/21/16 Apr/20/16
Description: Applied Materials 3400 Mirra CMP 200mm analog/digital cable assy 0150-77049
svcstore Used - $239.99 0 Mar/21/16 Apr/20/16
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svcstore NEW - $79.99 0 Mar/23/16 Apr/22/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $79.99 0 Mar/23/16 Apr/22/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
grandbirdnet Used - $940.00 0 Mar/24/16 Jun/22/23
Description: AMAT 0010-14930 FINGER ASSY, PEEK, SHORT W/CLAMP 200MM , USED
ecomicron NEW - $180.00 0 Mar/25/16 Jul/18/17
Description: 3700-02581, AMAT, SEAL LIP 1.260SFT SPINDLE CHUCK-SHIELD EBR 200MM, Pack of 2
svcstore NEW - $106.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $86.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $92.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $87.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
supertechshop NEW - $499.95 0 Mar/26/16 Apr/25/16
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5MI/ 0.8" THK 0020-32909
ptb-sales Used - $875.00 0 Mar/28/16 Apr/07/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
ace449parts2010 Refurbished - $1,000.00 0 Mar/31/16 Oct/20/16
Description: AMAT, COLLAR, 200MM SNNF, SML FLT, ULTIMA 0020-18081
ace449parts2010 NEW - $450.00 0 Mar/31/16 Oct/20/16
Description: 0200-09072 SHIELD 200MM
ace449parts2010 NEW - $950.00 0 Mar/31/16 Nov/30/19
Description: 0020-10117 PLATE, PERFORATED OX 200MM TEOS
spsglobal NEW - $800.00 0 Apr/04/16 Nov/02/16
Description: AMAT APPLIED MATERIALS 0200-09072 SHIELD 200MM NEW
capitolareatech NEW - $29.07 0 Apr/05/16 Jul/04/16
Description: AMAT 0770-01071 WFR 200MM NOTCH QUARTZ
gti-semi Refurbished - $980.00 0 Apr/06/16 Nov/26/19
Description: AMAT, CERAMIC RING NITRIDE SHIELD 200MM GIANT GAP NITRIDE , p/n 0200-09405
capitolareatech Refurbished - $25.00 0 Apr/06/16 Jul/05/16
Description: AMAT 0200-39346 SHADOW RING, 200MM, NOTCH(2) SELF
capitolareatech NEW - $21.09 0 Apr/06/16 Jul/05/16
Description: NOVELLUS 10-024502-00 TUBE,DIVERT,LOWER,200mm,SYST.
svliquidate Used - $195.00 0 Apr/06/16 May/06/16
Description: AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
svliquidate Used - $199.00 0 Apr/06/16 May/06/16
Description: AMAT RF Flange 200mm Bracket Producer 0040-38036 / Warranty
capitolareatech NEW - $1,795.00 0 Apr/07/16 Jul/06/16
Description: AMAT 0200-18109 COLLAR, 200MM,SNNF,SMLFLT,ULTIMA/AC
gti-semi Used - $850.00 1 Apr/07/16 Nov/21/17
Description: AMAT 200mm BELL JAR, p/n 0040-21178, cleaned
conquer_2011 NEW - $2,950.00 0 Apr/07/16 May/07/16
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
ptb-sales Used - $875.00 0 Apr/08/16 Apr/18/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
svcstore Used - $499.99 0 Apr/10/16 May/10/16
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
gti-semi Used - $1,750.00 1 Apr/12/16 May/09/18
Description: AMAT, CASSETTE LOADER 200mm Lift and Rotate Cassette Trays, XR80, p/n 0010-92132
redrockranch NEW - $399.00 0 Apr/13/16 May/10/16
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
russellyd NEW - $1,600.00 0 Apr/18/16 May/18/16
Description: AMAT 0200-03404 EC, TOP LINER, HARP, SACVD 200MM PRODUCE
ptb-sales Used - $875.00 0 Apr/18/16 Apr/28/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
capitolareatech NEW - $15,950.00 0 Apr/19/16 Sep/15/16
Description: AMAT 0010-12123 ASSY PLC CONTROLLER 200MM WALD CH. A OR
ecomicron Used - $10,000.00 0 Apr/19/16 Oct/17/18
Description: 0010-02911 (0040-44645, 0040-05493) ASSY, ESC/RF, 200MM, NOTCH, EMAX AMAT
ecomicron Used - $10,000.00 0 Apr/19/16 Oct/17/18
Description: 0010-02911 (0040-05492, 0040-05493) ASSY, ESC/RF, 200MM, NOTCH, EMAX AMAT
capitolareatech NEW - $17.00 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-31685 SLEEVE, CENTERING, 200MM, XTAL TIER IV
capitolareatech NEW - $15.00 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-30090 Clamp,Shield 200MM
capitolareatech NEW - $18.36 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-10153 Buffer, Cassette, Male, 200MM
capitolareatech NEW - $12.33 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-10152 Buffer, Cassette, Female, 200MM
svcstore Used - $299.99 0 Apr/20/16 May/20/16
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svcstore Used - $160.99 0 Apr/20/16 May/20/16
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
capitolareatech NEW - $11.16 0 Apr/21/16 May/03/16
Description: LAM 796-098648-001 O-RING, SEAL, VAT 64 GATE, 200MM
svcstore NEW - $79.99 0 Apr/22/16 May/22/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $79.99 0 Apr/22/16 May/22/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $86.99 0 Apr/24/16 May/24/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $87.99 0 Apr/24/16 May/24/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $92.99 0 Apr/24/16 May/24/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $106.99 0 Apr/24/16 May/24/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
spsglobal Used - $4,000.00 0 Apr/25/16 Oct/25/16
Description: AMAT APPLIED MATERIALS 0010-20480 ASSY 200MM CASSETTE HANDLER LEFT (LLA) USED
usfoundry1 NEW - $95.00 0 Apr/25/16 May/25/16
Description: AMAT 196 Ceramic Insert 0200-09075 (200mm)
usfoundry1 NEW - $100.00 0 Apr/25/16 May/25/16
Description: AMAT Blocker Plate 0020-10936 (200mm)
usfoundry1 NEW - $95.00 0 Apr/25/16 May/25/16
Description: AMAT 0020-09031 Insulator Cathode (200mm)
usfoundry1 NEW - $80.00 1 Apr/25/16 Apr/26/16
Description: AMAT 196 Ceramic Shield 0200-09072 (200mm)
usfoundry1 NEW - $95.00 0 Apr/25/16 May/25/16
Description: AMAT Ceramic Wafer Ring Lifter 0020-10192 (200mm)
supertechshop NEW - $499.95 0 Apr/25/16 May/19/16
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5MI/ 0.8" THK 0020-32909
capitolareatech NEW - $135.24 0 Apr/29/16 May/02/16
Description: AMAT 0021-35946 Edge Ring, TXZ, 200MM, SNNF
ptb-sales Used - $875.00 0 Apr/29/16 May/09/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
capitolareatech Used - $495.00 0 May/02/16 May/03/16
Description: AMAT 0090-03661 ASSY, ELECTRONICS RACK, APC ENDURA 200MM
capitolareatech NEW - $1,395.00 0 May/02/16 May/03/16
Description: AMAT 1410-00233 HOUSING ASSY, HOT PLATE, 200MM TICL4 TIN
capitolareatech NEW - $135.24 0 May/02/16 May/03/16
Description: AMAT 0021-35946 Edge Ring, TXZ, 200MM, SNNF
capitolareatech NEW - $1,195.00 0 May/02/16 May/03/16
Description: AMAT 0021-11075 101 TTN PEDESTAL, 200MM FLOATING PIN, DE
capitolareatech NEW - $1,095.00 0 May/02/16 May/03/16
Description: AMAT 0021-23329 SHIELD, CHAMBER, SIP II 200MM
capitolareatech Used - $495.00 0 May/03/16 May/04/16
Description: AMAT 0090-03661 ASSY, ELECTRONICS RACK, APC ENDURA 200MM
capitolareatech NEW - $1,095.00 0 May/03/16 May/04/16
Description: AMAT 0021-23329 SHIELD, CHAMBER, SIP II 200MM
capitolareatech NEW - $1,395.00 0 May/03/16 May/04/16
Description: AMAT 1410-00233 HOUSING ASSY, HOT PLATE, 200MM TICL4 TIN
capitolareatech NEW - $1,195.00 0 May/03/16 May/04/16
Description: AMAT 0021-11075 101 TTN PEDESTAL, 200MM FLOATING PIN, DE
capitolareatech NEW - $135.24 0 May/03/16 May/04/16
Description: AMAT 0021-35946 Edge Ring, TXZ, 200MM, SNNF
srctech Used - $1,200.00 0 May/03/16 Oct/25/17
Description: AMAT 0010-09337 5000 CVD 200mm Lamp Module
capitolareatech Used - $495.00 0 May/04/16 May/09/16
Description: AMAT 0090-03661 ASSY, ELECTRONICS RACK, APC ENDURA 200MM
capitolareatech NEW - $1,095.00 0 May/04/16 May/09/16
Description: AMAT 0021-23329 SHIELD, CHAMBER, SIP II 200MM
capitolareatech NEW - $1,395.00 0 May/04/16 May/09/16
Description: AMAT 1410-00233 HOUSING ASSY, HOT PLATE, 200MM TICL4 TIN
capitolareatech NEW - $135.24 0 May/04/16 May/09/16
Description: AMAT 0021-35946 Edge Ring, TXZ, 200MM, SNNF
capitolareatech NEW - $1,195.00 0 May/04/16 May/09/16
Description: AMAT 0021-11075 101 TTN PEDESTAL, 200MM FLOATING PIN, DE
conquer_2011 NEW - $2,950.00 0 May/07/16 Jun/06/16
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
capitolareatech NEW - $135.24 0 May/09/16 May/09/16
Description: AMAT 0021-35946 Edge Ring, TXZ, 200MM, SNNF
capitolareatech NEW - $135.24 0 May/09/16 May/09/16
Description: AMAT 0021-35946 Edge Ring, TXZ, 200MM, SNNF
capitolareatech NEW - $135.24 0 May/10/16 May/10/16
Description: AMAT 0021-35946 Edge Ring, TXZ, 200MM, SNNF
redrockranch NEW - $399.00 0 May/10/16 Jun/08/16
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
svcstore Used - $499.99 0 May/10/16 Jun/09/16
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
sparesllc09 Used - $30,000.00 0 May/11/16 Aug/30/18
Description: 0240-37199 / KIT, STANDARD CATHODE, 200MM,METCH/POLY / APPLIED MATERIALS
usedeqsales Used - $602.58 1 May/13/16 Aug/17/16
Description: AMAT Applied Materials 0010-02905 Robot Blade 8" 200mm P5000 Precision 5000 Used
sammy_etek NEW - $7,000.00 1 May/14/16 Sep/18/20
Description: 0040-09094, Applied Materials, CHAMBER 200MM
sammy_etek NEW - $8,500.00 1 May/14/16 Sep/20/16
Description: 0040-79919, 0040-34865, AMAT, LINER, DGDP, CHAMBER, WAFFLE-LESS, 200MM
sammy_etek NEW - $2,000.00 0 May/14/16 Apr/02/17
Description: 0200-00854, Applied Materials, BLADE, ROBOT, 200MM QUARTZ, HP+
autoquip7 NEW - $11,000.00 0 May/15/16 Jul/25/22
Description: 0010-07155, Applied Materials, ASSEMBLY, TOP MATCH, ULTIMA X 200MM, HDP
ecomicron NEW - $5,000.00 0 May/17/16 May/27/16
Description: 0010-10451, AMAT, SUSC-ASSY, 200MM, WSIX-DCS
markcooperassociates Used - $397.00 0 May/18/16 Oct/12/22
Description: Novellus Heater Pedestal 02-033394-00 Type D, 200mm, 9" Diameter
autoquip7 NEW - $3,600.00 1 May/19/16 Jul/25/22
Description: 0020-13814, Applied Materials, 200MM BLADE W/WAFER POCKET MC-ROBOT P500
bobsgoodies Used - $1,200.00 1 May/20/16 Sep/20/16
Description: Applied Materials 0010-14736 Assembly, Fast Lift, 200MM Hart Chamber AMAT
artsemi Used - $6,000.00 0 May/20/16 Aug/09/18
Description: Durasource A Type Magnet Assembly, 200mm, AMAT 0010-20328, 8" PVD Man. by Magic
svcstore Used - $160.99 0 May/21/16 May/24/16
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore Used - $299.99 0 May/21/16 May/31/16
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svcstore NEW - $79.99 0 May/22/16 May/29/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $79.99 0 May/22/16 May/29/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
capitolareatech NEW - $135.24 0 May/24/16 May/24/16
Description: AMAT 0021-35946 Edge Ring, TXZ, 200MM, SNNF
gti-semi Refurbished - $28,000.00 0 May/25/16 May/26/16
Description: AMAT, Applied Materials, 200mm HP+ TXZ HEATER ASSY, p/n 0010-03244, Cleaned
svcstore NEW - $92.99 0 May/26/16 Jun/25/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $87.99 0 May/26/16 Jun/25/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $86.99 0 May/26/16 Jun/25/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $106.99 0 May/26/16 Jun/25/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore Used - $160.99 0 May/26/16 Jun/25/16
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
jinhyucle_0 NEW - $180.00 0 Jun/01/16 Dec/18/17
Description: AMAT 0020-62657 STRAP, GROUND, 200MM HDP-CVD ULTIMA PLUS, BRAND NEW, SEALED
jinhyucle_0 NEW - $150.00 0 Jun/01/16 Dec/18/17
Description: AMAT 0020-62656 STRAP, RF, 200MM HDP-CVD ULTIMA PLUS, BRAND NEW, SEALED
ace449parts2010 Used - $2,000.00 1 Jun/02/16 Aug/03/16
Description: AMAT, 0040-18053 ESC HDP ULTIMA 200MM BLUE HOLES
ace449parts2010 Used - $4,950.00 0 Jun/02/16 Jun/17/16
Description: AMAT, 0040-18053 ESC HDP ULTIMA 200MM BLUE HOLES
exper-tech Used - $1,000.00 0 Jun/02/16 Mar/01/19
Description: Novellus Heater Pedestal 02-033394-00 Type D, 200mm, 9" Diameter
svcstore NEW - $70.99 0 Jun/03/16 Jul/03/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $70.99 0 Jun/03/16 Jul/03/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore Used - $299.99 0 Jun/04/16 Jul/04/16
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
ypspare Used - $700.00 1 Jun/04/16 Jul/06/16
Description: Applied Materials 0010-09978 CVD 500 AU-PLATED 200MM LAMP MODULE #5392
ypspare Used - $700.00 1 Jun/04/16 Jul/23/16
Description: Applied Materials 0010-09978 5000 CVD AU-PLATED 200MM LAMP MODULE #5392-2
conquer_2011 NEW - $2,950.00 0 Jun/06/16 Jul/06/16
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
redrockranch NEW - $399.00 0 Jun/08/16 Jul/05/16
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
ecomicron NEW - $800.00 0 Jun/09/16 Jun/04/17
Description: 0140-01374, AMAT, HARNESS, CHAMBER, EMAX 200MM, CENTURA II
usfoundry1 NEW - $95.00 0 Jun/10/16 Jul/10/16
Description: AMAT Ceramic Wafer Ring Lifter 0020-10192 (200mm)
usfoundry1 NEW - $95.00 0 Jun/10/16 Jul/10/16
Description: AMAT 0020-09031 Insulator Cathode (200mm)
usfoundry1 NEW - $100.00 0 Jun/10/16 Jul/10/16
Description: AMAT Blocker Plate 0020-10936 (200mm)
usfoundry1 NEW - $95.00 1 Jun/10/16 Jun/22/16
Description: AMAT 196 Ceramic Insert 0200-09075 (200mm)
svcstore Used - $499.99 0 Jun/13/16 Jul/13/16
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
supertechshop NEW - $350.00 0 Jun/14/16 Jul/14/16
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5MI/ 0.8" THK 0020-32909
srctech Used - $13,000.00 1 Jun/22/16 Sep/03/24
Description: AMAT 200mm Dual Zone ALN Ceramic Heater
be4049 Used - $18,500.00 0 Jun/23/16 Sep/15/20
Description: Applied Materials 200mm Ceramic Heater 0040-42512
be4049 Used - $18,500.00 0 Jun/23/16 Jun/24/16
Description: Applied Materials 200mm Ceramic Heater 0040-42512
svcstore NEW - $77.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $82.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $78.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $95.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore Used - $143.99 0 Jun/28/16 Jul/28/16
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
redrockranch NEW - $399.00 0 Jul/05/16 Aug/02/16
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
svcstore NEW - $62.99 0 Jul/05/16 Aug/04/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $62.99 0 Jul/05/16 Aug/04/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore Used - $299.99 0 Jul/05/16 Aug/04/16
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
sparesllc09 NEW - $962.50 0 Jul/06/16 Jul/26/19
Description: 716-003688-188 / INSR,FOC R,SHLD,200MM JEIDA ESC / LAM
conquer_2011 NEW - $2,950.00 0 Jul/06/16 Aug/05/16
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
sparesllc09 NEW - $469.00 0 Jul/07/16 Aug/02/19
Description: 716-027963-001 / RING,WAP,GENERIC,12.5 ID,200MM,2300 / LAM
capitolareatech NEW - $135.24 0 Jul/08/16 Jul/08/16
Description: AMAT 0021-35946 Edge Ring, TXZ, 200MM, SNNF
pohyh Used - $1,000.00 5 Jul/08/16 Jul/17/17
Description: 1346 APPLIED MATERIAL CVD 200MM LAMP MODULE 5000 0010-09337
capitolareatech NEW - $125.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-81559 Finger, Wafer Holder, 200MM Desica, CMP
capitolareatech NEW - $135.24 0 Jul/08/16 Jul/08/16
Description: AMAT 0021-35946 Edge Ring, TXZ, 200MM, SNNF
capitolareatech NEW - $1,195.00 0 Jul/08/16 Jul/08/16
Description: AMAT 0021-11075 101 TTN PEDESTAL, 200MM FLOATING PIN, DE
capitolareatech NEW - $1,120.00 0 Jul/08/16 Sep/15/16
Description: APPLIED MATERIALS INC 0010-08114 ASSY, GRIPPER, UPGRADE, 200MM MESA
capitolareatech NEW - $1,120.00 0 Jul/08/16 Sep/15/16
Description: APPLIED MATERIALS INC 0010-08113 ASSY, INPUT GRIPPER, UPGRADE, 200MM MESA
capitolareatech NEW - $450.00 0 Jul/08/16 Sep/15/16
Description: Applied Materials 0010-00839 Pedestal, Assembly 200mm OXIDE
capitolareatech NEW - $135.24 0 Jul/08/16 Sep/15/16
Description: AMAT 0021-35946 Edge Ring, TXZ, 200MM, SNNF
capitolareatech NEW - $1,195.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0021-11075 101 TTN PEDESTAL, 200MM FLOATING PIN, DE
capitolareatech NEW - $6,460.62 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-39339 200MM SWLL LLB SINGLE SLOT WAFER LIFT HO
capitolareatech NEW - $6,116.29 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-39338 200MM SWLL LLA SINGLE SLOT WAFER LIFT HO
capitolareatech NEW - $3,500.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-23172 ASSEMBLY, TOP MATCH, 200MM ULTIMA X, HDP
capitolareatech NEW - $725.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-22228 ASSY, CASS PRESENT SENSOR 200MM LLA
capitolareatech NEW - $931.46 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-20474 ASSY, CASSETTE PRESENT LLA 200MM
capitolareatech NEW - $216.31 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-14780 Hose, Assembly. Jumper - 24" , 200MM PRE-CLE, Push-On 3/8 (9.5mm
capitolareatech NEW - $1,526.35 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-14736 Assembly, Fast Lift, 200MM Hart Chamber
capitolareatech NEW - $9,000.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-08580 ASSY, DIW INLET W/O CDA RGLTR, 200MM MESA
capitolareatech NEW - $450.00 0 Jul/08/16 Sep/15/16
Description: APPLIED MATERIALS 0020-31723 PEDESTAL, 200MM, POLY, NOTCH, 218MM, SHU
capitolareatech NEW - $65.00 0 Jul/08/16 Sep/15/16
Description: APPLIED MATERIALS 0020-31460 INSERT, INNER, NI, 200MM POLY, 218MM SHU
capitolareatech NEW - $175.00 0 Jul/08/16 Sep/15/16
Description: APPLIED MATERIALS 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM N
capitolareatech NEW - $750.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-31509 Pedestal, Rimless, Ox/MLR/Nit, 200MM, NO
capitolareatech NEW - $125.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-31461 COVER, PEDESTAL, 200MM POLY, 218MM SHUNT
capitolareatech NEW - $90.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-31459 INSERT,AL,200MM POLY, 218MM SHUNT
capitolareatech NEW - $82.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-31304 INSERT, NI, OUTER, 200MM POLY, 218MM NI
capitolareatech NEW - $33.11 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-31252 FINGERS REMOVABLE AL 200MM 200 EXCLUSION
capitolareatech NEW - $134.64 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-30585 INSERT NI POLY/WSI 200MM
capitolareatech NEW - $700.00 0 Jul/08/16 Sep/15/16
Description: Applied Materials 0040-09961 Pedestal, 200mm Notch, IS, NI Lift2, HVCEN,.
capitolareatech NEW - $47.73 0 Jul/08/16 Sep/15/16
Description: AMAT 0021-23213 Lift Pin, Fast Pin, 200MM, EMAX
capitolareatech NEW - $500.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-84670 0040-84670..GRIPPER CLAW,LOWER,LH,200mm
capitolareatech NEW - $500.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-84668 GRIPPER CLAW, UPPER,LH,200MM
capitolareatech NEW - $237.28 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-81184 SHIELD, LIFT PIN, 200MM IBC, SC ECP
capitolareatech NEW - $1,100.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-76725 PUSHER PLATE, 200MM SRD, SC ECP
capitolareatech NEW - $1,500.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-75176 LID PLATE 200MM TaN-ALD
capitolareatech NEW - $550.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-62420 0040-62420..CAP, LID, 200MM ALD TAN
capitolareatech NEW - $5,093.34 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-60593 LASED, PEDESTAL, 200MM, JMF SMALL FLAT D
capitolareatech NEW - $4,806.71 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-48339 Faceplate, Dual Gas, 200MM TICL4 TIN
capitolareatech NEW - $26.62 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-41085 Foreline, Vertical Producer 200MM
capitolareatech NEW - $637.34 0 Jul/08/16 Sep/09/16
Description: AMAT 0040-39559 INSERT, LINER HT TIN CVD 200MM
capitolareatech NEW - $100.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-39520 BRACKET,TANTALUM VAPORIZER,200MM
capitolareatech NEW - $150.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0140-78284 CABLE CLEANER EMO 1 200MM
capitolareatech NEW - $2,937.72 0 Jul/08/16 Sep/15/16
Description: AMAT 0190-02708 MAGNET, DONUT OUTER, SIP+ 200MM
capitolareatech NEW - $65.00 0 Jul/08/16 Sep/15/16
Description: Applied Materials 0200-09614 Pin, Lift wafer 200mm BWCVD
capitolareatech NEW - $63.75 4 Jul/08/16 Aug/26/16
Description: AMAT 0200-00051 WINDOW, LID ENDPOINT, QTZ. EMAX 200MM
capitolareatech NEW - $29.07 0 Jul/08/16 Sep/15/16
Description: AMAT 0770-01071 WFR 200MM NOTCH QUARTZ
capitolareatech NEW - $21.09 0 Jul/08/16 Sep/15/16
Description: NOVELLUS 10-024502-00 TUBE,DIVERT,LOWER,200mm,SYST.
capitolareatech NEW - $350.00 0 Jul/08/16 Sep/15/16
Description: NOVELLUS SYSTEMS 15-033113-00 STATION,CENTERING,200MM
capitolareatech NEW - $350.00 0 Jul/08/16 Jul/25/16
Description: Novellus 15-032777-00 EXCL. Ring, 200mm, 2.0mm
capitolareatech NEW - $35.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0040-99997 Gauge SS VCR Assembly, Pedestal, 200MM ESC, Range: 100PSI, Type:
capitolareatech NEW - $650.00 0 Jul/09/16 Sep/15/16
Description: LAM 716-800951-300 Base, FCS, Ring, Shld, 200MM & 300 M
capitolareatech Refurbished - $500.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0200-40108 COVER PLATE 200MM 1/4" THK E-CHUCK
capitolareatech Refurbished - $25.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0200-39346 SHADOW RING, 200MM, NOTCH(2) SELF
capitolareatech Refurbished - $187.50 0 Jul/09/16 Sep/15/16
Description: LAM RESEARCH 713-031390-001 RING, UPR GAS DUAL FEED 200MM
capitolareatech NEW - $1,795.00 0 Jul/10/16 Sep/15/16
Description: AMAT 0200-18109 COLLAR, 200MM,SNNF,SMLFLT,ULTIMA/AC
capitolareatech Scrap, for parts - $125.00 0 Jul/10/16 Sep/15/16
Description: AMAT 0020-10117 PLATE PERF OXIDE 200MM
capitolareatech NEW - $67.25 0 Jul/10/16 Jul/13/16
Description: Applied Materials 0040-08287 MANIFOLD, CAPACITANCE MANOMETER, 200MM PRE-CLEAN
capitolareatech NEW - $500.00 0 Jul/10/16 Jul/13/16
Description: Applied Materials (AMAT) 0021-23509 MANIFOLD, LID, 200MM, TAN-ALD
capitolareatech NEW - $1,295.00 0 Jul/10/16 Jul/13/16
Description: AMAT 0010-09464 ASSY, SUSCEPTOR NITRIDE 200MM
pohyh Used - $750.00 1 Jul/11/16 Jul/12/16
Description: 4030 APPLIED MATERIAL AUTO BIAS PHASE IV MATCH 200MM ASSY 0010-36940
farmoninc NEW - $600.00 1 Jul/12/16 Nov/16/16
Description: AMAT 0200-09072 Shield Cover Ring, Ceramic, 200mm, 329851
farmoninc NEW - $600.00 0 Jul/13/16 Mar/23/17
Description: AMAT 0200-09072 Shield, 200mm, 405284
farmoninc NEW - $600.00 0 Jul/13/16 Mar/23/17
Description: AMAT 0200-09072 Shield, 200mm, 405285
athomemarket Used - $1,499.99 0 Jul/15/16 Jul/22/16
Description: Applied Materials/AMAT 0010-20481 200mm Cassette Handler (LLB) Assembly
svcstore Used - $499.99 0 Jul/15/16 Aug/14/16
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
usfoundry1 NEW - $95.00 0 Jul/16/16 Aug/15/16
Description: AMAT 0020-09031 Insulator Cathode (200mm)
usfoundry1 NEW - $100.00 0 Jul/16/16 Aug/15/16
Description: AMAT Blocker Plate 0020-10936 (200mm)
usfoundry1 NEW - $95.00 0 Jul/16/16 Aug/15/16
Description: AMAT Ceramic Wafer Ring Lifter 0020-10192 (200mm)
capitolareatech NEW - $1,095.00 0 Jul/18/16 Jul/18/16
Description: AMAT 0021-23329 SHIELD, CHAMBER, SIP II 200MM
capitolareatech NEW - $1,395.00 0 Jul/18/16 Jul/18/16
Description: AMAT 1410-00233 HOUSING ASSY, HOT PLATE, 200MM TICL4 TIN
capitolareatech Used - $495.00 0 Jul/18/16 Sep/15/16
Description: AMAT 0090-03661 ASSY, ELECTRONICS RACK, APC ENDURA 200MM
capitolareatech NEW - $1,095.00 0 Jul/18/16 Sep/15/16
Description: AMAT 0021-23329 SHIELD, CHAMBER, SIP II 200MM
capitolareatech NEW - $1,395.00 0 Jul/18/16 Jul/18/16
Description: AMAT 1410-00233 HOUSING ASSY, HOT PLATE, 200MM TICL4 TIN
capitolareatech NEW - $1,395.00 0 Jul/19/16 Jul/19/16
Description: AMAT 1410-00233 HOUSING ASSY, HOT PLATE, 200MM TICL4 TIN
capitolareatech NEW - $1,395.00 0 Jul/19/16 Jul/19/16
Description: AMAT 1410-00233 HOUSING ASSY, HOT PLATE, 200MM TICL4 TIN
capitolareatech NEW - $1,395.00 0 Jul/19/16 Jul/19/16
Description: AMAT 1410-00233 HOUSING ASSY, HOT PLATE, 200MM TICL4 TIN
capitolareatech NEW - $1,395.00 0 Jul/19/16 Jul/19/16
Description: AMAT 1410-00233 HOUSING ASSY, HOT PLATE, 200MM TICL4 TIN
capitolareatech NEW - $500.00 0 Jul/19/16 Jul/19/16
Description: Applied Materials (AMAT) 0021-23509 MANIFOLD, LID, 200MM, TAN-ALD
capitolareatech NEW - $500.00 0 Jul/19/16 Jul/19/16
Description: Applied Materials (AMAT) 0021-23509 MANIFOLD, LID, 200MM, TAN-ALD
capitolareatech NEW - $1,395.00 0 Jul/19/16 Jul/19/16
Description: AMAT 1410-00233 HOUSING ASSY, HOT PLATE, 200MM TICL4 TIN
capitolareatech NEW - $500.00 0 Jul/19/16 Jul/19/16
Description: Applied Materials (AMAT) 0021-23509 MANIFOLD, LID, 200MM, TAN-ALD
capitolareatech NEW - $500.00 0 Jul/19/16 Jul/19/16
Description: Applied Materials (AMAT) 0021-23509 MANIFOLD, LID, 200MM, TAN-ALD
capitolareatech NEW - $500.00 0 Jul/19/16 Sep/15/16
Description: Applied Materials (AMAT) 0021-23509 MANIFOLD, LID, 200MM, TAN-ALD
powersell007 Used - $1,099.00 0 Jul/21/16 Nov/21/23
Description: APPLIED MATERIALS 0020-33538 PLATE, PERF OX 200MM, UNANODIZED AMAT *NEW, SEALED*
athomemarket Used - $1,499.99 0 Jul/25/16 Sep/13/16
Description: Applied Materials/AMAT 0010-20481 200mm Cassette Handler (LLB) Assembly
usedeqsales Used - $807.16 1 Jul/26/16 Aug/04/16
Description: AMAT Applied Materials 0040-18213 Cold Plate Ultima 200mm HDPCVD Used Working
smartelektronikgmbh NEW - $980.00 0 Jul/26/16 Feb/16/18
Description: AMAT 0021-03568 // FACEPLATE 200MM SACVD
keykorea Used - $700.00 0 Jul/27/16 Oct/28/20
Description: AMAT 0100-01646 PCB ASSY, UPA DISTRIBUTION BD., 200MM 5- , USED
caps86 NEW - $100.00 0 Jul/27/16 Aug/26/16
Description: Novellus C1-C2 15-053394-02 THIS IS FOR 5 Spindle Fork Finger Min Contact 200mm
kakkisung-6 Used - $6,499.00 5 Jul/28/16 Sep/24/21
Description: AMAT 0010-20524 8' 200mm Preclean RF Match Refurbished
bornalliancecom NEW - $1,395.00 0 Jul/28/16 Jul/09/18
Description: Applied Materials 0200-00332 Ring, Single Ceramic ESC, 200MM JMF
sammy_etek NEW - $1,300.00 0 Jul/29/16 Jun/17/17
Description: 0200-00957, APPLIED MATERIALS, CYLINDER,WAFER SUPPORT,SI COATED,200MM R
autoquip7 Used - $1,800.00 0 Jul/29/16 Jul/25/22
Description: 0100-00798, APPLIED MATERIALS, IMPROVE IOX PCBA FBR 200MM
svcstore NEW - $70.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $73.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $85.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $69.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $128.99 0 Jul/29/16 Aug/28/16
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
autoquip7 NEW - $950.00 0 Jul/30/16 Jul/25/22
Description: 0200-09573, APPLIED MATERIALS, COVER, QTZ, OX/MLR/NIT, 200MM
redrockranch NEW - $399.00 0 Aug/02/16 Aug/30/16
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
semiconductorsolution NEW - $6,500.00 0 Aug/02/16 Sep/01/16
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,850.00 0 Aug/02/16 Sep/01/16
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
orapma12012 Used - $799.00 0 Aug/03/16 Aug/10/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $6,500.00 0 Aug/03/16 Aug/10/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
pohyh Used - $4,500.00 0 Aug/05/16 Jun/29/20
Description: 5169 NOVELLUS ESC 200MM HDP MC TYPE 15-118976-00 REV F
conquer_2011 NEW - $2,950.00 0 Aug/05/16 Sep/04/16
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore NEW - $55.99 0 Aug/05/16 Sep/04/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $55.99 0 Aug/05/16 Sep/04/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore Used - $299.99 0 Aug/05/16 Sep/04/16
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
esolutions1 NEW - $399.00 0 Aug/07/16 Sep/06/16
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
orapma12012 Used - $799.00 0 Aug/10/16 Aug/17/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $6,500.00 0 Aug/10/16 Aug/17/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
ecomicron Refurbished - $14,500.00 0 Aug/10/16 Sep/21/16
Description: 0040-18219, AMAT, LASED, PEDESTAL, 200MM SNNF SML FLT W/WT
autoquip7 NEW - $1,900.00 0 Aug/11/16 Jul/25/22
Description: 0040-04751, APPLIED MATERIALS, PLATE, COOL DOWN 200MM SWLL
sammy_etek NEW - $13,500.00 1 Aug/12/16 Dec/19/20
Description: 0010-06229, APPLIED MATERIALS, ASSY, ESC/FR, 200MM NOTCH, 197.5MM OD, D
skus092 NEW - $2,500.00 0 Aug/13/16 Sep/12/16
Description: 0090-00935, AMAT PCB & HAR ASSY 200MM E-DTCU IR SENSOR
usfoundry1 NEW - $95.00 0 Aug/15/16 Sep/14/16
Description: AMAT Ceramic Wafer Ring Lifter 0020-10192 (200mm)
usfoundry1 NEW - $100.00 0 Aug/15/16 Sep/14/16
Description: AMAT Blocker Plate 0020-10936 (200mm)
svcstore Used - $499.99 0 Aug/15/16 Sep/14/16
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
orapma12012 NEW - $6,500.00 0 Aug/17/16 Aug/24/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
orapma12012 Used - $799.00 0 Aug/17/16 Aug/24/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
ecomicron Used - $2,000.00 1 Aug/18/16 Apr/14/21
Description: 0020-10402, AMAT, PUMPING PLATE, 200MM
sammy_etek NEW - $15,500.00 0 Aug/18/16 Feb/01/17
Description: 0010-08173, APPLIED MATERIALS, ASSY, SOURCE CONTROL (SCA), DPN, 200MM,
autoquip7 Used - $2,700.00 0 Aug/19/16 Jul/25/22
Description: 0021-39570, APPLIED MATERIALS, PLATE TOP BPSG 200MM XE CHAMBER RTP
autoquip7 Used - $2,700.00 0 Aug/19/16 Jul/25/22
Description: 0021-03527, APPLIED MATERIALS, PLATE BOTTOM BPSG RFLTR 200MM XE CH RTP
sammy_etek NEW - $2,000.00 0 Aug/19/16 Oct/08/19
Description: 0040-02926, APPLIED MATERIALS, PLATE,BOT. BPSG REFL,200MM,IMPRVD RING,R
gti-semi Used - $5,900.00 1 Aug/23/16 Aug/03/18
Description: Applied Materials, AMAT, 8 Inch 200mm Preclean RF Match, p/n 0010-20524
orapma12012 NEW - $6,500.00 0 Aug/24/16 Aug/31/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
orapma12012 Used - $799.00 0 Aug/24/16 Aug/31/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
autoquip7 NEW - $1,300.00 0 Aug/25/16 Jul/25/22
Description: 0040-04751, APPLIED MATERIALS, PLATE, COOL DOWN 200MM SWLL
orapma12012 NEW - $6,500.00 0 Aug/31/16 Sep/07/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
sammy_etek Used - $38,000.00 0 Aug/31/16 Oct/02/17
Description: 0010-01507, APPLIED MATERIALS, DPS,CATHODE,200MM, CERAMIC
autoquip7 Used - $29,000.00 0 Aug/31/16 Jul/25/22
Description: 0010-05960, APPLIED MATERIALS, ASSY, CATHODE, 200MM FLAT CESC, IS, 5000
sammy_etek Used - $22,000.00 0 Aug/31/16 Dec/06/16
Description: 0010-05964, APPLIED MATERIALS, ASSY, CATHODE, 200MM NOTCH CESC, IS, 520
svcstore NEW - $76.99 0 Aug/29/16 Sep/28/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore Used - $115.99 0 Aug/29/16 Sep/28/16
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
redrockranch NEW - $359.10 0 Aug/30/16 Sep/26/16
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
caps86 NEW - $100.00 0 Aug/29/16 Sep/28/16
Description: Novellus C1-C2 15-053394-02 THIS IS FOR 5 Spindle Fork Finger Min Contact 200mm
orapma12012 Used - $799.00 0 Aug/31/16 Sep/07/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
pohyh Used - $2,500.00 1 Sep/01/16 Nov/30/16
Description: 938 APPLIED MATERIAL 8" PRE-CLEAN II 200MM RF MATCH PVD 0010-20524
logansemi NEW - $900.00 0 Sep/01/16 Sep/22/16
Description: AMAT Applied Materials 200mm TXZ COOLING WATER BOX, 0040-03349, NOS
logansemi NEW - $550.00 0 Sep/01/16 Sep/22/16
Description: AMAT Applied Materials 200mm CLAMP Ring. 0020-29431, NOS
conquer_2011 NEW - $2,950.00 0 Sep/04/16 Oct/04/16
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
skus092 NEW - $350.00 0 Sep/06/16 Sep/16/16
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
sammy_etek NEW - $500.00 0 Sep/06/16 Jul/09/17
Description: 0021-08511, Applied Materials, HOUSING QUARTZ TUBE 200MM HP TXZ ISIC
kakkisung-6 Used - $3,500.00 0 Sep/07/16 Feb/09/22
Description: AMAT 0040-45164 , 0040-45206 ESC ASSY 200MM FLAT
kakkisung-6 Used - $3,500.00 0 Sep/07/16 Feb/09/22
Description: AMAT 0040-00876 ,0040-05592 E-Max 200mm ESC Chuck EMXP+ Dual Zone
kakkisung-6 Used - $9,999.00 0 Sep/07/16 Feb/09/22
Description: AMAT 0200-02814 CERAMIC PUCK,2 HE ZONE,JMF,200MM, DPS+
kakkisung-6 NEW - $1,100.00 0 Sep/07/16 Feb/09/22
Description: AMAT 0200-36649 PLATE FINGER LIFT RING 200MM PRODUCER "NEW"
kakkisung-6 NEW - $1,999.00 0 Sep/07/16 Feb/09/22
Description: AMAT 0200-03297 TOP RING SILICON INTLK 200MM EMAX "NEW"
semiconductorsolution NEW - $6,500.00 0 Sep/07/16 Oct/07/16
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,850.00 0 Sep/07/16 Oct/07/16
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
svcstore NEW - $49.99 0 Sep/07/16 Oct/07/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $49.99 0 Sep/07/16 Oct/07/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
orapma12012 Used - $799.00 0 Sep/07/16 Sep/14/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
svcstore Used - $299.99 0 Sep/07/16 Oct/07/16
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
artsemi Scrap, for parts - $1,000.00 0 Sep/08/16 Sep/15/16
Description: Novellus C2 ESC 200mm Assy, 02-134264-00, DURATEK-MC, 15-121119-00, 15-132449-05
capitolareatech Refurbished - $12,995.00 0 Sep/08/16 Sep/15/16
Description: AMAT 0010-39903 CATHODE ASSY, ESC, 200MM, SNNF, AIO, IPS
athomemarket NEW - $399.99 7 Sep/08/16 Mar/17/17
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
esolutions1 NEW - $399.00 0 Sep/08/16 Oct/08/16
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
sammy_etek NEW - $3,500.00 0 Sep/12/16 Dec/06/16
Description: 0010-39853, APPLIED MATERIALS, ASSEMBLY, FAST LIFT, 200MM,EMXP+98
capitolareatech Refurbished - $12,995.00 0 Sep/13/16 Sep/15/16
Description: AMAT 0010-39903 CATHODE ASSY, ESC, 200MM, SNNF, AIO, IPS, FLAT NOT NOTCH
sammy_etek NEW - $900.00 1 Sep/14/16 Jun/20/17
Description: 0010-36522, APPLIED MATERIALS, ASSY,EDGE RING,TXZ,200MM,SNNF
svcstore Used - $499.99 0 Sep/14/16 Oct/14/16
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
orapma12012 Used - $499.00 0 Sep/17/16 Sep/24/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $3,899.00 0 Sep/18/16 Sep/25/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
skus092 NEW - $2,200.00 1 Sep/19/16 Oct/04/16
Description: 0090-00935, AMAT PCB & HAR ASSY 200MM E-DTCU IR SENSOR
skus092 NEW - $260.00 0 Sep/19/16 Oct/19/16
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
skus092 NEW - $300.00 0 Sep/19/16 Oct/19/16
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
artsemi Scrap, for parts - $1,000.00 0 Sep/19/16 Sep/26/16
Description: Novellus C2 ESC 200mm Assy, 02-134264-00, DURATEK-MC, 15-121119-00, 15-132449-05
capitolareatech NEW - $275.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-27682 Chamber MAINT Wafer 200MM OEE
capitolareatech NEW - $51.38 0 Sep/19/16 Nov/18/16
Description: AMAT 0300-00379 HOUSING, THROTTLE VALVE 200MM SACVD PROD
kakkisung-6 NEW - $9,999.00 1 Sep/19/16 Jan/29/19
Description: AMAT 0040-34866 LINER CATHODE MAG RING WAFFEL-LESS 200MM E-MAX "NEW"
capitolareatech Refurbished - $500.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0200-40108 COVER PLATE 200MM 1/4" THK E-CHUCK
capitolareatech Refurbished - $25.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0200-39346 SHADOW RING, 200MM, NOTCH(2) SELF
capitolareatech Refurbished - $187.50 0 Sep/20/16 Nov/19/16
Description: LAM RESEARCH 713-031390-001 RING, UPR GAS DUAL FEED 200MM
capitolareatech NEW - $295.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0021-35788 PLT BLOCKER, 200MM
capitolareatech Used - $195.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0020-13992 BLOCK NEXT CASSETTE 200MM WBLL CMF CENTU
capitolareatech NEW - $625.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0020-31501 FINGER,CHUCK 200MM, ASP
capitolareatech Refurbished - $12,995.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0010-39903 CATHODE ASSY, ESC, 200MM, SNNF, AIO, IPS, FLAT NOT NOTCH
capitolareatech Used - $471.00 2 Sep/20/16 Nov/19/16
Description: AMAT 0010-76764 ASSY, OTF RECEIVER, 200MM BANK
capitolareatech Used - $481.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0010-76765 ASSY, OTF EMITTER, 200MM BANK
capitolareatech NEW - $67.25 0 Sep/20/16 Oct/20/16
Description: Applied Materials 0040-08287 MANIFOLD, CAPACITANCE MANOMETER, 200MM PRE-CLEAN
rockymtnresellers4less NEW - $2,999.00 0 Sep/23/16 Oct/03/16
Description: APPLIED MATERIALS 0010-39903 CATHODE ASSY, ESC, 200MM, SNNF, AIO, IPS AMAT
orapma12012 NEW - $3,595.00 0 Sep/26/16 Oct/03/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
orapma12012 Used - $499.00 0 Sep/26/16 Oct/03/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 Used - $3,995.00 0 Sep/26/16 Oct/03/16
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
redrockranch NEW - $359.10 0 Sep/26/16 Oct/26/16
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
farose567 Used - $1,000.00 1 Sep/27/16 Jan/16/17
Description: AMAT 0010-13321 P5000 C Robot Drive Assy 200mm
artsemi Scrap, for parts - $1,000.00 0 Sep/28/16 Oct/05/16
Description: Novellus C2 ESC 200mm Assy, 02-134264-00, DURATEK-MC, 15-121119-00, 15-132449-05
caps86 NEW - $100.00 0 Sep/29/16 Oct/29/16
Description: Novellus C1-C2 15-053394-02 THIS IS FOR 5 Spindle Fork Finger Min Contact 200mm
svcstore NEW - $76.99 0 Sep/29/16 Oct/29/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $65.99 0 Sep/29/16 Oct/29/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $61.99 0 Sep/29/16 Oct/29/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $62.99 0 Sep/29/16 Oct/29/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $115.99 0 Sep/29/16 Oct/29/16
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
autoquip7 NEW - $4,500.00 0 Oct/03/16 Jul/25/22
Description: 0040-04939, APPLIED MATERIALS, PEDESTAL,COOLDOWN,DPS 200MM
sammy_etek NEW - $2,300.00 1 Oct/03/16 Sep/12/17
Description: 0020-32161, APPLIED MATERIALS, PEDESTAL ESC, 200MM, NOTCH, WEB
autoquip7 NEW - $1,100.00 0 Oct/03/16 Jul/25/22
Description: 0040-02938, APPLIED MATERIALS, GAS MANIFOLD OUTPUT 200MM TXZ CIP
conquer_2011 NEW - $2,950.00 0 Oct/04/16 Nov/03/16
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
farmoninc NEW - $950.00 1 Oct/05/16 Apr/30/23
Description: AMAT 0010-12988, Assembly, Edge Contact Blade, MIRRA 200MM. 416874
alamedaauction NEW - $399.95 0 Oct/05/16 Nov/04/16
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5 MIC 0.8" THK 0020-3290
pohyh Used - $12,000.00 0 Oct/06/16 Feb/07/17
Description: 2582 APPLIED MATERIAL CERAMIC 200MM TI-XZ HEATER 0040-37359 P2 NGK-172
farmoninc Used - $950.00 0 Oct/07/16 Mar/16/23
Description: AMAT 0020-19882, Tube Lift 200MM, 416992
orapma12012 Used - $795.00 0 Oct/09/16 Oct/16/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $4,500.00 0 Oct/09/16 Oct/16/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
orapma12012 Used - $4,995.00 0 Oct/09/16 Oct/16/16
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
keykorea Used - $16,800.00 0 Oct/09/16 May/24/17
Description: AMAT 0010-25162 D-1 DDF3 PAD CONDITIONER FOR MIRRA CMP 200MM , USED
semiconductorsolution NEW - $6,500.00 0 Oct/10/16 Nov/09/16
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,850.00 0 Oct/10/16 Nov/09/16
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
svcstore NEW - $43.99 0 Oct/10/16 Nov/09/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $43.99 0 Oct/10/16 Nov/09/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore Used - $299.99 0 Oct/10/16 Nov/09/16
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
usfoundry1 NEW - $100.00 0 Oct/12/16 Nov/11/16
Description: AMAT Blocker Plate 0020-10936 (200mm)
usfoundry1 NEW - $95.00 0 Oct/12/16 Nov/11/16
Description: AMAT Ceramic Wafer Ring Lifter 0020-10192 (200mm)
farmoninc Used - $450.00 0 Oct/14/16 May/09/17
Description: AMAT 0020-32151, Pedestal, 200mm Notch, HV IB, Solid Comp, 0040-09797, 417246
farmoninc Used - $950.00 0 Oct/14/16 Apr/12/17
Description: AMAT 0040-01134, Mounting Ring Dome, 200mm, DPS, E-DTCU, 0040-01134-P2. 417287
svcstore Used - $399.99 0 Oct/14/16 Nov/13/16
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
orapma12012 Used - $8,995.00 0 Oct/16/16 Oct/23/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $4,995.00 0 Oct/16/16 Oct/23/16
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
orapma12012 Used - $795.00 0 Oct/16/16 Oct/23/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $4,500.00 0 Oct/16/16 Oct/23/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
farmoninc NEW - $700.00 0 Oct/17/16 Dec/22/22
Description: AMAT 0200-40169 Cover Ring, 200mm SNNF non Contact 417370
farmoninc Used - $7,500.00 0 Oct/17/16 Mar/16/23
Description: AMAT 0020-10186, 5000 8" CVD Etch Chamber Lid, 200mm, Gas Box. 417330
pohyh Used - $400.00 3 Oct/18/16 Feb/21/18
Description: 7256 APPLIED MATERIAL 200MM BANK ASSY W/ BANNER MINI-BEAM SM31ELMS-40 0010-76765
mattron747 NEW - $900.00 0 Oct/18/16 Nov/17/16
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
farmoninc NEW - $1,450.00 0 Oct/18/16 Mar/16/23
Description: AMAT 0200-18036, Collar, Bottom, 2 Piece 200mm, JMF. 417385
farmoninc NEW - $375.00 0 Oct/18/16 Mar/16/23
Description: AMAT 0200-09661 P1, Insert, Quartz, 200mm, EXT Cathode. 416854
farmoninc NEW - $2,700.00 0 Oct/18/16 Mar/12/18
Description: AMAT 0010-09463, Susceptor Assembly, 200mm, TEOS, 8", with T/C. 417345
rockymtnresellers4less NEW - $999.99 1 Oct/19/16 Oct/26/16
Description: APPLIED MATERIALS 0010-39903 CATHODE ASSY, ESC, 200MM, SNNF, AIO, IPS AMAT
gti-semi NEW - $2,300.00 1 Oct/19/16 Oct/20/17
Description: Applied Materials AMAT, CUP, HCLU, 200MM Mirra, p/n 0040-54386, New
farmoninc Used - $1,700.00 0 Oct/19/16 Mar/16/23
Description: AMAT 0090-09298, ESC Assy, 200mm, Notch (2), SHWR, 5200, 0010-30723. 417358
farmoninc NEW - $325.00 1 Oct/19/16 Sep/15/22
Description: AMAT 0200-09747 Cover, Clamping, Ring, AL, 200mm, Ceramic, 417391
farmoninc Used - $650.00 0 Oct/19/16 Dec/22/22
Description: AMAT 0020-31147 Insert, Base, Alum, ISO, 200mm, Etch Chamber Cathode, 417426
farmoninc Used - $650.00 0 Oct/19/16 Dec/22/22
Description: AMAT 0020-31147 Insert, Base, Alum, ISO, 200mm, Etch Chamber Cathode, 417425
skus092 NEW - $230.00 0 Oct/20/16 Nov/19/16
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
skus092 NEW - $280.00 0 Oct/20/16 Nov/19/16
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
farmoninc NEW - $600.00 0 Oct/21/16 Mar/23/17
Description: AMAT 0200-09072 Sheild, 200mm Showerhead Cover, ceremic, 8 inch, 415233
orapma12012 Used - $8,995.00 0 Oct/23/16 Oct/30/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $795.00 0 Oct/23/16 Oct/30/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $4,500.00 0 Oct/23/16 Oct/30/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
orapma12012 Used - $4,995.00 0 Oct/23/16 Oct/30/16
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
spsglobal NEW - $1,200.00 2 Oct/24/16 May/17/22
Description: 134-0503// AMAT APPLIED 0020-70278 LIFTER 200MM WAFER ORIENTER P5 NEW
spsglobal Used - $600.00 2 Oct/24/16 Jun/22/17
Description: AMAT APPLIED MATERIALS 0020-21221 HOOP CD 200MM 0020-20752 0020-22079 USED
farmoninc NEW - $950.00 0 Oct/24/16 Dec/22/22
Description: AMAT 0021-08749 Spacer, Small Footprint System, 200mm CE, 417505
farmoninc NEW - $450.00 0 Oct/24/16 Mar/16/23
Description: AMAT 0200-40080 Clamp, SNNF JMF Esc 200MM 417512
farmoninc NEW - $850.00 0 Oct/24/16 Mar/16/23
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Ceramics, 417532
farmoninc NEW - $950.00 0 Oct/24/16 Jun/05/19
Description: AMAT 0200-10176 Shadow Ring Quartz, 200MM Notch, 417536
farmoninc Refurbished - $22,500.00 0 Oct/24/16 Sep/04/19
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
farmoninc NEW - $2,500.00 0 Oct/25/16 Mar/16/23
Description: AMAT 0200-00690 Lid Liner, TXZ, 200mm, 417560
farmoninc Scrap, for parts - $250.00 1 Oct/25/16 Apr/12/21
Description: AMAT 0010-09951 Assy, Susceptor, BB TEOS, 200mm, Wafer Chuck, 417556
esolutions1 NEW - $359.10 0 Oct/25/16 Nov/24/16
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
be4049 NEW - $720.00 0 Oct/25/16 Apr/25/20
Description: 0200-40108 AMAT COVER PLATE 200MM 1/4" THK E-CHUCK
farmoninc NEW - $2,300.00 0 Oct/27/16 Dec/05/17
Description: AMAT 0200-00689 Lid Liner, TxZ, 200mm, Applied Ceramics 911-02714A, 417745
be4049 NEW - $1,175.00 0 Oct/27/16 Aug/27/20
Description: 0200-01366 AMAT SHADOW RING, QUARTZ, 200MM EMAX
be4049 NEW - $995.00 0 Oct/27/16 Aug/27/20
Description: 0200-40182 AMAT COLLAR, 200MM JMF, WIDEPOCKET
be4049 NEW - $10,250.00 0 Oct/27/16 Nov/06/19
Description: 0010-30723 AMAT PEDESTAL ASSY, 200MM, NOTCH(2), GEN 2
be4049 NEW - $375.00 0 Oct/27/16 Oct/27/19
Description: 0200-09567 AMAT SHIELD 200MM DELTA NITRIDE
svcstore NEW - $62.99 0 Oct/30/16 Nov/29/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $65.99 0 Oct/30/16 Nov/29/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $61.99 0 Oct/30/16 Nov/29/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $76.99 0 Oct/30/16 Nov/29/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
caps86 NEW - $100.00 0 Oct/30/16 Nov/29/16
Description: Novellus C1-C2 15-053394-02 THIS IS FOR 5 Spindle Fork Finger Min Contact 200mm
farmoninc NEW - $2,600.00 0 Oct/31/16 Jun/07/22
Description: AMAT 0200-02420 Rev.008, DEP Ring 200mm, SIP TNN. 417844
farmoninc NEW - $950.00 0 Oct/31/16 Dec/02/21
Description: AMAT 0010-04315 Rev.004, Assembly, LLB SWLL Lift Hoop, 200mm, Centura, 417918
svcstore Used - $103.99 0 Oct/31/16 Nov/30/16
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
redrockranch NEW - $399.00 0 Nov/01/16 Dec/01/16
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
tjtechseller NEW - $100.00 0 Nov/02/16 Dec/22/16
Description: 0020-47114 AMAT MANIFOLD BLOCK, 200MM MULTI-ZONE
be4049 NEW - $1,750.00 0 Nov/03/16 Sep/03/20
Description: 0040-36425 AMAT SHELL ASSY, 200MM, MIN SHWR DBL NOT, 5 MIC
conquer_2011 NEW - $2,950.00 0 Nov/03/16 Dec/03/16
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
be4049 NEW - $925.00 0 Nov/07/16 Aug/04/20
Description: 0021-11075 AMAT 101 TTN PEDESTAL, 200MM FLOATING PIN, DE
sparesllc09 Used - $5,900.00 0 Nov/07/16 Apr/02/18
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
alamedaauction NEW - $395.00 0 Nov/08/16 Dec/08/16
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5 MIC 0.8" THK 0020-3290
be4049 NEW - $399.00 0 Nov/08/16 Aug/06/20
Description: 0200-09554 AMAT COVERQUARTZ 200MM GROUND ALUM
be4049 NEW - $830.00 0 Nov/08/16 Dec/08/19
Description: 0200-00733 AMAT COVER, 200MM SNNF ULP, HDPCVD ULTIMA
be4049 NEW - $1,400.00 0 Nov/08/16 Aug/06/20
Description: 0200-35783 AMAT SHADOW RING, 200MM NOTCH(2), SI/QTZ OXID
skus092 NEW - $780.00 0 Nov/09/16 Dec/09/16
Description: APPLIED MATERIALS 0090-09166 ASSY,HV ESC,200MM CATHODE,DPS
spsglobal Used - $800.00 1 Nov/10/16 Nov/20/22
Description: 347-0402// AMAT APPLIED 0021-00543 FEEDTHRU INNER, 200MM DUAL NEW
sparesllc09 Used - $7,500.00 0 Nov/10/16 Jan/22/19
Description: 0010-26139 / MIRRA CMP 6-PORT ASSY 200MM SPINDLE / AMAT
be4049 NEW - $330.00 2 Nov/10/16 Sep/10/20
Description: 0200-10192 AMAT SHIELD, DELTA NITRIDE, 200MM
svcstore NEW - $38.99 0 Nov/10/16 Dec/10/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $38.99 0 Nov/10/16 Dec/10/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
be4049 NEW - $550.00 0 Nov/11/16 Aug/10/20
Description: 0200-10292 AMAT Collar, Outer, Quartz, 200Mm Esc
be4049 NEW - $545.00 0 Nov/14/16 Aug/10/20
Description: 0020-30203 AMAT PEDESTAL, SXTAL, 200MM, NOTCH
orapma12012 NEW - $895.00 0 Nov/14/16 Nov/21/16
Description: 0200-35145 Applied Materials, WINDOW,LAMP HOUSING,RP 200MM RTP
be4049 NEW - $330.00 0 Nov/14/16 Aug/10/20
Description: 0200-09468 AMAT Ring, Cover, Stal, 200mm Notch, .228 Hole
be4049 NEW - $790.00 0 Nov/14/16 Aug/10/20
Description: 0200-40164 AMAT Ring, Cover, Stal, 200mm Notch, .228 Hole
orapma12012 NEW - $895.00 0 Nov/21/16 Nov/28/16
Description: 0200-35145 Applied Materials, WINDOW,LAMP HOUSING,RP 200MM RTP
pohyh Used - $1,500.00 0 Nov/21/16 Aug/28/18
Description: 5987 APPLIED MATERIAL 5000 CVD,BWCVD 200MM LAMP MODULE 0010-09411
skus092 NEW - $2,200.00 0 Nov/19/16 Dec/19/16
Description: 0090-00935, AMAT PCB & HAR ASSY 200MM E-DTCU IR SENSOR
skus092 NEW - $220.00 0 Nov/19/16 Dec/19/16
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
skus092 NEW - $260.00 0 Nov/19/16 Dec/19/16
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
svcstore Used - $399.99 0 Nov/18/16 Dec/18/16
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
mattron747 NEW - $900.00 0 Nov/17/16 Dec/17/16
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
pohyh Used - $5,000.00 0 Nov/22/16 Apr/09/18
Description: 7282 APPLIED MATERIAL ASSY, 200MM SNNF CATHODE 0010-18105
pohyh Used - $850.00 0 Nov/24/16 Dec/06/16
Description: 7426 APPLIED MATERIAL ELECTROSTATIC CHUCK 200MM,ESC 0010-10327 0020-32909 REV B
esolutions1 NEW - $399.00 0 Nov/24/16 Dec/24/16
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
allforsale555 Used - $499.00 0 Nov/28/16 Jul/29/21
Description: APPLIED MATERIALS 0041-28630 REV.01 200MM RETAINING RING
semiconductorsolution NEW - $6,500.00 0 Nov/28/16 Dec/28/16
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,850.00 0 Nov/28/16 Dec/28/16
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
orapma12012 NEW - $895.00 0 Nov/28/16 Dec/05/16
Description: 0200-35145 Applied Materials, WINDOW,LAMP HOUSING,RP 200MM RTP
ace449parts2010 Used - $750.00 1 Nov/28/16 Apr/03/17
Description: AMAT 0200-36649 PLATE, FINGER LIFT RING, 200MM PRODUCER price for 1, we have 2
orapma12012 Used - $4,995.00 0 Nov/28/16 Dec/05/16
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
orapma12012 Used - $795.00 0 Nov/28/16 Dec/05/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $4,500.00 0 Nov/28/16 Dec/05/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
orapma12012 Used - $7,995.00 0 Nov/28/16 Dec/05/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
allforsale555 Used - $399.00 0 Nov/29/16 Jul/29/21
Description: APPLIED MATERIALS 0040-01752 CLAMP FORELINE BOTTOM NON-DPA 200MM PRODUCER
allforsale555 NEW - $449.00 1 Nov/29/16 Jul/14/17
Description: APPLIED MATERIALS 1410-00801 ISO VALVE 85C PRODUCER 200MM
ace449parts2010 Used - $2,999.00 1 Nov/29/16 Apr/05/17
Description: AMAT 0200-35527 HEATER CERAMIC NGK 200MM
hicillau NEW - $470.00 2 Nov/30/16 Oct/06/21
Description: AMAT 0200-36666 Insolator Ceramic, 2nd Source NEW, Producer 200mm
hicillau Used - $400.00 0 Nov/30/16 Oct/06/21
Description: AMAT 0021-03637 FACEPLATE SILANE Producer 200mm
farmoninc NEW - $550.00 1 Nov/30/16 Jul/19/18
Description: AMAT 0020-09077 Rev.B, 5600 PAR 50/00, Support, Arm, 200mm. 419415
farmoninc NEW - $550.00 0 Nov/30/16 Aug/29/18
Description: AMAT 0020-09077 Rev.B, 5600 PAR 50/00, Support, Arm, 200mm. 419414
svcstore NEW - $68.99 0 Nov/30/16 Dec/30/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $54.99 0 Nov/30/16 Dec/30/16
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $55.99 0 Nov/30/16 Dec/30/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $58.99 0 Nov/30/16 Dec/30/16
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
caps86 NEW - $100.00 0 Nov/30/16 Dec/30/16
Description: Novellus C1-C2 15-053394-02 THIS IS FOR 5 Spindle Fork Finger Min Contact 200mm
pohyh Used - $1,200.00 1 Dec/01/16 Aug/22/18
Description: 7527 APPLIED MATERIAL SHELL ASSY,200MM MXP+EP ESC ASSY NOTCH,1MIL, 00 0021-82260
usfoundry1 NEW - $55.00 1 Dec/01/16 Dec/01/16
Description: AMAT Ceramic Wafer Ring Lifter 0020-10192 (200mm)
usfoundry1 NEW - $70.00 0 Dec/01/16 Dec/31/16
Description: AMAT Blocker Plate 0020-10936 (200mm)
svcstore Used - $92.99 0 Dec/01/16 Dec/31/16
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
j316gallery Used - $1,031.23 1 Dec/01/16 Aug/12/21
Description: 7569 APPLIED MATERIALS 15-SLOT TRAY, 200MM, .450SPG 0010-13140
pohyh NEW - $600.00 1 Dec/02/16 Mar/27/17
Description: 7583 APPLIED MATERIAL CERAMIC SHIELD 200MM (NEW) 0200-09072
conquer_2011 NEW - $4,950.00 0 Dec/03/16 Jan/02/17
Description: AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
redrockranch NEW - $399.00 0 Dec/05/16 Jan/04/17
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
orapma12012 Used - $3,995.00 0 Dec/05/16 Dec/12/16
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
orapma12012 Used - $695.00 0 Dec/05/16 Dec/12/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $3,559.00 0 Dec/05/16 Dec/12/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
orapma12012 Used - $6,995.00 0 Dec/05/16 Dec/12/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
pohyh Used - $5,000.00 2 Dec/06/16 May/22/17
Description: 7486 APPLIED MATERIAL CHAMBER BOTTOM,RADIANCE 200MM RTP 0040-01973 REV 004
svcstore Used - $238.99 0 Dec/06/16 Jan/05/17
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
pohyh NEW - $3,000.00 1 Dec/07/16 Jun/23/17
Description: 7584 APPLIED MATERIAL PUMPING PLATE, PLENUM, 200MM PETEOS (NEW) 0190-09263
j316gallery NEW - $2,110.60 0 Dec/07/16 Feb/02/23
Description: 7578 APPLIED MATERIALS COVER RING, 200MM, BESC, TITANIUM (NEW) 0021-18436
pohyh NEW - $2,500.00 1 Dec/07/16 Dec/06/17
Description: 7577 APPLIED MATERIAL RING, WAFER LIFT, REV 1 CERAMIC, 200MM (NEW) 0190-09291
alamedaauction NEW - $395.00 0 Dec/08/16 Jan/07/17
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5 MIC 0.8" THK 0020-3290
orapma12012 Used - $3,995.00 0 Dec/12/16 Dec/19/16
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
orapma12012 Used - $695.00 0 Dec/12/16 Dec/19/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $3,559.00 0 Dec/12/16 Dec/19/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
orapma12012 Used - $6,995.00 0 Dec/12/16 Dec/19/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
gigabitpartsolutions NEW - $33.00 6 Dec/13/16 Apr/11/17
Description: OEM Part Applied Materails (AMAT) 0020-20752 FINGER HOOP 200MM CD
svcstore NEW - $34.99 0 Dec/13/16 Jan/12/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $34.99 0 Dec/13/16 Jan/12/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
bigg.logistics101 Used - $2,499.99 0 Dec/14/16 Dec/14/21
Description: APPLIED MATERIALS CERAMIC INSULATOR 200MM HDPCVD 0200-18067
bigg.logistics101 Used - $5,999.99 1 Dec/14/16 Dec/15/16
Description: APPLIED MATERIALS ESC 200MM PEDESTAL 0040-18053
mattron747 NEW - $900.00 0 Dec/17/16 Jan/16/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
svcstore Used - $399.99 0 Dec/18/16 Jan/17/17
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
capitolareatech NEW - $168.75 0 Dec/19/16 Aug/09/19
Description: AMAT 0020-10191 PLATE BLOCKER 200MM
capitolareatech NEW - $58.44 0 Dec/19/16 Nov/19/19
Description: AMAT 0020-10189 Plate Connector 200mm
capitolareatech NEW - $12.33 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-10152 Buffer, Cassette, Female, 200MM
capitolareatech NEW - $1,300.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-09152 PEDESTAL, 200MM, SHOWER DBL NOTCH
capitolareatech NEW - $45.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-07774 Lower Plate Magnet, Ring Flux Shape, 200mm
capitolareatech NEW - $375.00 0 Dec/19/16 Oct/30/17
Description: AMAT 0020-54958 Faceplate, rough, 100-125RA, 200mm SA
capitolareatech NEW - $250.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0030-09037 Seal, 200mm Pedestal Face CHEMRAZ
capitolareatech NEW - $550.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-37702 SIDE RECEIVER, RIGHT 200MM, 316-EP
capitolareatech NEW - $550.00 0 Dec/19/16 Feb/03/17
Description: AMAT 0021-37701 SIDE RECEIVER, LEFT 200MM, 316-EP
capitolareatech NEW - $239.95 5 Dec/19/16 Jun/19/20
Description: AMAT 0021-35946 Edge Ring, TXZ, 200MM, SNNF
capitolareatech NEW - $212.45 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-26026 PLUG, FLYWHEEL, 200MM SRD, SC ECP
capitolareatech NEW - $79.69 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-23497 Faceplate Non RF Capable Lid, 200MM PMD P
capitolareatech NEW - $225.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-80452 GAS LINE, MANIFOLD, AMPOULE, 200MM AXZ
capitolareatech NEW - $125.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-61597 TUBE, PUMP OUTLET TO 3-WAY VALVE & PV19, 200MM MEG LDM
capitolareatech NEW - $75.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-61596 TUBE, HEAT EX. OUTLET TO PUMP INLET, 200MM MEG LDM
capitolareatech NEW - $75.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-61595 TUBE, HEAT EX. INLET TO CHEM INLET 200MM MEG. LDM
capitolareatech NEW - $419.42 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-43102 Weldment, Gasfeed, Hart 200MM
capitolareatech NEW - $120.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-11789 Cable Assembly, SRD Exhaust 200MM MESA
capitolareatech NEW - $222.05 0 Dec/19/16 Jun/19/20
Description: AMAT 0222-09350 Teflon Ferrule IN 200MM SUSCEPTOR
capitolareatech NEW - $600.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-40211 Collar, Wider, 200MM, SNNF, Tight Fit
capitolareatech NEW - $750.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-40170 COVER RING 200MM JMF NON CONTACT
capitolareatech NEW - $495.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-40169 Cover Ring, 200MM SNNF Non-Contact
capitolareatech NEW - $800.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-40108 COVER PLATE 200MM 1/4" THK E-CHUCK
capitolareatech NEW - $1,300.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-39348 SHADOW RING, 200MM,FLAT(1S) SELF ALIG.
capitolareatech NEW - $29.07 0 Dec/19/16 Apr/13/20
Description: AMAT 0770-01071 WFR 200MM NOTCH QUARTZ
capitolareatech NEW - $21.09 0 Dec/19/16 Jun/19/20
Description: NOVELLUS 10-024502-00 TUBE,DIVERT,LOWER,200mm,SYST.
capitolareatech NEW - $350.00 0 Dec/19/16 Jun/19/20
Description: NOVELLUS SYSTEMS 15-033113-00 STATION,CENTERING,200MM
capitolareatech NEW - $35.00 2 Dec/20/16 Feb/14/18
Description: AMAT 0040-99997 Gauge SS VCR Assembly, Pedestal, 200MM ESC, Range: 100PSI, Type:
capitolareatech Refurbished - $500.00 0 Dec/20/16 Jun/20/20
Description: AMAT 0200-40108 COVER PLATE 200MM 1/4" THK E-CHUCK
capitolareatech Refurbished - $495.00 0 Dec/20/16 Jun/20/20
Description: AMAT 0200-39346 SHADOW RING, 200MM, NOTCH(2) SELF
capitolareatech Refurbished - $187.50 0 Dec/20/16 Jun/20/20
Description: LAM RESEARCH 713-031390-001 RING, UPR GAS DUAL FEED 200MM
capitolareatech Scrap, for parts - $125.00 0 Dec/20/16 Nov/13/19
Description: AMAT 0020-10117 PLATE PERF OXIDE 200MM
capitolareatech NEW - $1,795.00 0 Dec/20/16 Jun/20/20
Description: AMAT 0200-18109 COLLAR, 200MM,SNNF,SMLFLT,ULTIMA/AC
skus092 NEW - $260.00 0 Dec/20/16 Jan/19/17
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
skus092 NEW - $220.00 0 Dec/20/16 Jan/19/17
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
skus092 NEW - $2,200.00 0 Dec/20/16 Jan/19/17
Description: 0090-00935, AMAT PCB & HAR ASSY 200MM E-DTCU IR SENSOR
capitolareatech Used - $50.00 5 Dec/20/16 Jul/06/18
Description: APPLIED MATERIALS (AMAT) 0200-10111 PIN, WAFER LIFT, METAL HOOP, 200MM PLASM
capitolareatech Refurbished - $9,995.00 0 Dec/20/16 Jun/20/20
Description: AMAT 0010-39903 CATHODE ASSY, ESC, 200MM, SNNF, AIO, IPS, FLAT NOT NOTCH
capitolareatech Used - $471.00 1 Dec/20/16 Jan/19/17
Description: AMAT 0010-76764 ASSY, OTF RECEIVER, 200MM BANK
capitolareatech Used - $481.00 0 Dec/20/16 Dec/28/17
Description: AMAT 0010-76765 ASSY, OTF EMITTER, 200MM BANK
capitolareatech NEW - $295.00 0 Dec/20/16 Jun/20/20
Description: AMAT 0021-35788 PLT BLOCKER, 200MM
capitolareatech Used - $195.00 0 Dec/20/16 Jan/18/17
Description: AMAT 0020-13992 BLOCK NEXT CASSETTE 200MM WBLL CMF CENTU
capitolareatech NEW - $625.00 0 Dec/20/16 Nov/13/19
Description: AMAT 0020-31501 FINGER,CHUCK 200MM, ASP
spsglobal NEW - $5,000.00 0 Dec/22/16 Mar/06/18
Description: AMAT APPLIED MATERIALS 0010-05904 ASSY, 200MM PROD. UNIVERSAL SIGMA TV W/I NEW
katiil3 NEW - $449.00 1 Dec/25/16 Jan/12/17
Description: APPLIED MATERIALS 0041-28630 REV.01 200MM RETAINING RING
hicillau NEW - $9,800.00 0 Dec/26/16 Oct/09/17
Description: AMAT NEW 0040-18219 / 0040-18053 HDPCVD LASED PEDESTAL 200MM SNNF WTM FLT
esolutions1 NEW - $359.10 0 Dec/27/16 Jan/26/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
caps86 NEW - $100.00 0 Dec/30/16 Jan/29/17
Description: Novellus C1-C2 15-053394-02 THIS IS FOR 5 Spindle Fork Finger Min Contact 200mm
orapma12012 Used - $6,995.00 0 Jan/01/17 Jan/08/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $695.00 0 Jan/01/17 Jan/08/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $3,559.00 0 Jan/01/17 Jan/08/17
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
orapma12012 Used - $3,995.00 0 Jan/01/17 Jan/08/17
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
usfoundry1 NEW - $70.00 0 Jan/01/17 Jan/31/17
Description: AMAT Blocker Plate 0020-10936 (200mm)
conquer_2011 NEW - $4,950.00 0 Jan/02/17 Feb/01/17
Description: AMAT 200mm WxZ CVD Isolation Valve Chamber Assembly, Part Number 0010-36278
svcstore NEW - $61.99 0 Jan/03/17 Feb/02/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $49.99 0 Jan/03/17 Feb/02/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $52.99 0 Jan/03/17 Feb/02/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $48.99 0 Jan/03/17 Feb/02/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore Used - $82.99 0 Jan/03/17 Feb/02/17
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
semiconductorsolution NEW - $6,500.00 0 Jan/03/17 Feb/02/17
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,850.00 0 Jan/03/17 Feb/02/17
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
redrockranch NEW - $399.00 0 Jan/05/17 Feb/04/17
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
sparesllc09 Refurbished - $3,200.00 0 Jan/06/17 Jul/09/19
Description: 0010-36417, 0040-36180 / ASSY,HEATER TXZ,200MM,SNNF / AMAT
sparesllc09 NEW - $2,800.00 0 Jan/06/17 Jul/12/19
Description: 15-100263-00 /ROBOT BLADE 200MM HI PURITY / NOVELLUS
orapma12012 Used - $6,995.00 0 Jan/08/17 Jan/15/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $695.00 0 Jan/08/17 Jan/15/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $3,559.00 0 Jan/08/17 Jan/15/17
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
orapma12012 Used - $3,995.00 0 Jan/08/17 Jan/15/17
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
alamedaauction NEW - $395.00 0 Jan/10/17 Feb/09/17
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5 MIC 0.8" THK 0020-3290
gigabitpartsolutions NEW - $49.50 1 Jan/11/17 Jul/06/18
Description: OEM Part Applied Materials (AMAT) 0200-10111 PIN, WAFER LIFT, METAL HOOP, 200MM
svcstore Used - $214.99 0 Jan/11/17 Feb/10/17
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svcstore NEW - $30.99 0 Jan/13/17 Feb/12/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $30.99 0 Jan/13/17 Feb/12/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
orapma12012 Used - $6,995.00 0 Jan/15/17 Jan/22/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
katiil3 Used - $299.00 0 Jan/15/17 Oct/23/21
Description: APPLIED MATERIALS 0041-28630 REV.01 200MM RETAINING RING
orapma12012 Used - $695.00 0 Jan/15/17 Jan/22/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $3,559.00 0 Jan/15/17 Jan/22/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
orapma12012 Used - $3,995.00 0 Jan/15/17 Jan/22/17
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
allforsale555 Used - $799.00 0 Jan/17/17 Jul/29/21
Description: APPLIED MATERIALS 0041-05327 200MM PEEK RETAINING RING
mattron747 NEW - $900.00 0 Jan/16/17 Feb/15/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
svcstore Used - $399.99 0 Jan/19/17 Feb/18/17
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
allforsale555 Used - $599.00 0 Jan/19/17 Jul/29/21
Description: APPLIED MATERIALS 0242-33626 KIT SHAFT CLAMP HEATER 200MM PRODUCER SPARES
allforsale555 Used - $399.00 0 Jan/21/17 Jul/29/21
Description: APPLIED MATERIALS 0020-21589 SHIELD, LOWER 200MM
grandbirdnet Used - $5,500.00 0 Jan/23/17 Jun/15/23
Description: AMAT 0200-01955 DEP RING, 200MM SIP TA/TAN, SNNF ESC, IN, USED
grandbirdnet Used - $1,800.00 0 Jan/23/17 Jun/15/23
Description: AMAT 0021-17725 SHUTTER DISK, SNNF ESC, 200MM SIP TA(N), USED
allforsale555 Used - $750.00 0 Jan/24/17 Jul/29/21
Description: APPLIED MATERIALS 0021-18436, AMAT, COVER RING, 200MM, BESC, TITANIUM
nedosada-0 NEW - $1,100.00 1 Jan/24/17 Jul/18/19
Description: 3870-01000 VALVE ASSY THROTTLE MXP+ 200MM, GEAR TYPE AMK
allforsale555 Used - $149.00 0 Jan/25/17 Jul/29/21
Description: AMAT 0050-85778 GASLINE,FORELINE CH A,THRU FLOOR,H2 PUMP 200MM
orapma12012 Used - $3,995.00 0 Jan/25/17 Feb/01/17
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
orapma12012 NEW - $3,559.00 0 Jan/25/17 Feb/01/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
orapma12012 Used - $695.00 0 Jan/25/17 Feb/01/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 Used - $6,995.00 0 Jan/25/17 Feb/01/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
keykorea Used - $2,200.00 0 Jan/25/17 Mar/02/21
Description: AMAT 0021-23332 SHIELD, UPPER, STRAIGHT, SIP II 200MM, USED
esolutions1 NEW - $399.00 0 Jan/30/17 Mar/01/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
allforsale555 Used - $799.00 1 Jan/30/17 Feb/22/21
Description: AMAT 0021-23329 SHIELD, CHAMBER, SIP II 200MM
caps86 NEW - $100.00 0 Jan/30/17 Mar/01/17
Description: Novellus C1-C2 15-053394-02 THIS IS FOR 5 Spindle Fork Finger Min Contact 200mm
allforsale555 Used - $799.00 1 Feb/01/17 Aug/28/17
Description: APPLIED MATERIALS 0040-09263 PLATE PERF 200MM WSI
visionsemi Used - $189.00 0 Feb/01/17 May/26/17
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PROD 200MM 0021-01813
orapma12012 Used - $3,995.00 0 Feb/01/17 Feb/08/17
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
orapma12012 NEW - $3,559.00 0 Feb/01/17 Feb/08/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
orapma12012 Used - $695.00 0 Feb/01/17 Feb/08/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 Used - $6,995.00 0 Feb/01/17 Feb/08/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
conquer_2011 NEW - $4,950.00 0 Feb/01/17 Mar/03/17
Description: AMAT 200mm WxZ CVD Isolation Valve Chamber Assembly, Part Number 0010-36278
allforsale555 NEW - $299.00 0 Feb/01/17 Apr/03/17
Description: APPLIED MATERIALS 0040-01641 BRACKET MTG CH A,DPA,PRODUCER 200MM
allforsale555 Used - $299.00 0 Feb/03/17 Jul/29/21
Description: APPLIED MATERIALS 0040-39756 FORELINE, VERTICAL PRODUCER 200MM
semiconductorsolution NEW - $6,500.00 0 Feb/03/17 Mar/05/17
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,850.00 1 Feb/03/17 Feb/15/17
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
skus092 NEW - $220.00 0 Feb/03/17 Mar/05/17
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
skus092 NEW - $260.00 0 Feb/03/17 Mar/05/17
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
svcstore NEW - $54.99 0 Feb/03/17 Mar/05/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $43.99 0 Feb/03/17 Mar/05/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $46.99 0 Feb/03/17 Mar/05/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $43.99 0 Feb/03/17 Mar/05/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $73.99 0 Feb/03/17 Mar/05/17
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
allforsale555 Used - $549.00 0 Feb/03/17 Jul/29/21
Description: APPLIED MATERIALS 0050-43450 WELDMENT 5RA O3 LOWER CH A,B,C 200MM PRO
sammy_etek NEW - $15,500.00 1 Feb/06/17 Dec/12/19
Description: 0010-08173, APPLIED MATERIALS, ASSY, SOURCE CONTROL (SCA), DPN, 200MM,
allforsale555 Used - $999.00 1 Feb/07/17 Feb/28/18
Description: APPLIED MATERIALS 0040-54102 LID CHAMBER PRODUCER 200MM
allforsale555 Used - $250.00 0 Feb/08/17 Jul/29/21
Description: APPLIED MATERIALS/AMAT 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD
gigabitpartsolutions NEW - $550.00 1 Feb/08/17 Oct/04/19
Description: OEM Part Applied Materials (AMAT) 0020-31723 PEDESTAL, 200MM, POLY, NOTCH, 218MM
orapma12012 Used - $3,995.00 0 Feb/08/17 Feb/15/17
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
orapma12012 NEW - $3,559.00 0 Feb/08/17 Feb/15/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
orapma12012 Used - $695.00 0 Feb/08/17 Feb/15/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 Used - $6,995.00 0 Feb/08/17 Feb/15/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
redrockranch NEW - $399.00 0 Feb/10/17 Mar/12/17
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
alamedaauction NEW - $195.00 0 Feb/11/17 Mar/13/17
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5 MIC 0.8" THK 0020-3290
spsglobal NEW - $3,000.00 1 Feb/12/17 May/18/17
Description: AMAT APPLIED MATERIALS 0010-36940 AUTO BIAS, PHASE IV MATCH, 200MM ASSEMBL NEW
usedeqsales NEW - $252.17 2 Feb/14/17 Apr/21/17
Description: AMAT Applied Materials 0022-77273 200mm Mandrel Outer Sleeve Mesa CMP New
usedeqsales NEW - $252.17 2 Feb/14/17 Apr/20/17
Description: AMAT Applied Materials 0022-77272 200mm Mandrel Inner Mesa CMP New Surplus
svcstore Used - $214.99 0 Feb/14/17 Mar/16/17
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svcstore NEW - $26.99 0 Feb/14/17 Mar/16/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $26.99 0 Feb/14/17 Mar/16/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
mattron747 NEW - $900.00 0 Feb/15/17 Mar/17/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
semiconductorsolution NEW - $3,980.00 0 Feb/15/17 Mar/17/17
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
cubit001 Used - $3,499.00 0 Feb/16/17 Mar/19/17
Description: AMAT 0040-00876 ,0040-05592 E-Max 200mm ESC Chuck EMXP+ Dual Zone
cubit001 Used - $298.00 0 Feb/17/17 Mar/19/17
Description: AMAT 0020-30773 PLATE DISTRIBUTION 200MM HEWEB
orapma12012 Used - $495.00 0 Feb/19/17 Feb/26/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
pohyh Used - $8,000.00 0 Feb/20/17 Jun/12/18
Description: 7837 NOVELLUS SPEED DOME 200MM 02-162351-00
cubit001 Used - $100.00 0 Feb/22/17 Mar/24/17
Description: AMAT 0190-01894 ASSY, TC HEATER, 200MM TECTRA TI/TIN
svcstore Used - $399.99 0 Feb/24/17 Mar/17/17
Description: Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
srctech Refurbished - $12,000.00 0 Feb/26/17 Nov/03/20
Description: AMAT 0010-04483 0040-08621 0040-42512 200mm CWXZ Ceramic Heater
dbay480 NEW - $115.00 0 Feb/27/17 Mar/29/17
Description: *NEW* Applied Materials AMAT 200mm Top Plate Ceramic Liner 0200-04092 Producer
allforsale555 Used - $399.00 0 Mar/01/17 Jul/12/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID 200MM PRODUCER 0040-50344 REV 003
caps86 NEW - $100.00 2 Mar/02/17 Mar/02/17
Description: Novellus C1-C2 15-053394-02 THIS IS FOR 5 Spindle Fork Finger Min Contact 200mm
orapma12012 Used - $2,995.00 0 Mar/02/17 Mar/09/17
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
orapma12012 NEW - $2,995.00 0 Mar/03/17 Mar/10/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
orapma12012 Used - $395.00 0 Mar/03/17 Mar/10/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
bigg.logistics101 Used - $249.99 0 Mar/03/17 Oct/29/17
Description: APPLIED MATERIALS CHAMBER LID H2O RETROFIT KIT PRODUCER 200MM 0242-11877
conquer_2011 NEW - $4,950.00 0 Mar/03/17 Apr/02/17
Description: AMAT 200mm WxZ CVD Isolation Valve Chamber Assembly, Part Number 0010-36278
esolutions1 NEW - $359.10 0 Mar/05/17 Mar/29/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
svcstore NEW - $48.99 0 Mar/06/17 Apr/05/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $41.99 0 Mar/06/17 Apr/05/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $38.99 0 Mar/06/17 Apr/05/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $38.99 0 Mar/06/17 Apr/05/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $65.99 0 Mar/06/17 Apr/05/17
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
skus092 NEW - $260.00 0 Mar/07/17 Apr/06/17
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
skus092 NEW - $220.00 0 Mar/07/17 Apr/06/17
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
semiconductorsolution NEW - $6,500.00 0 Mar/07/17 Apr/06/17
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
pohyh Used - $769.00 1 Mar/07/17 Mar/14/19
Description: 7889 APPLIED MATERIAL CHUCK ASP 200MM TOP MOUNT TYPE 13118101-423 0021-35819
j316gallery Used - $357.50 0 Mar/07/17 Dec/08/21
Description: 8262 APPLIED MATERIALS MANIFOLD, OUTPUT, 1 HOLE, LEFT, 200MM PR 0040-47724
katiil3 NEW - $399.00 0 Mar/08/17 Jan/23/19
Description: AMAT 0040-75186 COVER, LOWER RSP PLUG, HYMU, 200MM ULTIM
tjtechseller Scrap, for parts - $5,500.00 0 Mar/08/17 Aug/18/17
Description: 0010-38438 Applied Materials AMAT ASSY, C-CHUCK, 200MM, CIP 99
orapma12012 Used - $2,995.00 1 Mar/09/17 Mar/16/17
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
orapma12012 NEW - $2,995.00 0 Mar/10/17 Mar/17/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
orapma12012 Used - $395.00 0 Mar/10/17 Mar/17/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
alamedaauction NEW - $195.00 0 Mar/14/17 Apr/13/17
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5 MIC 0.8" THK 0020-3290
caps86 Used - $800.00 1 Mar/15/17 Jul/13/17
Description: AMAT, APPLIED 0020-01271 Plate, Pumping, 200mm, WXZ 3 PLATES
orapma12012 NEW - $2,995.00 0 Mar/17/17 Mar/24/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
orapma12012 Used - $395.00 0 Mar/17/17 Mar/24/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
mattron747 NEW - $900.00 0 Mar/17/17 Apr/16/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
svcstore NEW - $26.99 0 Mar/17/17 Apr/16/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $26.99 0 Mar/17/17 Apr/16/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore Used - $214.99 0 Mar/17/17 Apr/16/17
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
eastsemi Refurbished - $50,100.00 0 Mar/19/17 Oct/13/20
Description: AMAT 0010-01166 MCA+. Heater Assy Lo Temp, 8" ESC MCA+ , 200mm
j316gallery Used - $1,048.56 0 Mar/19/17 Nov/19/21
Description: 7934 APPLIED MATERIALS RING, SINGLE, LOW PROFILE 200MM SNNF Q 0200-36689
j316gallery Used - $200.00 5 Mar/21/17 Dec/27/18
Description: 8256 APPLIED MATERIAL RING WAFER LIFT 200MM 0020-10192
redrockranch NEW - $399.00 0 Mar/21/17 Apr/20/17
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
gesemiconductor NEW - $55.00 0 Mar/22/17 Dec/19/17
Description: LAM RESEARCH 796-098648-001 Seal, VAT 64 Gate, 200mm
j316gallery Used - $714.29 0 Mar/23/17 Nov/23/21
Description: 8101 APPLIED MATERIALS DOOR SLIT VALVE, WAFFLE-LESS 200MM E-M 0021-14960
usfoundry1 NEW - $70.00 0 Mar/23/17 Apr/22/17
Description: AMAT Blocker Plate 0020-10936 (200mm)
bornalliancecom Used - $3,750.00 0 Mar/26/17 Jun/15/23
Description: Applied Materials 0021-39570 PLATE, TOP BPSG 200MM XE CHAMBER RTP AMAT
bornalliancecom NEW - $4,695.00 0 Mar/26/17 Feb/15/18
Description: Applied Materials 0200-09672 Ring, Clamping, NOTCH, AL, 200MM, 1.38H, Notch, CE
sourceprocessllc Used - $699.99 0 Mar/27/17 Apr/26/17
Description: AMAT 0040-36180 TXZ Heater 200mm
sebasjeon Used - $5,000.00 1 Mar/28/17 Jan/02/20
Description: 0010-04465 Magnet Assembly, LP 5.2, 200mm SIP TA (AS-IS)
katiil3 Used - $49.00 0 Mar/29/17 Oct/23/21
Description: Applied Materials AMAT 0270-03677 SPACER ESC REMOVAL 200MM
katiil3 NEW - $349.00 1 Apr/01/17 Jun/01/21
Description: APPLIED MATERIALS/AMAT 0020-84438 RING, DRIVE 200MM
katiil3 Refurbished - $399.00 0 Apr/01/17 Aug/25/19
Description: Applied material/amat 0021-17721 SHIELD CLAMP RING, 200MM SIP TA(N), KACH
esolutions1 NEW - $359.10 0 Apr/01/17 May/01/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
conquer_2011 NEW - $4,950.00 0 Apr/02/17 May/02/17
Description: AMAT 200mm WxZ CVD Isolation Valve Chamber Assembly, Part Number 0010-36278
tmh_inc NEW - $1,057.20 0 Apr/04/17 Oct/01/21
Description: AMAT 0040-62905, WAFER LIFT, COLLET ASSEMBLY 200MM ASP
tmh_inc Used - $2,760.00 0 Apr/04/17 Oct/01/21
Description: AMAT 3870-04587, VALVE, THROTTLE, FLAPPER, MKS, 200MM
katiil3 Used - $300.00 1 Apr/04/17 Jun/07/17
Description: 2xApplied Materials AMAT 0090-02346 HEATER RF FILTER 200MM, PCB 0100-00698
j316gallery Used - $855.47 0 Apr/05/17 Nov/03/20
Description: 8151 APPLIED MATERIAL TOOL KIT, 200MM, HEATER LEVELING & CENTERING 0270-35184
j316gallery Used - $677.26 1 Apr/05/17 Jul/23/23
Description: 8149 APPLIED MATERIALS ASSY, 200MM STORAGE 0010-09053
j316gallery Used - $305.83 0 Apr/05/17 Aug/17/21
Description: 8150 APPLIED MATERIALS GAS BOX CHAMBER LID 200MM 0040-53927
ace449parts2010 Used - $750.00 0 Apr/06/17 Nov/06/19
Description: AMAT 0200-36649 PLATE, FINGER LIFT RING, 200MM PRODUCER
svcstore NEW - $43.99 0 Apr/06/17 May/06/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $34.99 0 Apr/06/17 May/06/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $36.99 0 Apr/06/17 May/06/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $34.99 0 Apr/06/17 May/06/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore Used - $58.99 0 Apr/06/17 May/06/17
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
skus092 NEW - $220.00 0 Apr/07/17 May/07/17
Description: 0200-10446, INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
skus092 NEW - $260.00 0 Apr/07/17 May/07/17
Description: 0200-36696, SHADOW RING,QUARTZ,200MM NOTCH (2), SUPER E
j316gallery Used - $3,500.00 0 Apr/10/17 May/09/17
Description: 8313 APPLIED MATERIAL SUSC-ASSY, 200MM, WSIX-DCS 0010-10451
j316gallery Used - $1,716.00 0 Apr/10/17 Dec/10/21
Description: 8309 APPLIED MATERIALS LASED, PEDESTAL, 200MM SNNF SML FLT W/WT 0040-18219
alamedaauction NEW - $1,250.00 0 Apr/11/17 May/11/17
Description: NEW AMAT 0010-10327 ESC 200mm Electro Static Chuck 0020-32909 Pedestal
usedeqsales Used - $2,004.17 1 Apr/12/17 Oct/24/17
Description: AMAT Applied Materials 0010-09337 200mm Lamp Module Precision 5000 P5000 Used
alamedaauction NEW - $195.00 1 Apr/14/17 May/02/17
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/ 5 MIC 0.8" THK 0020-3290
dbay480 NEW - $79.99 1 Apr/17/17 May/16/17
Description: *NEW* Applied Materials AMAT 200mm Top Plate Ceramic Liner 0200-04092 Producer
mattron747 NEW - $900.00 0 Apr/17/17 May/17/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
tjtechseller NEW - $1,200.00 1 Apr/18/17 Dec/18/23
Description: 0200-18109 AMAT COLLAR, 200MM SNNF, SML FLT, ULTIMA HDPC
tjtechseller NEW - $800.00 0 Apr/18/17 Dec/06/18
Description: 0020-10117 AMAT PLATE PERF OXIDE 200MM DO NOT SELL
svcstore NEW - $23.99 0 Apr/17/17 May/10/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $23.99 0 Apr/17/17 May/10/17
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore Used - $192.99 0 Apr/17/17 May/17/17
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
redrockranch NEW - $399.00 0 Apr/20/17 May/20/17
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
cubit001 Used - $3,000.00 0 Apr/21/17 May/21/17
Description: Novellus ESC 200mm HDP MC TYPE, 02-121642-00, 02-121096-00
semiconductorsolution NEW - $3,980.00 0 Apr/25/17 May/25/17
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
semiconductorsolution NEW - $6,500.00 0 Apr/25/17 May/25/17
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
usedeqsales Used - $1,962.92 0 Apr/28/17 Jun/15/23
Description: AMAT Applied Materials 0040-61814 200mm Chamber Centura RTP Used Working
conquer_2011 NEW - $4,950.00 0 May/02/17 May/08/17
Description: AMAT 200mm WxZ CVD Isolation Valve Chamber Assembly, Part Number 0010-36278
esolutions1 NEW - $399.00 0 May/04/17 Jun/03/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
conquer_2011 NEW - $950.00 0 May/08/17 May/15/17
Description: AMAT 200mm WxZ CVD Isolation Valve Chamber Assembly, Part Number 0010-36278
lokinb Used - $200.00 3 May/08/17 Mar/11/23
Description: Novellus Heater Chuck 16-156335-00 200mm Assy PVD Chamber
flyoverdreamwater NEW - $8,500.00 0 May/08/17 Nov/04/22
Description: Lam (Novellus) 05-134264-00 ASSY ESC, 200mm, Original New Part
svcstore Used - $52.99 0 May/08/17 Jun/07/17
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
flyoverdreamwater NEW - $3,900.00 1 May/09/17 May/11/18
Description: Lam (Novellus) 02-033394-01 Heater, 200mm, Original New Part
katiil3 Used - $499.00 0 May/10/17 Oct/23/21
Description: AMAT 0021-03637 FACEPLATE SILANE, OEM USED, Producer 200mm
katiil3 Used - $99.00 0 May/11/17 Oct/23/21
Description: AMAT 0020-51641 BRACKET, MAG SWITCH, 200MM BAKEOUT LAMPS ( QTY 2 )
alamedaauction NEW - $1,250.00 0 May/11/17 Jun/10/17
Description: NEW AMAT 0010-10327 ESC 200mm Electro Static Chuck 0020-32909 Pedestal
katiil3 Used - $799.00 0 May/15/17 Oct/23/21
Description: AMAT 0020-62423 SHIELD LOWER 190MM SPACING 200MM ALPS
katiil3 Used - $999.00 0 May/17/17 Oct/23/21
Description: AMAT 0010-92132 CASSETTE LOADER 200mm Lift and Rotate Cassette Trays, XR80.
mattron747 NEW - $900.00 0 May/17/17 Jun/16/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
sparesllc09 Used - $6,500.00 1 May/18/17 Mar/28/18
Description: 0010-12168 /AC BOX 200MM SLT ESC ASSEMBLY/ APPLIED MATERIALS
cubit001 Refurbished - $4,800.00 0 May/18/17 Jun/17/17
Description: Novellus 02-106507-00 Spindle Assy 200mm Refurbished
svcstore Used - $192.99 0 May/19/17 Jun/18/17
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
cubit001 Used - $2,000.00 0 May/23/17 Jun/22/17
Description: AMAT 0010-38438 ASSY, C-CHUCK, 200MM, 0190-35712 Thermocouple
conquer_2011 NEW - $4,950.00 0 May/23/17 Jun/22/17
Description: AMAT 200mm WxZ CVD Isolation Valve Chamber Assembly, Part Number 0010-36278
bigg.logistics101 Used - $699.99 2 May/23/17 Nov/15/18
Description: APPLIED MATERIALS PEDESTAL 200MM ESC 0040-09961
semiconductorsolution NEW - $6,500.00 0 May/25/17 Jun/24/17
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,980.00 0 May/25/17 Jun/24/17
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
redrockranch NEW - $399.00 0 May/30/17 Jun/29/17
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
used1eqsales NEW - $2,005.14 0 Jun/05/17 Mar/16/18
Description: AMAT Applied Materials 0200-00674 8” Dep Ring AMAT Endura 200mm
used1eqsales NEW - $2,005.14 0 Jun/05/17 Mar/29/18
Description: AMAT Applied Materials 0021-12763 Inner Shield 8in SIP TA AMAT Endura 200mm
used1eqsales NEW - $2,005.14 0 Jun/05/17 Mar/29/18
Description: AMAT Applied Materials 0021-12762 Lower Shield 8in BESC SIP TA AMAT Endura 200mm
used1eqsales NEW - $1,005.14 0 Jun/05/17 Mar/29/18
Description: AMAT Applied Materials 0020-08465 Shield Clamp Ring 8in AL-Sprayed 200mm S
used1eqsales NEW - $2,005.14 0 Jun/05/17 Mar/29/18
Description: AMAT Applied Materials 0021-12764 Upper Shield 8in SIP-TA AMAT Endura 200mm
used1eqsales NEW - $2,005.14 0 Jun/05/17 Mar/29/18
Description: AMAT Applied Materials 0200-00673 8” Cover Ring AMAT Endura 200mm
srctech Used - $1,500.00 2 Jun/06/17 Aug/27/18
Description: AMAT Applied Materials Cathode Body Aluminium 200mm 0040-31911
catalystparts Used - $600.00 1 Jun/07/17 Jun/15/22
Description: Applied Materials Susceptor Assy TEOS 200mm 0010-09463
j316gallery Used - $3,495.21 0 Jun/09/17 Sep/29/21
Description: 8555 APPLIED MATERIALS ASSEMBLY, TOP MATCH, ULTIMA X 200MM, HDP 0010-07155
svcstore Used - $52.99 0 Jun/09/17 Jul/09/17
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
usfoundry1 NEW - $50.00 0 Jun/09/17 Jul/09/17
Description: AMAT Blocker Plate 0020-10936 (200mm)
alamedaauction NEW - $1,250.00 0 Jun/10/17 Jul/10/17
Description: NEW AMAT 0010-10327 ESC 200mm Electro Static Chuck 0020-32909 Pedestal
katiil3 Used - $169.00 1 Jun/12/17 Oct/23/21
Description: AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm ( LOT OF 8 )
athomemarket NEW - $387.59 1 Jun/11/17 Aug/30/18
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
esolutions1 NEW - $359.10 0 Jun/14/17 Jul/14/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
farmoninc NEW - $1,500.00 0 Jun/15/17 Jan/31/18
Description: AMAT 0200-04092 Top Liner, Ceramic, APF, 200mm, Producer, 423477
athomemarket NEW - $29.99 0 Jun/15/17 Oct/07/18
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $60.00 0 Jun/15/17 Oct/07/18
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
gesemiconductor Used - $350.00 0 Jun/16/17 Jun/28/17
Description: Kumkang Quartz Co. AMAT 0200-10073 200mm Pipe Insulator Quartz
mattron747 NEW - $900.00 0 Jun/17/17 Jul/17/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
athomemarket Used - $87.50 1 Jun/17/17 Jun/22/18
Description: AMAT 0010-03171 Screwless 200mm BB Susceptor Assy. TEOS
athomemarket Refurbished - $29.99 0 Jun/20/17 Oct/12/18
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
svcstore Used - $172.99 0 Jun/21/17 Jul/21/17
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
athomemarket Used - $500.00 1 Jun/21/17 Jun/21/17
Description: Applied Materials/AMAT 0040-08621 200mm CWXZ Ceramic Heater Pedestal 8" Plate
athomemarket Refurbished - $129.49 0 Jun/22/17 Oct/14/18
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
conquer_2011 NEW - $2,475.00 0 Jun/23/17 Jul/23/17
Description: AMAT 200mm WxZ CVD Isolation Valve Chamber Assembly, Part Number 0010-36278
j316gallery Used - $3,500.00 1 Jun/23/17 Aug/23/17
Description: 8892 APPLIED MATERIAL ADAPTER, CESC, 2 HE ZONE, 200MM, DPS+ 0040-64458
dr.dantom Scrap, for parts - $300.00 2 Jun/25/17 Aug/26/19
Description: Applied Materials/AMAT 0020-33786 GDP MXP 200mm HEWEB 156
athomemarket NEW - $29.99 0 Jun/24/17 Oct/16/18
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket Refurbished - $199.99 0 Jun/28/17 Jul/05/17
Description: AMAT 0200-10176 Etch Chamber 200mm Notch Quartz Shadow Ring, 9-30-2004
testeqe Used - $3,499.99 0 Jun/28/17 Aug/27/17
Description: Novellus PN: 16-131278-00 200mm Heater Block, Low Contact Area 8"
cubit001 NEW - $499.00 0 Jun/29/17 Jul/29/17
Description: AMAT 0040-84668 GRIPPER CLAW, UPPER,LH,200MM
gophersales NEW - $395.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
redrockranch NEW - $399.00 0 Jul/03/17 Aug/02/17
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
powersell007 NEW - $14,999.00 1 Jul/03/17 Jul/12/17
Description: APPLIED MATERIALS 0010-77775 200MM TITAN PROFILER HEAD CMP MIRRA POLISHER AMAT
grandbirdnet Used - $7,600.00 1 Jul/04/17 Dec/12/18
Description: AMAT 0190-12122 6-PORT ROTARY UNION, 200MM MULTI-ZONE, NEW
athomemarket NEW - $49.99 0 Jul/04/17 Oct/26/18
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
semiconductorsolution NEW - $6,500.00 0 Jul/05/17 Aug/04/17
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,980.00 0 Jul/05/17 Aug/04/17
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
athomemarket NEW - $20.00 1 Jul/05/17 Jun/01/18
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
alamedaauction NEW - $1,250.00 0 Jul/11/17 Aug/10/17
Description: NEW AMAT 0010-10327 ESC 200mm Electro Static Chuck 0020-32909 Pedestal
sammy_etek NEW - $950.00 0 Jul/10/17 Aug/19/17
Description: 0010-36522, APPLIED MATERIALS, ASSY,EDGE RING,TXZ,200MM,SNNF
sammy_etek NEW - $500.00 1 Jul/09/17 Apr/10/20
Description: 0021-08511, Applied Materials, HOUSING QUARTZ TUBE 200MM HP TXZ ISIC
usfoundry1 NEW - $50.00 0 Jul/10/17 Aug/09/17
Description: AMAT Blocker Plate 0020-10936 (200mm)
svcstore Used - $52.99 0 Jul/10/17 Aug/09/17
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
j316gallery Used - $160.86 2 Jul/12/17 Mar/27/23
Description: 8677 NOVELLUS CHUCK, PEM, O-RING, 200MM (16-271307-00) 16-159097-00
j316gallery Used - $5,000.00 0 Jul/14/17 Nov/02/17
Description: 5140 APPLIED MATERIAL 8" PRECLEAN II 200MM PVD RF MATCH W/O HANDLE 0010-20524
esolutions1 NEW - $399.00 0 Jul/14/17 Aug/13/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
j316gallery Used - $1,000.00 0 Jul/17/17 Aug/06/17
Description: 1346 APPLIED MATERIAL 5000 CVD 200MM LAMP MODULE 0010-09337
athomemarket Used - $1,499.99 10 Jul/17/17 Jul/28/17
Description: Applied Materials/AMAT 0010-20481 200mm Cassette Handler (LLB) Assembly
spsglobal Used - $200.00 0 Jul/19/17 Jul/09/18
Description: 116-0304// AMAT APPLIED 0200-09182 ADAPTER, PUMPING PLATE, 200MM USED
conquer_2011 NEW - $4,950.00 0 Jul/23/17 Aug/22/17
Description: AMAT 200mm WxZ CVD Isolation Valve Chamber Assembly, Part Number 0010-36278
svcstore Used - $154.99 0 Jul/24/17 Aug/23/17
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
gorilla-store NEW - $7,678.18 0 Jul/26/17 Aug/25/17
Description: Applied Materials AMAT CMP 0190-77344 TRANSDUCER PLATE NO DRAIN OPTION 200MM MEG
evetsglobalsemiconductorpartsandrefurb NEW - $49,999.00 0 Jul/26/17 Jan/24/22
Description: AMAT 0040-41924 ESC ASSY, 200MM SNNF DPS HT CATHODE
j316gallery Used - $436.90 0 Jul/26/17 Jun/23/21
Description: 9057 APPLIED MATERIALS ASSY, ENP-OTF RECEIVER, 200MM BANK, 0100-76055 0010-75581
spsglobal Used - $1,000.00 0 Jul/27/17 Oct/04/20
Description: 124-0401// AMAT APPLIED 0020-31503 CHUCK,200MM,ASP USED
vizko2017 Used - $150.00 1 Jul/29/17 Jun/19/19
Description: Lam Research 715-130092-008 End Effector 200mm Wafer Rev. 2
gophersales NEW - $395.00 0 Jul/30/17 Aug/29/17
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
cubit001 Used - $3,000.00 0 Aug/03/17 Aug/06/17
Description: Novellus ESC 200mm HDP MC TYPE, 02-121642-00, 02-121096-00
semiconductorsolution NEW - $6,500.00 0 Aug/04/17 Sep/03/17
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,980.00 0 Aug/04/17 Sep/03/17
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
autoquip7 NEW - $1,200.00 0 Aug/06/17 Apr/06/22
Description: 0010-36522, APPLIED MATERIALS, ASSY,EDGE RING,TXZ,200MM,SNNF
caps86 Used - $500.00 0 Aug/10/17 Nov/08/17
Description: APPLIED MATERIALS 0200-09072, Ceramic Plate Ring Ceramic SHIELD 200MM & BLOCKER
skus092 NEW - $4,000.00 0 Aug/10/17 Sep/09/17
Description: APPLIED MATERIAL BASE, COOLING, 200MM CATHODE, DPS 0040-32190
alamedaauction NEW - $1,250.00 0 Aug/10/17 Sep/09/17
Description: NEW AMAT 0010-10327 ESC 200mm Electro Static Chuck 0020-32909 Pedestal
svcstore NEW - $26.99 6 Aug/11/17 Aug/29/17
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore Used - $52.99 0 Aug/11/17 Sep/10/17
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
esolutions1 NEW - $359.10 0 Aug/13/17 Sep/12/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
cubit001 NEW - $499.00 0 Aug/14/17 Sep/13/17
Description: AMAT 0040-84668 GRIPPER CLAW, UPPER,LH,200MM
cubit001 Used - $2,000.00 0 Aug/14/17 Sep/13/17
Description: AMAT 0010-38438 ASSY, C-CHUCK, 200MM, 0190-35712 Thermocouple
redrockranch NEW - $399.00 0 Aug/14/17 Sep/13/17
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
ace449parts2010 NEW - $750.00 0 Aug/15/17 Nov/15/19
Description: AMAT 0020-26973 TI SHUTTER DISK 200MM
ace449parts2010 Used - $470.00 0 Aug/15/17 Nov/15/19
Description: AMAT 0020-21707 LIFTER ORIENTER 200MM
ace449parts2010 Used - $750.00 0 Aug/15/17 Nov/15/19
Description: AMAT 0020-21708 ORIENTER CHUCK 200MM
mattron747 NEW - $900.00 0 Aug/15/17 Sep/14/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
gesemiconductor Used - $500.00 0 Aug/17/17 Dec/19/17
Description: Applied Materials 0040-09961 / 0020-32963 / 0040-09957 ASSY Pedestal 200MM Esc
gesemiconductor NEW - $239.00 0 Aug/17/17 Dec/19/17
Description: Applied Materials 0200-36544 Isolator, Lid Flange, TI-XZ 200MM
gesemiconductor NEW - $200.00 0 Aug/17/17 Dec/19/17
Description: Applied Materials 0200-02000 Ring, Single low profile, 200mm
gesemiconductor NEW - $800.00 0 Aug/17/17 Dec/19/17
Description: Applied Materials 0200-02990 002 Top Liner 200MM
gesemiconductor Used - $200.00 0 Aug/17/17 Dec/19/17
Description: LAM Research 716-800842-005 Foc, Ring, Top, QTZ, 200mm, Jeida
katiil3 Used - $449.00 0 Aug/22/17 Oct/23/21
Description: Applied materials 0200-03388 single ringceramic 200MM notch
conquer_2011 NEW - $3,217.50 0 Aug/22/17 Sep/14/17
Description: AMAT 200mm WxZ CVD Isolation Valve Chamber Assembly, Part Number 0010-36278
j316gallery Used - $841.85 0 Aug/23/17 Mar/31/24
Description: 8521 APPLIED MATERIALS PCB 200MM SMIF INTERLOCK BOARD 0100-76280
svcstore Used - $154.99 0 Aug/24/17 Sep/23/17
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
autoquip7 Used - $950.00 0 Aug/25/17 Jul/25/22
Description: 0010-36522, APPLIED MATERIALS, ASSY,EDGE RING,TXZ,200MM,SNNF
xsysengineering Used - $750.00 0 Aug/28/17 May/16/21
Description: Applied Materials (AMAT) 0020-32909 ESC. 200mm
xsysengineering Used - $1,200.00 0 Aug/28/17 May/16/21
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
xsysengineering Used - $900.00 0 Aug/28/17 May/16/21
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
intek22 Used - $95.00 1 Aug/28/17 Apr/12/18
Description: AMAT Applied Materials 0010-09463 Susceptor Assembly TEOS 200MM
gophersales NEW - $395.00 0 Aug/29/17 Sep/28/17
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
testeqe Used - $3,499.99 1 Aug/29/17 May/21/18
Description: Novellus PN: 16-131278-00 200mm Heater Block, Low Contact Area 8"
phxinn Refurbished - $5,500.00 0 Aug/31/17 Sep/30/17
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
sparepartsworldwide NEW - $2,200.00 0 Sep/05/17 Sep/13/17
Description: NOVELLUS 15-100263-00 ROBOT BLADE 200MM HI PURITY
usfoundry1 NEW - $39.00 0 Sep/05/17 Oct/05/17
Description: AMAT Blocker Plate 0020-10936 (200mm)
allforsale555 Used - $499.00 0 Sep/12/17 Jul/29/21
Description: Applied materials 0200-03388 single ring ceramic 200MM notch
j316gallery Used - $3,000.00 0 Sep/10/17 Jan/11/18
Description: 9196 APPLIED MATERIAL 200MM PLASMA CELL ASSY, END PT DET, PROD (0090- 0010-17961
alamedaauction NEW - $750.00 0 Sep/09/17 Oct/09/17
Description: NEW AMAT 0010-10327 ESC 200mm Electro Static Chuck 0020-32909 Pedestal
svcstore Used - $52.99 0 Sep/11/17 Oct/11/17
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
sparepartsworldwide NEW - $999.00 0 Sep/14/17 Jul/31/18
Description: NOVELLUS 15-100263-00 ROBOT BLADE 200MM HI PURITY
mattron747 NEW - $900.00 0 Sep/15/17 Oct/15/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
cubit001 Refurbished - $6,500.00 0 Sep/18/17 Oct/18/17
Description: AMAT 0040-31780 BASE, COOLING, 200MM CATHODE, DPS MEC Refurbished
semiconductorsolution NEW - $3,980.00 0 Sep/19/17 Oct/19/17
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
semiconductorsolution NEW - $6,500.00 0 Sep/19/17 Oct/19/17
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
redrockranch NEW - $399.00 0 Sep/21/17 Oct/19/17
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
svcstore Used - $154.99 0 Sep/24/17 Oct/24/17
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
esolutions1 NEW - $359.10 0 Sep/25/17 Oct/25/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
gophersales NEW - $395.00 0 Sep/28/17 Oct/28/17
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
phxinn Refurbished - $5,250.00 0 Oct/04/17 Nov/03/17
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
cubit001 Used - $2,299.99 0 Oct/05/17 Nov/04/17
Description: AMAT 0010-38438 ASSY, C-CHUCK, 200MM, 0190-35712 Thermocouple
semiconductorsolution NEW - $2,850.00 0 Oct/10/17 Sep/23/21
Description: AMAT, Applied Materials, 0200-00968, Isolator, FSG TEOS producer 200mm
alamedaauction NEW - $750.00 0 Oct/10/17 Nov/09/17
Description: NEW AMAT 0010-10327 ESC 200mm Electro Static Chuck 0020-32909 Pedestal
cubit001 NEW - $1,399.00 0 Oct/10/17 Nov/09/17
Description: AMAT 0200-00402 ISOLATOR PUMPING RING TOP 200MM PRODUCER , NEW Second Source
cubit001 NEW - $899.00 0 Oct/10/17 Nov/09/17
Description: AMAT 0200-02576 LIFT RING FIXED LIFT PIN 200MM PRODUCER, NEW Second Source
cubit001 Used - $699.00 0 Oct/11/17 Nov/09/17
Description: AMAT 0020-33538, PLATE, PERF OX 200MM, UNANODIZED
cubit001 Used - $3,399.00 0 Oct/11/17 Nov/10/17
Description: AMAT 0040-00876 ,0040-05592 E-Max 200mm ESC Chuck EMXP+ Dual Zone
sammy_etek NEW - $2,300.00 1 Oct/12/17 Dec/05/17
Description: 0020-32161, APPLIED MATERIALS, PEDESTAL ESC, 200MM, NOTCH, WEB
catalystparts NEW - $250.00 1 Oct/13/17 Oct/21/17
Description: Novellus 15-024611-00 Rev-C Transfer Arm / Paddle 200mm w/ Dimples
svcstore Used - $52.99 0 Oct/13/17 Nov/12/17
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
mattron747 NEW - $900.00 0 Oct/15/17 Nov/14/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
redrockranch NEW - $367.00 0 Oct/20/17 Nov/19/17
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
aspentexas NEW - $45.00 0 Oct/20/17 Nov/19/17
Description: AMAT 0200-09071 PIN, WAFER LIFT, 200MM CVD
orapma12012 NEW - $899.00 0 Oct/22/17 Oct/29/17
Description: AMAT APPLIED MATERIALS 0200-10144 lid insulator dxz 200MM , Ceramic NEW
svcstore Used - $154.99 0 Oct/24/17 Nov/23/17
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
allforsale555 Used - $119.00 0 Oct/25/17 Jul/29/21
Description: AMAT 0020-41755 Shield, 200MM PCIIE-SLT, CHAMBERS C AND D
spsglobal Used - $1,300.00 0 Oct/26/17 May/05/22
Description: 147-0501// AMAT APPLIED 0150-04962 CABLE ASSY, DC SOURCE, 75 FT 200MM USED
solutions-on-silicon NEW - $175.00 0 Oct/27/17 Dec/20/18
Description: 0030-09052 SEAL, LARGE FACE, NOTCH, CHEMRAZ 512, 200MM (8") PEDESTAL SEAL
semiconductorsolution NEW - $6,500.00 0 Oct/30/17 Nov/29/17
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,980.00 0 Oct/30/17 Nov/29/17
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
esolutions1 NEW - $319.20 0 Oct/30/17 Nov/29/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
orapma12012 NEW - $899.00 0 Nov/05/17 Nov/12/17
Description: AMAT APPLIED MATERIALS 0200-10144 lid insulator dxz 200MM , Ceramic NEW
phxinn Refurbished - $5,150.00 0 Nov/07/17 Dec/07/17
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
j316gallery NEW - $160.00 0 Nov/08/17 Jan/08/22
Description: 10063 APPLIED MATERIALS HTR JACKET ZONE 4 ITEM8 200MM PROD NEW 1410-00309
j316gallery NEW - $650.00 1 Nov/08/17 Nov/15/17
Description: 10002 APPLIED MATERIAL ASSY PROC, PERF PLATE 200MM TEO'S (0200-09072) 0250-10016
j316gallery Used - $100.00 0 Nov/08/17 Jan/08/22
Description: 10010 APPLIED MATERIALS HTR JACKET ZONE 4 ITEM 11 200MM PRODUCER 1410-00312
powersell007 NEW - $549.00 1 Nov/08/17 May/03/19
Description: APPLIED MATERIALS 0020-42082 PUMPING RING 8" 200MM FC, WXZ AMAT *UNUSED*
j316gallery NEW - $100.00 0 Nov/09/17 Jan/09/22
Description: 10093 APPLIED MATERIALS HEATER JKT, ZONE 4, ITEM 6, 200MM PROD (NEW) 1410-00307
j316gallery NEW - $43.69 0 Nov/09/17 Jan/09/22
Description: 10100 APPLIED MATERIALS DC ACTUATOR, SOURCE, SIP + 200MM (NEW) 0021-14587
gophersales NEW - $395.00 0 Nov/09/17 Dec/09/17
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
alamedaauction NEW - $450.00 0 Nov/09/17 Dec/09/17
Description: NEW AMAT 0010-10327 ESC 200mm Electro Static Chuck 0020-32909 Pedestal
allforsale555 Used - $249.00 0 Nov/11/17 Jul/29/21
Description: APPLIED MATERIALS 0020-46478 GASKET, DAMPED GIMBAL, 200MM 5 ZONE PROF
caps86 Used - $400.00 1 Nov/13/17 Dec/06/18
Description: APPLIED MATERIALS 0200-09072, Ceramic Plate Ring Ceramic SHIELD 200MM & BLOCKER
j316gallery NEW - $120.00 0 Nov/16/17 Jan/16/22
Description: 9861 APPLIED MATERIALS HEATER JKT, 12V, 6W, ZONE 4, 200MM PROD (NEW) 1410-00304
j316gallery NEW - $120.00 0 Nov/16/17 Jan/16/22
Description: 9860 APPLIED MATERIALS HEATER JKT, 16V, 9W, ZONE 4, 200MM PROD (NEW) 1410-00302
j316gallery NEW - $150.00 0 Nov/16/17 Jan/16/22
Description: 9863 APPLIED MATERIALS HEATER JKT, 16V, 9W, ZONE 4, 200MM PROD (NEW) 1410-00311
j316gallery NEW - $87.38 0 Nov/15/17 Jan/15/22
Description: 9417 APPLIED MATERIALS GUIDE CASSETTE UNIVERSALl MNL FEND 200MM (NEW) 0021-08774
svcstore Used - $46.99 0 Nov/15/17 Dec/15/17
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
mattron747 NEW - $900.00 0 Nov/14/17 Dec/14/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
skus092 Used - $1,200.00 3 Nov/17/17 Dec/13/17
Description: 0040-32190 APPLIED MATERIAL BASE, COOLING, 200MM CATHODE, DPS
skus092 Used - $5,000.00 0 Nov/17/17 Dec/17/17
Description: 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT
skus092 NEW - $180.00 10 Nov/17/17 Nov/20/17
Description: 0200-10446 INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
skus092 NEW - $230.00 2 Nov/17/17 Dec/17/17
Description: 0200-36696 SHADOW RING,QUARTZ,200MM NOTCH (2),SUPER
aspentexas Used - $895.00 1 Nov/16/17 Mar/22/18
Description: AMAT 0190-09263 "PUMPING PLATE, PLENUM"  from 200mm CVD
aspentexas Used - $895.00 1 Nov/16/17 Mar/23/18
Description: AMAT 0190-09263 "PUMPING PLATE, PLENUM"  from 200mm CVD
aspentexas NEW - $45.00 0 Nov/19/17 Dec/19/17
Description: AMAT 0200-09071 PIN, WAFER LIFT, 200MM CVD
orapma12012 NEW - $899.00 0 Nov/20/17 Nov/27/17
Description: AMAT APPLIED MATERIALS 0200-10144 lid insulator dxz 200MM , Ceramic NEW
powersell007 NEW - $999.00 1 Nov/21/17 Apr/10/20
Description: APPLIED MATERIALS 0200-36685 CAPTURE RING, SINGLE,LOW PROFILE,200MM SNNF CERAMIC
powersell007 Used - $549.00 0 Nov/22/17 Jan/20/22
Description: APPLIED MATERIALS 0020-42082 PUMPING RING 8" 200MM FC, WXZ AMAT RECYCLED
powersell007 Used - $599.00 0 Nov/22/17 Nov/22/23
Description: APPLIED MATERIALS 0200-10176 SHADOW RING, QUARTZ, 200MM, NOTCH AMAT RECYCLED
redrockranch NEW - $367.00 0 Nov/22/17 Dec/22/17
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
texassellbuy Used - $5,299.00 0 Nov/23/17 Sep/27/21
Description: AMAT 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT, USED
phxinn Refurbished - $5,000.00 0 Dec/07/17 Jan/06/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
gigabitpartsolutions Refurbished - $990.00 3 Dec/07/17 Jan/25/18
Description: AMAT 0010-10202 PEDESTAL ESC ASSY, 200MM NOTCH, WEB
gophersales NEW - $395.00 0 Dec/09/17 Jan/08/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
techshop7777 NEW - $1,200.00 0 Dec/09/17 Jan/08/18
Description: NEW AMAT POLYMIDE ESC 8” Electro Static Chuck DPS Metal 200mm 0020-39087
alamedaauction NEW - $450.00 0 Dec/10/17 Jan/09/18
Description: NEW AMAT 0010-10327 ESC 200mm Electro Static Chuck 0020-32909 Pedestal
phxinn NEW - $3,500.00 0 Dec/11/17 Jan/10/18
Description: *NEW* Applied Materials WxZ Heater, 0010-03331 200mm NLT .060 OSCR
phxinn NEW - $275.00 0 Dec/11/17 Jan/10/18
Description: Novellus C1, C2 Showerhead 200mm Pinned Al3003 16-257038-00 *NEW*
autoquip7 NEW - $3,600.00 0 Dec/13/17 Mar/03/22
Description: 0020-13814, Applied Materials, 200MM BLADE W/WAFER POCKET MC-ROBOT P500
semiconductorsolution NEW - $2,550.00 1 Dec/14/17 Jul/30/18
Description: AMAT, Applied Materials, 0010-75226, ASSY, THROTTLE VALVE 200MM, ETCH, DOWN S
eastsemi NEW - $29,000.00 0 Dec/13/17 Oct/13/20
Description: AMAT 0200-35527 Heater Ceramic, NGK 200mm
mattron747 NEW - $900.00 0 Dec/14/17 Jan/13/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
aspentexas NEW - $45.00 0 Dec/19/17 Jan/18/18
Description: AMAT 0200-09071 PIN, WAFER LIFT, 200MM CVD
usedeqsales NEW - $301.18 3 Dec/20/17 May/16/21
Description: New Applied Materials 0200-00177 Insert Ring Slilicon 200mm
usedeqsales Used - $3,007.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0010-10328 Oxide ESC Shell 200mm New Surplus
usedeqsales Used - $307.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0200-00177 Insert Ring SI/QTZ 200mm New Surplus
usedeqsales NEW - $601.18 0 Dec/20/17 Apr/09/18
Description: New Applied Materials 0200-00177 Insert Ring SI/QTZ 200mm Silicon Flat
usedeqsales Used - $307.18 0 Dec/20/17 Mar/03/22
Description: M.E.C. Tech MEC52008-1264 JADA Flat Insert Ring Si 200mm New Surplus
usedeqsales NEW - $50.00 0 Dec/20/17 Jan/02/18
Description: New Applied Materials 0021-23213 Lift Pin Fast Lift 200MM EMAX
powersell007 Used - $2,999.00 0 Dec/20/17 Mar/03/22
Description: APPLIED MATERIALS 0200-01119 SHADOW RING, QUARTZ 200MM NOTCH (2) AMAT *RECYCLED*
usedeqsales Used - $406.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0200-10448 Insert Ring 200mm (1S) S1/Q Silicon New
usedeqsales NEW - $55.00 0 Dec/20/17 Jan/02/18
Description: LAM RESEARCH 796-098648-001 Seal, VAT 64 Gate, 200mm
usedeqsales Used - $1,006.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0200-02990 002 Top Liner 200MM New Surplus
usedeqsales Used - $806.19 0 Dec/20/17 Mar/23/21
Description: Applied Materials 0040-09961 / 0020-32963 / 0040-09957 ASSY Pedestal 200MM Esc
usedeqsales Used - $1,506.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0200-02000 Ring, Single Low Profile 200mm New
usedeqsales Used - $906.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0200-36544 Isolator, Lid Flange, TI-XZ 200MM New
usedeqsales Used - $308.18 0 Dec/20/17 Mar/03/22
Description: Lam Research 716-800842-005 Foc, Ring, Top, QTZ, 200mm, Jeida Used
skus092 NEW - $1,200.00 0 Dec/22/17 Jan/21/18
Description: AMAT 0090-09166 ASSY,HV ESC,200MM CATHODE,DPS
skus092 NEW - $3,500.00 1 Dec/22/17 Jan/11/18
Description: 0040-32190 APPLIED MATERIAL BASE, COOLING, 200MM CATHODE, DPS
skus092 NEW - $230.00 0 Dec/22/17 Jan/21/18
Description: 0200-36696 SHADOW RING,QUARTZ,200MM NOTCH (2),SUPER
skus092 Used - $4,500.00 0 Dec/22/17 Jan/21/18
Description: 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT
spsglobal Used - $5,000.00 0 Dec/27/17 Feb/05/18
Description: AMAT APPLIED MATERIALS 0010-20481 ASSY 200MM CASSETTE HANDLER (LLB) USED
spsglobal Used - $5,000.00 0 Dec/27/17 Feb/05/18
Description: AMAT APPLIED MATERIALS 0010-20480 ASSY 200MM CASSETTE HANDLER LEFT (LLA) USED
allforsale555 Used - $299.00 0 Dec/27/17 Jul/29/21
Description: Applied Materials/AMAT 0200-10073 Insulator Quartz 200mm SimCa
farmoninc Used - $950.00 0 Dec/27/17 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424016
farmoninc Used - $1,450.00 0 Dec/27/17 Mar/03/22
Description: AMAT 0040-20561 Quartz Bell Jar, 200mm, Preclean, Endura, 8", PVD, 424015
part_miner NEW - $350.00 0 Dec/27/17 Oct/23/18
Description: AMAT 0020-40380 Housing, Valve Body, 200MM Throttle
farmoninc Used - $950.00 0 Dec/29/17 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424023
farmoninc Used - $950.00 0 Dec/29/17 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424022
svcstore Used - $139.99 0 Dec/30/17 Jan/29/18
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svcstore Used - $46.99 0 Dec/30/17 Jan/29/18
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
redrockranch NEW - $367.00 0 Jan/02/18 Feb/01/18
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
semiconductorsolution NEW - $6,500.00 0 Jan/02/18 Feb/01/18
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,980.00 0 Jan/02/18 Feb/01/18
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
phxinn Refurbished - $1,900.00 0 Jan/02/18 Feb/01/18
Description: Amat 0040-09957 (0010-10528) ESC Pedestal Assy, 200mm Poly Etch
svcheck NEW - $1,456.74 0 Jan/02/18 Oct/08/20
Description: AMAT APPLIED MATERIALS 0041-29770 Adapter AL203 Coating 200MM DPS+
phxinn Refurbished - $1,200.00 0 Jan/02/18 Feb/01/18
Description: Applied Materials 0010-09464 Susceptor, 200mm Nitride
farmoninc Used - $950.00 0 Jan/04/18 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424028
farmoninc Used - $950.00 0 Jan/04/18 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424026
farmoninc Used - $950.00 0 Jan/04/18 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424032
farmoninc Used - $950.00 0 Jan/04/18 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424030
farmoninc Used - $950.00 0 Jan/04/18 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424029
farmoninc Used - $950.00 0 Jan/04/18 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424033
farmoninc Used - $950.00 0 Jan/04/18 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424036
farmoninc Used - $950.00 0 Jan/04/18 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424035
farmoninc Scrap, for parts - $450.00 0 Jan/04/18 Jul/30/20
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424034
farmoninc Used - $950.00 0 Jan/05/18 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424077
farmoninc Used - $950.00 0 Jan/05/18 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424040
farmoninc Used - $855.00 0 Jan/05/18 May/15/18
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424039
farmoninc Used - $855.00 0 Jan/05/18 May/15/18
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424038
phxinn Refurbished - $4,950.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
gophersales NEW - $395.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
spsglobal Used - $5,000.00 0 Jan/08/18 Mar/03/22
Description: 105-0301// AMAT APPLIED 0200-00541 LINER, QUARTZ, 200MM PRE-CLEAN NEW
spsglobal Used - $5,000.00 0 Jan/08/18 Mar/03/22
Description: 104-0401// AMAT APPLIED 0090-00908 ASSY, SYSTEM INTERLOCK 200MM ENDURA SL NEW
spsglobal Used - $540.00 0 Jan/08/18 Mar/03/22
Description: 104-0401// AMAT APPLIED 0021-79127 FINGER, SHORT, WALKING BEAM, 200MM NEW
alamedaauction NEW - $450.00 1 Jan/09/18 Feb/07/18
Description: NEW AMAT 0010-10327 ESC 200mm Electro Static Chuck 0020-32909 Pedestal
techshop7777 NEW - $1,200.00 0 Jan/10/18 Feb/09/18
Description: NEW AMAT POLYMIDE ESC 8” Electro Static Chuck DPS Metal 200mm 0020-39087
phxinn NEW - $3,200.00 0 Jan/11/18 Feb/10/18
Description: *NEW* Applied Materials WxZ Heater, 0010-03331 200mm NLT .060 OSCR
phxinn NEW - $275.00 0 Jan/11/18 Feb/10/18
Description: Novellus C1, C2 Showerhead 200mm Pinned Al3003 16-257038-00 *NEW*
farmoninc Used - $950.00 0 Jan/12/18 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424100
farmoninc Used - $650.00 0 Jan/12/18 Mar/03/22
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD, 424107
esolutions1 NEW - $299.25 0 Jan/13/18 Feb/12/18
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
mattron747 NEW - $900.00 0 Jan/14/18 Feb/13/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
capitolareatech NEW - $895.00 0 Jan/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-36078 ASSY, TC, HEATER 200MM TI-XZ
phxinn Refurbished - $22,000.00 0 Jan/16/18 Feb/15/18
Description: Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm
farmoninc NEW - $5,900.00 0 Jan/16/18 Mar/03/22
Description: AMAT 0010-03002 Assy, Clamp Ring, Lower, 200mm, Pre-Clean, 0040-07962, 424115
capitolareatech NEW - $1,495.00 0 Jan/19/18 Jun/19/20
Description: Applied Materials (AMAT) 0200-01238 SHADOW RING, 200MM FLAT, TG, SUPER-e
capitolareatech NEW - $1,495.00 0 Jan/19/18 Mar/09/19
Description: Applied Materials (AMAT) 0200-36686 RING SINGLE LOW PROFILE 200MM, JMF, CER
aspentexas NEW - $45.00 0 Jan/18/18 Feb/17/18
Description: AMAT 0200-09071 PIN, WAFER LIFT, 200MM CVD
skus092 NEW - $1,200.00 0 Jan/21/18 Feb/20/18
Description: AMAT 0090-09166 ASSY,HV ESC,200MM CATHODE,DPS
skus092 Used - $4,500.00 0 Jan/22/18 Feb/21/18
Description: 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT
skus092 NEW - $230.00 0 Jan/22/18 Feb/21/18
Description: 0200-36696 SHADOW RING,QUARTZ,200MM NOTCH (2),SUPER
capitolareatech NEW - $850.00 0 Jan/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0020-30072 VESPEL RIM,200MM OX/MLR
farmoninc Used - $950.00 0 Jan/24/18 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP 424161
farmoninc Used - $950.00 0 Jan/24/18 Mar/03/22
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP 424160
farmoninc Used - $450.00 0 Jan/25/18 Mar/03/22
Description: AMAT 0200-18081 Cover, Low Profile, HDP-CVD Ultima, Ring 200mm, SNNF, 424164
allforsale555 Used - $699.00 0 Jan/26/18 Jul/29/21
Description: NOVELLUS 15-307812-00 SPINDLE FORK BOTTOM 200MM ( lot of 2 )
farmoninc NEW - $1,250.00 0 Jan/26/18 May/31/18
Description: AMAT 0190-09263 Pumping Plate, Plenum, 200mm, PETEOS, 424179
farmoninc Used - $650.00 0 Jan/26/18 Feb/12/18
Description: AMAT 0020-34518, Ring, Thick Wafer Lift, 200mm, 424181
farmoninc Used - $150.00 1 Jan/26/18 Feb/22/22
Description: AMAT 0021-35749 Ring, Isolator, TxZ, 200mm, SNNF, 424177
capitolareatech NEW - $1,295.00 0 Jan/31/18 Mar/09/19
Description: Applied Materials (AMAT) 0200-09072 SHIELD, 200MM
capitolareatech NEW - $1,295.00 0 Jan/31/18 Jan/31/18
Description: Applied Materials (AMAT) 0200-09072 SHIELD, 200MM
capitolareatech NEW - $495.00 0 Jan/31/18 Nov/12/19
Description: Applied Materials (AMAT) 0200-09572 COLLAR, SI, OX/MLR/NIT 200MM, NOTCH
capitolareatech NEW - $995.00 1 Jan/31/18 Nov/13/19
Description: Applied Materials (AMAT) 0200-35113 SUSC. R3 ROTATION 200MM EPI
capitolareatech NEW - $3,995.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0090-09298 ESC ASSY 200MM. NOTCH(2)
capitolareatech NEW - $1,295.00 2 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-39519 LIFT HOOP CHUCK, 200mm ASP
svcstore Used - $46.99 0 Jan/31/18 Mar/02/18
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore Used - $139.99 0 Jan/31/18 Mar/02/18
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
capitolareatech NEW - $395.00 0 Feb/01/18 Jul/11/18
Description: Applied Materials (AMAT) 0021-00571 INSERT, CHAMBER EXHAUST, EPI 200MM SST
phxinn Refurbished - $1,900.00 0 Feb/01/18 Mar/03/18
Description: Amat 0040-09957 0040-09961 (0010-10528) ESC Pedestal Assy, 200mm Poly Etch
phxinn Refurbished - $1,200.00 0 Feb/01/18 Mar/03/18
Description: Applied Materials 0010-09464 Susceptor, 200mm Nitride
semiconductorsolution NEW - $6,500.00 0 Feb/01/18 Mar/03/18
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,980.00 0 Feb/01/18 Mar/03/18
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
capitolareatech NEW - $849.95 0 Feb/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-32129 SPACER N15 SHUNT 200MM ESC
farmoninc Used - $3,500.00 0 Feb/02/18 Mar/03/22
Description: AMAT 0010-15257 Assy, Spring Plate w/GVDE, 200mm, DPN, 0010-15698 424098
farmoninc NEW - $1,120.00 0 Feb/02/18 Dec/24/18
Description: AMAT 0200-09072 Shield, 200mm, Ceramic Ring 424096
capitolareatech NEW - $495.00 0 Feb/05/18 Mar/12/19
Description: Applied Materials (AMAT) 0200-01239 INSERT RING, SILICON, 200MM NOTCH (2), T
capitolareatech NEW - $694.95 0 Feb/05/18 Sep/30/18
Description: Applied Materials (AMAT) 0200-10073 Insulator Quartz, 200MM, Simple Cathode Appl
capitolareatech NEW - $325.00 1 Feb/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-09263 PLATE PERF 200MM WSI
capitolareatech NEW - $795.00 0 Feb/06/18 Aug/21/18
Description: Applied Materials (AMAT) 0020-30407 Perf. Plate, 200mm, BW, Showerhead
capitolareatech NEW - $3,995.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-31813 SHELL ASSY, 200MM NOTCH
capitolareatech NEW - $2,295.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-00366 COLLAR,200MM JMF,HDPCVD ULTIMA
capitolareatech NEW - $895.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-00968 CERAMIQUE ISOLATOR, FSG-TEOS, PRODUCER 200MM
redrockranch NEW - $367.00 0 Feb/06/18 Mar/08/18
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
capitolareatech NEW - $695.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-10162 DIFFUSER 200MM
gophersales NEW - $395.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
phxinn Refurbished - $4,950.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
farmoninc Used - $750.00 0 Feb/08/18 Mar/03/22
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 424236
farmoninc Used - $750.00 0 Feb/08/18 Mar/03/22
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 424235
farmoninc Used - $750.00 0 Feb/08/18 Mar/03/22
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 424233
farmoninc NEW - $1,500.00 0 Feb/08/18 Mar/22/18
Description: AMAT 0200-04092 Top Liner, Ceramic, APF, 200mm, Producer, 423477
farmoninc Used - $750.00 0 Feb/09/18 Mar/03/22
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 424238
farmoninc Used - $750.00 0 Feb/09/18 Mar/03/22
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 424239
capitolareatech NEW - $595.00 0 Feb/09/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-30203 Pedestal Sxtal 200mm 8" Notch
phxinn NEW - $3,200.00 0 Feb/10/18 Mar/12/18
Description: *NEW* Applied Materials WxZ Heater, 0010-03331 200mm NLT .060 OSCR
phxinn NEW - $275.00 0 Feb/10/18 Mar/12/18
Description: Novellus C1, C2 Showerhead 200mm Pinned Al3003 16-257038-00 *NEW*
techshop7777 NEW - $1,200.00 1 Feb/10/18 Feb/20/18
Description: NEW AMAT POLYMIDE ESC 8” Electro Static Chuck DPS Metal 200mm 0020-39087
capitolareatech NEW - $695.00 0 Feb/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-32909 ESC., CHUCK, PED. 200MM NOTCH
capitolareatech NEW - $395.00 0 Feb/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-30784 SUS 200MM SHADOW RING .231 THK,
farmoninc Used - $650.00 0 Feb/12/18 Mar/03/22
Description: AMAT 0020-22196 Flame Sprayed Pedestal, 8", 200mm, Endura, PVD 424244
esolutions1 NEW - $399.00 0 Feb/12/18 Mar/14/18
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
capitolareatech NEW - $395.00 0 Feb/13/18 Aug/21/18
Description: Applied Materials (AMAT) 0020-30111 PLATE,BLOCKER 200MM BW
capitolareatech Used - $5,995.00 0 Feb/15/18 Mar/10/19
Description: Applied Materials (AMAT) 0040-18053 PEDESTAL, HOLES 200mm BLUE SNNF
capitolareatech NEW - $395.00 0 Feb/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-10677 SUPPORT, SUSCEPTOR, 200MM
phxinn Refurbished - $22,000.00 0 Feb/15/18 Mar/17/18
Description: Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm
capitolareatech NEW - $795.00 0 Feb/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-01813 FACEPLATE, TEOS, PRODUCER, 200MM
capitolareatech NEW - $995.00 0 Feb/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-32936 EDGE RING,TXZ,200MM,JMF
capitolareatech NEW - $595.00 0 Feb/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-31998 PEDESTAL, 200MM, AL, NOTCH, FINGER
capitolareatech Used - $4,500.00 0 Feb/14/18 Jun/20/19
Description: Applied Materials (AMAT) 0040-18219 LASED, PEDESTAL, 200MM
capitolareatech NEW - $545.95 0 Feb/14/18 Nov/13/19
Description: Applied Materials (AMAT) 0020-10117 PLATE PERF OXIDE 200MM
mattron747 NEW - $750.00 0 Feb/13/18 Mar/15/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
capitolareatech NEW - $695.00 0 Feb/16/18 Nov/20/19
Description: Applied Materials (AMAT) 0020-10204 SUSCEPTOR 200MM TEOS
capitolareatech NEW - $995.00 0 Feb/16/18 Nov/01/18
Description: Applied Materials (AMAT) 0200-36685 RING, SINGLE, LOW PROFILE 200MM SNNF
farmoninc Used - $575.00 0 Feb/16/18 Jul/14/20
Description: AMAT 0200-18081 Ring, 200mm, SNNF, SMLFLT, ULTIM, 233-0670-36, 424270
capitolareatech Used - $995.00 0 Feb/17/18 Sep/10/19
Description: Applied Materials (AMAT) 0010-10327 ESC SHELL ASSY,200MM,NOTCH(2),5MIC,0.8"THK,S
capitolareatech Refurbished - $1,195.00 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-09957 SHELL ASSY, 200MM NOTCH, IS , NI, LIFT, HVCE
capitolareatech NEW - $395.00 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-10448 INSERT RING, SILICONE, 200MM, FLAT(1S)
capitolareatech NEW - $1,095.00 0 Feb/17/18 Oct/06/19
Description: Applied Materials (AMAT) 0200-00957 CYLINDER,WAFER SUPPORT,SI COATED,200MM R
capitolareatech NEW - $395.00 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-09422 Quartz Focus Ring 200mm
katiil3 Used - $319.00 0 Feb/19/18 Feb/18/20
Description: Applied materials 0021-17722 SHIELD, UPPER, 200MM SIP TA (N) , SST, KAC
capitolareatech NEW - $425.00 0 Feb/20/18 Mar/09/19
Description: Applied Materials (AMAT) 0200-09827 RING PEDESTAL ESC 200MM NOTCH WEB
capitolareatech NEW - $295.00 0 Feb/20/18 Nov/13/19
Description: Applied Materials (AMAT) 0200-09086 QUARTZ RING 200MM
capitolareatech NEW - $395.00 0 Feb/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0200-09473 CLAMP RING 200MM OXIDE
sparesllc09 NEW - $25,000.00 0 Feb/20/18 Jul/30/19
Description: 0190-05395 / TARGET,DIFFUSION BONDED,200MM SIP TA(4N5) 12.279"(0.250"T) / AMAT
maxisemi1349 NEW - $40.00 0 Feb/20/18 Mar/22/18
Description: 0200-09071 LIFTING PIN 200MM
skus092 NEW - $230.00 2 Feb/21/18 Mar/23/18
Description: 0200-36696 SHADOW RING,QUARTZ,200MM NOTCH (2),SUPER
skus092 Used - $4,200.00 0 Feb/21/18 Mar/23/18
Description: 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT
capitolareatech NEW - $1,995.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-00330 RING, SINGLE, SERAMIC, ESC, 200MM JMF
capitolareatech Used - $395.00 0 Feb/21/18 Nov/14/19
Description: Applied Materials (AMAT) 0020-10518 PEDESTAL THK 200MM
capitolareatech NEW - $2,195.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-01954 DEEP RING, 200MM TA/TAN
capitolareatech NEW - $1,125.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-35359 SUSCEPTOR, XYC R3 ROTATION, 200MM, EPI
techshop7777 NEW - $1,200.00 1 Feb/21/18 Feb/22/18
Description: NEW AMAT POLYMIDE ESC 8” Electro Static Chuck DPS Metal 200mm 0020-39087
capitolareatech NEW - $595.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0020-30595 PEDESTAL SEALLESS 200MM
capitolareatech NEW - $795.00 4 Feb/21/18 Jul/30/18
Description: Applied Materials (AMAT) 0021-03637 FACEPLATE, SILANE PRODUCER 200MM
capitolareatech NEW - $1,795.00 0 Feb/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0200-00367 COLLAR,200MM JMF SML FLT,HDPCVD ULTIMA
capitolareatech NEW - $295.00 0 Feb/24/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-43572 LINE, EXHAUST KF40-KF50 200MM RADIANCE
capitolareatech Used - $1,995.00 0 Feb/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-03811 INPUT MANIFOLD TXZ 200MM CIP
capitolareatech NEW - $195.00 0 Mar/01/18 Aug/13/20
Description: LAM RESEARCH (LAM) 715-140184-008 200mm Wafer End Effector
capitolareatech NEW - $195.00 0 Mar/01/18 Aug/13/20
Description: LAM RESEARCH (LAM) 715-130092-008 End Effector 200mm Wafer Rev. 2
capitolareatech NEW - $1,995.00 0 Mar/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-34894 COVER, SHOWERHD, 200MM DOUBLE NOTCH,
capitolareatech NEW - $2,675.00 0 Mar/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-09463 SUSCEPTOR ASSY TEOS 200MM
capitolareatech NEW - $5,376.25 0 Mar/02/18 Nov/30/18
Description: Applied Materials (AMAT) 0010-38438 ASSY, C-CHUCK, 200MM, CIP 99
capitolareatech NEW - $895.00 0 Mar/02/18 Sep/15/19
Description: Applied Materials (AMAT) 0010-03171 ASSY, SUSC, BB TEOS, 200MM SCREWLESS
capitolareatech NEW - $2,395.00 0 Mar/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-10036 ASSY SUSCEPTOR BB Teos 200mm Screwless
capitolareatech NEW - $2,395.00 0 Mar/02/18 Mar/02/18
Description: Applied Materials (AMAT) 0010-10036 ASSY SUSCEPTOR BB Teos 200mm Screwless
capitolareatech NEW - $1,795.00 0 Mar/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-09762 RING,CLAMPING,NOTCH,AL 200MM, 1.38 HT,FI
phxinn Refurbished - $1,200.00 0 Mar/04/18 Apr/03/18
Description: Applied Materials 0010-09464 Susceptor, 200mm Nitride
phxinn Refurbished - $1,900.00 0 Mar/04/18 Apr/03/18
Description: Amat 0040-09957 0040-09961 (0010-10528) ESC Pedestal Assy, 200mm Poly Etch
svcstore Used - $139.99 0 Mar/04/18 Apr/03/18
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
svcstore Used - $46.99 0 Mar/04/18 Apr/03/18
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
j316gallery NEW - $2,444.20 0 Mar/06/18 Aug/19/20
Description: 10225 APPLIED MATERIAL RF FILTER PER TWIN CHMBR 200MM,0090-04309(NEW) 0242-43417
j316gallery NEW - $658.71 0 Mar/06/18 Sep/14/21
Description: 10218 APPLIED MATERIALS KIT,HE DUMP RETROFIT,200MM DPN (NEW) 0242-25611
j316gallery NEW - $500.00 0 Mar/06/18 Jun/03/18
Description: 10363 APPLIED MATERIAL HOOP,CD/PT 200MM (NEW) 0020-21221
semiconductorsolution NEW - $6,500.00 0 Mar/06/18 Apr/05/18
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,980.00 0 Mar/06/18 Apr/05/18
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
capitolareatech NEW - $1,095.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-40188 COLLAR 200MM SNNF, WIDE
capitolareatech NEW - $695.00 0 Mar/07/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-10447 SHADOW RING, QUARTZ 200MM, FLAT
capitolareatech NEW - $129.95 9 Mar/08/18 Aug/13/20
Description: Applied Materials (AMAT) 0015-09092 RF SHIELD 200MM
capitolareatech NEW - $1,395.00 0 Mar/08/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-32151 ESC Chuck Pedestal 200mm Notch HVIB 0040-097
gophersales NEW - $395.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
phxinn Refurbished - $4,950.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
skus092 NEW - $3,800.00 0 Mar/10/18 Apr/09/18
Description: 0040-32190 APPLIED MATERIAL BASE, COOLING, 200MM CATHODE, DPS
phxinn NEW - $3,200.00 0 Mar/12/18 Apr/11/18
Description: *NEW* Applied Materials WxZ Heater, 0010-03331 200mm NLT .060 OSCR
phxinn NEW - $275.00 0 Mar/12/18 Apr/11/18
Description: Novellus C1, C2 Showerhead 200mm Pinned Al3003 16-257038-00 *NEW*
redrockranch NEW - $367.00 0 Mar/12/18 Apr/11/18
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
capitolareatech NEW - $59.95 0 Mar/13/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-44902 LINE, 1/4 EXTENSION, 200MM RADIANCE
tm_semi NEW - $495.00 0 Mar/13/18 Apr/12/18
Description: AMAT 0200-09638 Rev C - CYLYNDER QUARTZ, 200MM EXT CATHODE CERAMIC
capitolareatech Used - $1,795.00 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-22303 ASSEMBLY GAS MODULE 200MM TXZ
tm_semi NEW - $350.00 0 Mar/14/18 Apr/13/18
Description: AMAT 0200-36544 Rev P1; ISOLATOR, LID FLANGE, TI-XZ 200MM
tm_semi NEW - $295.00 0 Mar/15/18 Apr/14/18
Description: AMAT 0200-40080 CLAMP, SNNF JMF ESC 200MM, OEM NEW
capitolareatech NEW - $995.00 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-10615 ASSY,PEDESTAL,200MM MXP, DIELECTRIC,NOTCH
mattron747 NEW - $750.00 0 Mar/15/18 Apr/14/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
capitolareatech NEW - $995.00 0 Mar/16/18 May/07/18
Description: Applied Materials (AMAT) 0200-00602 RING, SINGLE, LOW PROFILE, 200MM SNNF, Q
capitolareatech NEW - $18.95 0 Mar/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-81547 Cap Pressure 200mm
tm_semi NEW - $395.00 0 Mar/17/18 Apr/16/18
Description: Applied Materials 0200-09741 RING FOCUS QUARTZ 200MM POLY/WSI 209MM ID, OEM NEW
jfplcs Used - $2,999.00 0 Mar/19/18 Apr/18/18
Description: Applied Materials Lased Pedestal, 200MM SNNF SML FLT 0040-18219
phxinn Refurbished - $22,000.00 0 Mar/19/18 Apr/18/18
Description: Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm
usedeqsales NEW - $2,005.14 1 Mar/19/18 Jan/28/21
Description: AMAT Applied Materials 0021-12762 Lower Shield 8in BESC SIP TA 200mm Used
usedeqsales Used - $2,005.14 1 Mar/19/18 Mar/03/22
Description: AMAT Applied Materials 0021-12764 Upper Shield 8in SIP-TA AMAT Endura 200mm
tm_semi NEW - $250.00 0 Mar/20/18 Apr/19/18
Description: AMAT 0200-40190 Cover Ring 200MM SNNF Non-Contact 3MM; OEM; New
spsglobal Used - $2,000.00 0 Mar/20/18 Nov/30/18
Description: 313-0201// AMAT APPLIED 0010-38438 ASSY, C-CHUCK, 200MM, CIP 99 ASIS
esolutions1 NEW - $399.00 0 Mar/20/18 Apr/19/18
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
capitolareatech NEW - $695.00 1 Mar/21/18 Nov/06/18
Description: Applied Materials (AMAT) 0200-09074 WINDOW HTR 200MM
capitolareatech NEW - $995.00 0 Mar/21/18 Jul/20/18
Description: Applied Materials (AMAT) 0200-01155 DEPOSITION RING, DEEPER GROOVE, 200MM BE
maxisemi1349 NEW - $40.00 0 Mar/22/18 Apr/21/18
Description: 0200-09071 LIFTING PIN 200MM
skus092 Used - $4,200.00 0 Mar/23/18 Apr/22/18
Description: 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT
tm_semi NEW - $195.00 0 Mar/23/18 Apr/22/18
Description: AMAT 0200-40160 COVER RING 200MM JMF SI; OEM NEW
capitolareatech NEW - $845.95 0 Mar/24/18 Mar/10/19
Description: Applied Materials (AMAT) 0200-36696 SHADOW RING,QUARTZ,200MM NOTCH (2),
capitolareatech NEW - $595.00 0 Mar/24/18 Mar/24/20
Description: Applied Materials (AMAT) 0200-00849 SHADOW RING, QUARTZ, 200MM,63RA EMAX Kumka
capitolareatech NEW - $1,495.00 0 Mar/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0021-35789 PLT, PERF 200MM CVD AL
capitolareatech NEW - $595.00 0 Mar/24/18 Mar/24/20
Description: Applied Materials (AMAT) 0200-10445 SHADOW RING, QUARTZ, 200MM, NOTCH(2), SI/QTZ
pelcoendura17 NEW - $1,250.00 2 Mar/28/18 Jan/07/19
Description: AMAT 0021-03568 FACEPLATE 200MM SACVD PRODUCER , NEW Sealed.
capitolareatech NEW - $474.95 0 Mar/28/18 Mar/28/20
Description: Applied Materials (AMAT) 0200-09076 SUPPORT SUSCEPTOR 200MM
j316gallery Used - $6,500.00 0 Mar/29/18 Oct/26/18
Description: 7486 APPLIED MATERIAL CHAMBER BOTTOM,RADIANCE 200MM RTP 0040-01973 REV 004
tm_semi NEW - $195.00 0 Mar/29/18 Apr/28/18
Description: AMAT 0200-40169 COVER RING 200MM SNNF NON-CONTACT GE144; OEM NEW
capitolareatech NEW - $895.00 0 Mar/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-03171 Universal Chamber 200mm susceptor
capitolareatech NEW - $664.95 0 Mar/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-10292 Collar, Outer, Quartz, 200mm ESC
capitolareatech NEW - $1,595.00 0 Mar/29/18 May/04/18
Description: Applied Materials (AMAT) 0020-10402 PUMPING PLATE 200MM
capitolareatech NEW - $215.00 1 Mar/29/18 May/31/19
Description: Applied Materials (AMAT) 0020-10936 Blocker plate silane 200mm
storemanager-2009 Used - $1,000.00 0 Mar/30/18 Jun/18/18
Description: 0040-36180 Heater TXZ 200mm SNNF
gosemicat NEW - $800.00 1 Mar/30/18 Feb/08/21
Description: AMAT# 0200-10073 Insulator Quartz 200MM Simple Cathode
gosemicat NEW - $1,250.00 1 Mar/30/18 May/10/18
Description: AMAT# 0200-00602 Ring Single Low Profile 200mm SNNF
gosemicat NEW - $325.00 1 Mar/30/18 Apr/16/21
Description: AMAT# 0200-00639 Insert Ring Silicon, 200mm, Notch, SI/Qtz 0
sparesllc09 Used - $4,000.00 0 Apr/02/18 Oct/01/19
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP)/ APPLIED MATERIALS
spsglobal Used - $800.00 0 Apr/04/18 May/01/19
Description: 319-0302// AMAT APPLIED 0010-76765 ASSY, OTF EMITTER, 200MM BANK USED
svcstore Used - $46.99 0 Apr/04/18 May/04/18
Description: Lam Research 716-022025-001 Rev. C Quartz Ring Outer 200mm Semiconductor Part
svcstore Used - $139.99 0 Apr/04/18 May/04/18
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
capitolareatech NEW - $69.95 0 Apr/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-10074 LIFT PIN,DELTA MF NITRIDE XP,200MM
sparesllc09 Used - $6,500.00 0 Apr/05/18 Aug/08/19
Description: 0010-12168 /AC BOX 200MM SLT ESC ASSEMBLY/ APPLIED MATERIALS
semiconductorsolution NEW - $6,500.00 0 Apr/06/18 May/06/18
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,980.00 0 Apr/06/18 May/06/18
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
j316gallery NEW - $2,500.00 1 Apr/10/18 Jun/23/18
Description: 10617 APPLIED MATERIAL CYLINDER WAFER SUPPORT SI COATED 200MM RTP,NEW 0200-00289
phxinn Refurbished - $4,900.00 0 Apr/12/18 May/12/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
phxinn Refurbished - $1,200.00 0 Apr/12/18 May/12/18
Description: Applied Materials 0010-09464 Susceptor, 200mm Nitride
phxinn Refurbished - $1,900.00 0 Apr/12/18 May/12/18
Description: Amat 0040-09957 0040-09961 (0010-10528) ESC Pedestal Assy, 200mm Poly Etch
phxinn NEW - $3,200.00 0 Apr/12/18 May/12/18
Description: *NEW* Applied Materials WxZ Heater, 0010-03331 200mm NLT .060 OSCR
phxinn NEW - $275.00 0 Apr/12/18 May/12/18
Description: Novellus C1, C2 Showerhead 200mm Pinned Al3003 16-257038-00 *NEW*
asmtk Used - $50,000.00 0 Apr/13/18 Jun/21/18
Description: Applied Materials 0010-12516 200MM SNNF SLT ESC NO HTR, FDR ASSEMBLY AMAT
j316gallery Used - $10,180.10 0 Apr/13/18 Sep/27/21
Description: 10427 APPLIED MATERIALS TOP MATCH ASSY, 200MM ULTIMA X, HDP 0010-23172
j316gallery NEW - $263.48 0 Apr/13/18 Sep/24/21
Description: 10419 APPLIED MATERIALS KIT OTF PRECISION CALI 200MM RTP (NEW) 0242-86920
j316gallery Used - $478.62 1 Apr/13/18 Oct/13/22
Description: 10376 APPLIED MATERIALS SUPPORT CENTER RPS2 LID 200MM PRODUCER 0040-54104
capitolareatech NEW - $15.95 0 Apr/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-03647 SCR 4-40-X .50LG, BLOCKER 200MM SACVD
mattron747 NEW - $750.00 0 Apr/14/18 May/14/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
j316gallery NEW - $220.00 0 Apr/16/18 Aug/29/19
Description: 10416 APPLIED MATERIAL WAFER, ALUMINUM CALIBRATION 200MM (NEW) 0020-13047
redrockranch NEW - $367.00 0 Apr/17/18 May/17/18
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
spsglobal Used - $3,000.00 0 Apr/18/18 Dec/22/20
Description: 316-0201// AMAT APPLIED 0040-32190 BASE,COOLING,200MM CATHODE,DPS USED
jfplcs Used - $2,999.00 0 Apr/18/18 May/18/18
Description: Applied Materials Lased Pedestal, 200MM SNNF SML FLT 0040-18219
pneumatplus10 NEW - $109.00 1 Apr/20/18 Mar/15/21
Description: Applied Materials 0020-15982 Screen Lower 200mm Ultima X HDPCVD,AMAT,Unuse~94139
maxisemi1349 NEW - $40.00 0 Apr/22/18 May/22/18
Description: 0200-09071 LIFTING PIN 200MM
usedeqsales Used - $804.18 0 Apr/24/18 Mar/03/22
Description: AMAT Materials 0040-99951 200mm Polyimide Pedestal 0020-34017 Used Working
phxinn Refurbished - $22,000.00 0 Apr/24/18 May/24/18
Description: Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm
visionsemi Used - $222.02 0 Apr/27/18 Apr/27/18
Description: APPLIED MATERIALS AMAT 0040-61514 PRODUCER ROBOT HUB ADAPTER 200MM 300MM
orapma12012 Used - $2,995.00 1 Apr/30/18 Jan/17/20
Description: Applied Materials 0040-20014 PVD 200mm 4F Heater, W/ 2TC's
j316gallery NEW - $1,300.00 0 May/02/18 May/18/18
Description: B110 APPLIED MATERIAL RING, SINGLE, LOW PROFILE, 200MM SNNF, D (NEW) 0200-02866
storemanager-2009 NEW - $3,000.00 0 Mar/07/18 Jun/18/18
Description: SUSCEPTOR, ASSY 200MM
storemanager-2009 NEW - $1,600.00 0 Mar/07/18 Jun/18/18
Description: LID,LINER,TXZ,200MM
storemanager-2009 NEW - $2,400.00 0 Mar/07/18 Jun/18/18
Description: Base, Weldment 200mm, ESC, SIM
storemanager-2009 Used - $650.00 0 Mar/07/18 Jun/18/18
Description: 0021-11075 101 TTN PEDESTAL 200MM FLOATIN
storemanager-2009 NEW - $1,500.00 0 Mar/07/18 Jun/18/18
Description: ASSY,TOP,COIL,200MM
storemanager-2009 Used - $850.00 0 Mar/07/18 Jun/18/18
Description: Cooldown manifold,200mm
storemanager-2009 NEW - $780.00 0 Mar/07/18 Jun/18/18
Description: CLIP PUMP PORT TICL4 200MM
svcstore Used - $139.99 0 May/06/18 Jun/05/18
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
semiconductorsolution NEW - $6,500.00 0 May/07/18 Jun/06/18
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,980.00 0 May/07/18 Jun/06/18
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
tm_semi NEW - $195.00 0 May/08/18 Jun/07/18
Description: AMAT 0200-40169 COVER RING 200MM SNNF NON-CONTACT GE144; OEM NEW
tm_semi NEW - $175.00 0 May/08/18 Jun/07/18
Description: AMAT 0200-40160 COVER RING 200MM JMF SI; OEM NEW
gophersales NEW - $395.00 0 May/08/18 Jun/07/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
capitolareatech NEW - $120.50 6 May/12/18 Dec/27/18
Description: Applied Materials (AMAT) 0020-10185 SUPPORT HOOP 200MM
capitolareatech NEW - $575.00 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-10194 Shield, Tapered, 200mm
phxinn Refurbished - $4,950.00 0 May/14/18 Jun/13/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
phxinn NEW - $3,200.00 0 May/14/18 Jun/13/18
Description: *NEW* Applied Materials WxZ Heater, 0010-03331 200mm NLT .060 OSCR
phxinn Refurbished - $1,900.00 0 May/14/18 Jun/13/18
Description: Amat 0040-09957 0040-09961 (0010-10528) ESC Pedestal Assy, 200mm Poly Etch
phxinn Refurbished - $1,200.00 0 May/14/18 Jun/13/18
Description: Applied Materials 0010-09464 Susceptor, 200mm Nitride
phxinn NEW - $275.00 0 May/14/18 Jun/13/18
Description: Novellus C1, C2 Showerhead 200mm Pinned Al3003 16-257038-00 *NEW*
mattron747 NEW - $750.00 0 May/15/18 Jun/14/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
farmoninc Used - $300.00 0 May/15/18 Mar/09/23
Description: AMAT 0020-32132 Insert Outer N15 200MM ESC, 405444
farmoninc NEW - $950.00 0 May/15/18 Mar/09/23
Description: AMAT 0010-92044 Cassette Tray 200MM, 327430
capitolareatech NEW - $19.95 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-09185 PIN, WAFER LIFT 200MM BW
capitolareatech NEW - $695.00 0 May/16/18 Aug/30/19
Description: Applied Materials (AMAT) 0200-00071 Insulating Pipe Quartz, 200MM ,EXT
capitolareatech NEW - $49.95 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-34472 Hose Water Lid-Chamber Anneal ECP 200MM
capitolareatech NEW - $375.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-16215 PLATE BLOCKER 200MM,BW FOR WSIX LID
redrockranch NEW - $367.00 0 May/19/18 Jun/18/18
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
capitolareatech NEW - $875.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0040-40358 Shield Lower 200MM, IECP
adelrick123 Used - $765.00 1 May/21/18 Dec/05/21
Description: AMAT # 0040-64458 Adaptor cesc 2 HE Zone 200mm DPS+
testeqe NEW - $4,999.99 2 May/21/18 Mar/23/22
Description: NEW Novellus PN: 16-131278-00 200mm Heater Block, Low Contact Area 8"
capitolareatech NEW - $1,346.50 0 May/22/18 Sep/19/19
Description: Applied Materials (AMAT) 0021-17718 SHIELD, INNER, 200MM SIP TA(N), SST, KAC
maxisemi1349 NEW - $40.00 0 May/24/18 Jun/23/18
Description: 0200-09071 LIFTING PIN 200MM
gophersales NEW - $395.00 0 Jun/07/18 Jul/07/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
jfplcs Used - $2,999.00 0 Jun/06/18 Jul/06/18
Description: Applied Materials Lased Pedestal, 200MM SNNF SML FLT 0040-18219
semiconductorsolution NEW - $6,500.00 0 Jun/06/18 Jul/06/18
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
svcstore Used - $139.99 0 Jun/06/18 Jul/06/18
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
phxinn NEW - $3,200.00 0 Jun/15/18 Jul/15/18
Description: *NEW* Applied Materials WxZ Heater, 0010-03331 200mm NLT .060 OSCR
phxinn Refurbished - $4,900.00 0 Jun/15/18 Jul/15/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
phxinn Refurbished - $1,200.00 0 Jun/15/18 Jul/15/18
Description: Applied Materials 0010-09464 Susceptor, 200mm Nitride
phxinn Refurbished - $1,900.00 0 Jun/15/18 Jul/15/18
Description: Amat 0040-09957 0040-09961 (0010-10528) ESC Pedestal Assy, 200mm Poly Etch
capitolareatech NEW - $1,195.95 0 Jun/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-06952 PERF PLATE, 200MM SINERGY
phxinn NEW - $275.00 0 Jun/15/18 Jul/15/18
Description: Novellus C1, C2 Showerhead 200mm Pinned Al3003 16-257038-00 *NEW*
mattron747 NEW - $750.00 0 Jun/14/18 Jul/14/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
cosplity NEW - $750.00 2 Jun/18/18 Jun/20/18
Description: AMAT 0090-00923 MOTOR ENCODER ASSY FOR LONG ROBOT MIRRA CMP 200MM
maxisemi1349 NEW - $750.00 0 Jun/18/18 Jul/18/18
Description: 0020-30407 PERF. PLATE 200MM BW
redrockranch NEW - $367.00 0 Jun/19/18 Jul/19/18
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
storemanager-2009 Used - $900.00 0 Jun/19/18 Aug/09/18
Description: AMAT 0040-36180 Heater TXZ 200mm SNNF
intek22 NEW - $500.00 1 Jun/20/18 Jan/21/19
Description: NEW! Applied Materials 3870-01000 Valve Throttle MXP+ 200MM Gear Assy 0060-00964
spsglobal Used - $150.00 0 Jun/21/18 Jun/30/22
Description: 341-0403// AMAT APPLIED 0021-00549 GASKET 1,CERAMIC ESC 2HE ZONE,200MM POLY NEW
spsglobal Used - $2,850.00 1 Jun/22/18 Sep/24/21
Description: 338-0101// AMAT APPLIED 0010-04542 CLEANED HEATER, ASSY, 200MM FC WXZPLUS USED
powersell007 Used - $1,799.00 0 Jun/22/18 Jun/30/22
Description: APPLIED MATERIALS 0200-35023 200MM EPI LOWER CHAMBER LINER AMAT
maxisemi1349 NEW - $40.00 0 Jun/25/18 Jul/25/18
Description: 0200-09071 LIFTING PIN 200MM
tm_semi NEW - $175.00 0 Jun/28/18 Jul/28/18
Description: AMAT 0200-40160 COVER RING 200MM JMF SI; OEM NEW
maxisemi1349 NEW - $695.00 0 Jun/28/18 Jul/28/18
Description: 0020-01271 PLATE, PUMPING 200MM WXZ+
cosplity NEW - $750.00 0 Jul/01/18 Aug/03/22
Description: AMAT 0090-00923 MOTOR ENCODER ASSY FOR LONG ROBOT MIRRA CMP 200MM
pach5056 Used - $500.00 0 Jul/01/18 Jul/08/18
Description: amat heater 200mm 0040-36180
gti-semi Used - $1,750.00 0 Jul/02/18 Nov/26/19
Description: AMAT, CASSETTE LOADER 200mm Lift and Rotate Cassette Trays, XR80, p/n 0010-92132
cosplity NEW - $750.00 0 Jul/02/18 Aug/03/22
Description: AMAT 0090-00925 MOTOR ENCODER ASSY FOR LONG ROBOT MIRRA CMP 200MM
cosplity NEW - $750.00 0 Jul/02/18 Mar/06/19
Description: AMAT 0090-00924 MOTOR ENCODER ASSY FOR LONG ROBOT MIRRA CMP 200MM
cosplity Used - $2,800.00 0 Jul/02/18 Sep/28/20
Description: AMAT 0870-01023 MIRRA PLATEN DRIVER 200MM
ecomicron NEW - $10,000.00 0 Jul/05/18 Aug/07/18
Description: 0040-34865 (or 0040-79919)- LINER, DGDP, CHAMBER, WAFFLE-LESS, 200MM
gophersales NEW - $395.00 0 Jul/07/18 Aug/06/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
svcstore Used - $139.99 0 Jul/07/18 Aug/06/18
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
pach5056 Used - $500.00 0 Jul/08/18 Jul/15/18
Description: amat heater 200mm 0040-36180
semiconductorsolution NEW - $6,500.00 0 Jul/09/18 Aug/08/18
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,980.00 0 Jul/09/18 Aug/08/18
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
wideerp01 Used - $2,000.00 0 Jul/09/18 Aug/08/18
Description: APPLIED MATERIALS PEDESTAL 200MM ESC 0040-09961 Qty40
maxisemi1349 NEW - $175.00 0 Jul/09/18 Aug/08/18
Description: 0021-35946 EDGE RING,TXZ,200MM,SNNF
asmtk Used - $1,500.00 0 Jul/10/18 Oct/01/21
Description: Applied Materials 0200-01809 SINGLE RING, CERAMIC, 200MM NOTCH (.500 AMAT
bridge-stone NEW - $53,561.00 0 Jul/10/18 Oct/09/18
Description: AMAT Applied Material 0010-04450 MCA E-Chuck Heater 200mm Refurbished
spsglobal Used - $30.00 0 Jul/11/18 Jun/30/22
Description: 342-0402// AMAT APPLIED 0020-20752 FINGER HOOP 200MM CD NEW
spsglobal Used - $30.00 0 Jul/11/18 Jun/18/23
Description: 342-0402// AMAT APPLIED 0020-20752 FINGER HOOP 200MM CD 2ND SOURCE NEW
spsglobal Used - $100.00 0 Jul/11/18 Jun/30/22
Description: 342-0403// AMAT APPLIED 0040-39139 PLATE,PRESSURE,200MM CENTURA NEW
engin-15 Used - $3,500.00 0 Jul/17/18 Aug/16/18
Description: PN 0040-18219 Lased Pedestal 200mm SNNF SML FLT
engin-15 NEW - $230.00 0 Jul/17/18 Aug/16/18
Description: PN 0200-36696 Shadow Ring, Quartz 200mm Notch(2), Super
semiconductorsolution NEW - $7,450.00 0 Jul/16/18 Aug/17/18
Description: AMAT, Applied Materials, 0200-36118, EDGE RING, 200MM WAFER, BATCH COATED
pach5056 Used - $500.00 0 Jul/15/18 Jul/22/18
Description: amat heater 200mm 0040-36180
jfplcs Used - $2,999.00 0 Jul/16/18 Aug/15/18
Description: Applied Materials Lased Pedestal, 200MM SNNF SML FLT 0040-18219
mattron747 NEW - $750.00 0 Jul/14/18 Aug/13/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
asmtk Used - $2,500.00 0 Jul/19/18 Oct/01/21
Description: Applied Materials 0200-01393 LINER, COMMON, BD/BLOK, LOWK, 200MM PROD AMAT
maxisemi1349 NEW - $750.00 0 Jul/19/18 Aug/18/18
Description: 0020-30407 PERF. PLATE 200MM BW
redrockranch NEW - $367.00 0 Jul/19/18 Aug/18/18
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
bornalliancecom NEW - $1,395.00 0 Jul/21/18 Aug/05/18
Description: Applied Materials 0200-00332 Ring, Single Ceramic ESC, 200MM JMF
pach5056 Used - $500.00 0 Jul/22/18 Jul/29/18
Description: amat heater 200mm 0040-36180
powersell007 Used - $499.00 0 Jul/23/18 Jun/30/22
Description: APPLIED MATERIALS 0200-35162 EPI UPPER CHAMBER LINER 200MM AMAT *CLEANED*
j316gallery Used - $330.00 0 Jul/24/18 Jan/02/20
Description: 11227 APPLIED MATERIAL WAFER CARRIER 200MM EPIC ACE 0200-15662
j316gallery Used - $3,000.00 0 Jul/25/18 Aug/28/18
Description: 11080 APPLIED MATERIAL 200MM LAMP MODULE,CVD 5000 0010-09978
nationwidehytech NEW - $275.00 0 Jul/26/18 Aug/02/18
Description: Lam Research 715-130092-008 8" 200mm paddle
smi-sales Used - $450.00 0 Jul/26/18 Apr/08/19
Description: AMAT P/N 0040-01618 MANIFOLD INPUT 200MM PRODUCER
maxisemi1349 NEW - $40.00 0 Jul/26/18 Aug/08/18
Description: 0200-09071 LIFTING PIN 200MM
pach5056 Used - $500.00 0 Jul/29/18 Aug/05/18
Description: amat heater 200mm 0040-36180
maxisemi1349 NEW - $695.00 1 Jul/30/18 Aug/29/18
Description: 0020-01271 PLATE, PUMPING 200MM WXZ+
semistrong1612 Used - $640.00 0 Jul/30/18 Aug/29/18
Description: 200mm AMAT Pedestal 0020-34017 RevB and ESC 0010-10328
semiconductorsolution NEW - $2,550.00 1 Aug/01/18 Aug/05/18
Description: AMAT, Applied Materials, 0010-75226, ASSY, THROTTLE VALVE 200MM, ETCH, DOWN S
smi-sales Used - $1,800.00 0 Aug/01/18 Apr/08/19
Description: AMAT P/N 0020-27773 PUMPING PLATE, 200MM W/SKIRT, LPCVD XGEN
smi-sales Used - $4,500.00 0 Aug/02/18 Apr/08/19
Description: AMAT P/N 0040-39619 LID CLAMP SLIT VALVE DOOR 200MM CENTURA
yericomfg Refurbished - $2,000.00 0 Aug/03/18 Aug/08/18
Description: AMAT 0041-11052 TOP ESC,0020-32909 PED 200MM NOTCH(2) 0.8" THK AMAT w/Warranty
pach5056 Used - $500.00 0 Aug/05/18 Aug/12/18
Description: amat heater 200mm 0040-36180
phxinn Refurbished - $22,000.00 0 Aug/06/18 Sep/05/18
Description: Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm
phxinn Refurbished - $1,200.00 0 Aug/06/18 Sep/05/18
Description: Applied Materials 0010-09464 Susceptor, 200mm Nitride
phxinn Refurbished - $1,900.00 0 Aug/06/18 Sep/05/18
Description: Amat 0040-09957 0040-09961 (0010-10528) ESC Pedestal Assy, 200mm Poly Etch
phxinn NEW - $3,200.00 0 Aug/06/18 Sep/05/18
Description: *NEW* Applied Materials WxZ Heater, 0010-03331 200mm NLT .060 OSCR
phxinn Refurbished - $4,950.00 0 Aug/06/18 Sep/05/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
phxinn NEW - $275.00 1 Aug/06/18 Aug/13/18
Description: Novellus C1, C2 Showerhead 200mm Pinned Al3003 16-257038-00 *NEW*
gophersales NEW - $395.00 0 Aug/06/18 Sep/05/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
maxisemi1349 NEW - $6,500.00 0 Aug/06/18 Sep/05/18
Description: 02-121642-00 ESC 200MM MC TYPE
maxisemi1349 NEW - $3,950.00 0 Aug/06/18 Sep/05/18
Description: 02-033394-00 ASSY,PED,200mm,D,STD SEMI
nationwidehytech NEW - $175.00 0 Aug/07/18 Jun/30/22
Description: Lam Research 715-130092-008 8" 200mm paddle
svcstore Used - $139.99 0 Aug/07/18 Sep/06/18
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
maxisemi1349 NEW - $40.00 11 Aug/08/18 Sep/07/18
Description: 0200-09071 LIFTING PIN 200MM
wideerp01 Used - $600.00 0 Aug/08/18 Sep/07/18
Description: APPLIED MATERIALS PEDESTAL 200MM ESC 0040-09961 Qty40
maxisemi1349 NEW - $1,300.00 0 Aug/08/18 Sep/07/18
Description: 0020-46659 BOTTOM LINER, AL TWIN CHAMBER 200MM PROD
maxisemi1349 NEW - $40.00 0 Aug/09/18 Sep/08/18
Description: 0200-09071 LIFTING PIN 200MM
maxisemi1349 NEW - $175.00 0 Aug/08/18 Sep/07/18
Description: 0021-35946 EDGE RING,TXZ,200MM,SNNF
storemanager-2009 Used - $24,759.00 0 Aug/09/18 Aug/09/18
Description: 0040-39990 ESC ASSY,200MM,DUAL ZONE,SUPER
usedeqsales Used - $1,508.18 0 Aug/09/18 Mar/03/22
Description: AMAT Applied Materials 0010-10327 200mm ESC Wafer Flat Pedestal Rev. 002 Working
yericomfg Refurbished - $2,000.00 0 Aug/08/18 Aug/27/19
Description: AMAT 0041-11052 TOP ESC,0020-32909 PED 200MM NOTCH(2) 0.8" THK AMAT w/Warranty
yericomfg Refurbished - $12,000.00 0 Aug/08/18 Mar/19/19
Description: Novellus ESC 200mm HDP MC TYPE, 02-121096-00, 15-118976-00 REV H, Refurbished
usedeqsales NEW - $2,508.18 1 Aug/10/18 Sep/18/18
Description: AMAT Applied Materials 0010-10327 200mm Wafer Flat Pedestal Assembly ESC New
engin-15 NEW - $22,000.00 0 Aug/11/18 Sep/10/18
Description: PN 0040-79919 LINER, DGDP, CHAMBER, WAFFLE-LESS, 200MM
tm_semi NEW - $175.00 0 Aug/12/18 Sep/11/18
Description: AMAT 0200-40160 COVER RING 200MM JMF SI; OEM NEW
wyse_avenue NEW - $130.00 0 Aug/13/18 Sep/12/18
Description: AMAT- 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM N
pach5056 Used - $500.00 0 Aug/12/18 Aug/19/18
Description: amat heater 200mm 0040-36180
mattron747 NEW - $750.00 0 Aug/13/18 Sep/12/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
semiconductorsolution NEW - $3,980.00 0 Aug/13/18 Sep/12/18
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
semiconductorsolution NEW - $6,500.00 0 Aug/13/18 Sep/12/18
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
engin-15 NEW - $220.00 0 Aug/13/18 Sep/12/18
Description: PN 0200-10446 INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
engin-15 NEW - $230.00 0 Aug/16/18 Sep/15/18
Description: PN 0200-36696 Shadow Ring, Quartz 200mm Notch(2), Super
offerandown NEW - $630.00 1 Aug/17/18 Aug/24/18
Description: 3 AMAT 0090-00923 STEPPER MOTOR/ ENCODER ASSY, LONG ROBOT MIRRA CMP 200MM
offerandown NEW - $222.50 1 Aug/17/18 Aug/24/18
Description: AMAT 0090-00923 STEPPER MOTOR/ ENCODER ASSY, LONG ROBOT MIRRA CMP 200MM
maxisemi1349 NEW - $700.00 0 Aug/18/18 Sep/17/18
Description: 0020-30407 PERF. PLATE 200MM BW
pach5056 Used - $500.00 0 Aug/19/18 Aug/26/18
Description: amat heater 200mm 0040-36180
redrockranch NEW - $367.00 0 Aug/21/18 Sep/20/18
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
capitolareatech NEW - $2,495.00 0 Aug/23/18 Jun/23/20
Description: LAM RESEARCH (LAM) 839-011307-003 ASSY, ESC BP, W/HTR 200MM
svcheck NEW - $344.56 0 Aug/24/18 Oct/08/20
Description: AMAT APPLIED MATERIALS 0021-09703 200MM Plate Blocker
svcheck NEW - $674.56 1 Aug/24/18 Dec/06/18
Description: AMAT APPLIED MATERIALS 0200-09072 200MM Shield
maxisemi1349 NEW - $1,325.00 0 Aug/24/18 Sep/23/18
Description: 0200-03385 PIN, WAFER LIFT, SIC, DPN 200MM
offerandown NEW - $5,049.00 1 Aug/27/18 Sep/03/18
Description: 50 AMAT 0090-00923 STEPPER MOTOR/ ENCODER ASSY, LONG ROBOT MIRRA CMP 200MM
pach5056 Used - $500.00 0 Aug/26/18 Sep/02/18
Description: amat heater 200mm 0040-36180
autoquip7 Used - $11,900.00 0 Aug/27/18 May/13/21
Description: AMAT, 0040-37359, HEATER CERAMIC 200MM TI-XZ
powersell007 Used - $499.00 1 Aug/30/18 Jun/30/21
Description: APPLIED MATERIALS 0200-01155 DEP RING DEEPER GROOVE 200MM BE AMAT *RECYCLED*
maxisemi1349 NEW - $695.00 0 Aug/30/18 Sep/29/18
Description: 0020-01271 PLATE, PUMPING 200MM WXZ+
storemanager-2009 Refurbished - $375.00 0 Aug/30/18 May/22/20
Description: 16-033931-00 Refurbished, SHOWERHEAD, 200MM
capitolareatech Used - $195.95 0 Sep/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-09989 PEDESTAL, 200MM, NOTCH, BARRIER, HE ORINGS,
engin-15 Used - $16,500.00 0 Sep/01/18 Oct/01/18
Description: PN 0010-05966 ASSY,ESC/RF, 200MM, NOTCH DUAL ZONE, SUP
engin-15 Used - $3,100.00 0 Sep/01/18 Oct/01/18
Description: PN 0040-18219 Lased Pedestal 200mm SNNF SML FLT
capitolareatech NEW - $1,349.95 0 Sep/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-17718 SHIELD, INNER, 200MM SIP TA(N), SST, KAC
gophersales NEW - $395.00 0 Sep/05/18 Oct/05/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
maxisemi1349 NEW - $6,500.00 0 Sep/06/18 Oct/06/18
Description: 02-121642-00 ESC 200MM MC TYPE
maxisemi1349 NEW - $3,950.00 0 Sep/06/18 Oct/06/18
Description: 02-033394-00 ASSY,PED,200mm,D,STD SEMI
engin-15 Refurbished - $2,600.00 0 Sep/05/18 Oct/05/18
Description: PN 0040-32190 Applied Material Base Cooling 200mm Cathode DPS
svcstore Used - $139.99 0 Sep/07/18 Oct/04/18
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
maxisemi1349 NEW - $175.00 0 Sep/08/18 Oct/08/18
Description: 0021-35946 EDGE RING,TXZ,200MM,SNNF
maxisemi1349 NEW - $40.00 0 Sep/08/18 Oct/08/18
Description: 0200-09071 LIFTING PIN 200MM
maxisemi1349 NEW - $40.00 0 Sep/08/18 Oct/08/18
Description: 0200-09071 LIFTING PIN 200MM
maxisemi1349 NEW - $1,300.00 0 Sep/08/18 Oct/08/18
Description: 0020-46659 BOTTOM LINER, AL TWIN CHAMBER 200MM PROD
jfplcs Used - $399.00 0 Sep/10/18 Oct/10/18
Description: Glass Window Heater 200mm Amat 0200-09074 Applied Materials
danhan1612 Used - $640.00 0 Sep/10/18 Oct/10/18
Description: 200mm AMAT Pedestal 0020-34017 RevB and ESC 0010-10328
tm_semi NEW - $175.00 0 Sep/11/18 Oct/11/18
Description: AMAT 0200-40160 COVER RING 200MM JMF SI; OEM NEW
maxisemi1349 NEW - $150.00 0 Sep/11/18 Oct/11/18
Description: 0200-09478 PLATE, GAS DIST, UPPER, 200MM ASP PRSP3
autoquip7 NEW - $18,100.00 0 Sep/11/18 Jul/25/22
Description: 0010-18088 / 0100-02571;AMAT, OBSTHROTTLE VALVE ASSEMBLY, HDPCVD LOW K 200MM
sparesllc09 Refurbished - $17,316.00 0 Sep/17/18 Oct/19/18
Description: 0240-12272 / KIT, 200MM 8 INCH LOAD CUP HCLU / APPLIED MATERIALS
mattron747 NEW - $750.00 0 Sep/12/18 Oct/12/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
wyse_avenue NEW - $130.00 0 Sep/20/18 Oct/20/18
Description: AMAT- 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM N
engin-15 NEW - $220.00 2 Sep/21/18 Oct/21/18
Description: PN 0200-10446 INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
engin-15 NEW - $220.00 0 Sep/21/18 Oct/21/18
Description: PN 0200-36696 Shadow Ring, Quartz 200mm Notch(2), Super
engin-15 NEW - $22,000.00 0 Sep/22/18 Oct/22/18
Description: PN 0040-79919 LINER, DGDP, CHAMBER, WAFFLE-LESS, 200MM
maxisemi1349 NEW - $1,250.00 0 Sep/24/18 Feb/21/19
Description: 0200-03385 PIN, WAFER LIFT, SIC, DPN 200MM
redrockranch NEW - $367.00 0 Sep/24/18 Oct/24/18
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
autoquip7 NEW - $37,240.00 0 Sep/24/18 Jul/25/22
Description: 0010-01714, APPLIED MATERIAL,ASSEMBLY, CATHODE, 200MM FLAT CESC, IS
capitolareatech NEW - $795.00 0 Sep/25/18 Sep/19/19
Description: Applied Materials (AMAT) 0021-03637 FACEPLATE, SILANE PRODUCER 200MM
capitolareatech NEW - $795.00 0 Sep/29/18 Sep/29/18
Description: Applied Materials (AMAT) 0021-03637 FACEPLATE, SILANE PRODUCER 200MM
maxisemi1349 NEW - $695.00 0 Sep/29/18 Oct/29/18
Description: 0020-01271 PLATE, PUMPING 200MM WXZ+
engin-15 Used - $11,500.00 2 Oct/02/18 Oct/19/18
Description: PN 0010-05966 ASSY,ESC/RF, 200MM, NOTCH DUAL ZONE, SUP
prism_electronics5 Used - $1,700.00 0 Oct/02/18 Jul/25/22
Description: APPLIED MATERIALS AMAT 0200-10895 COLLAR, MIN. CONTACT 200MM SNNT, HDP-CVD
phxinn Refurbished - $22,000.00 0 Oct/02/18 Nov/01/18
Description: Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm
phxinn Refurbished - $1,200.00 0 Oct/02/18 Nov/01/18
Description: Applied Materials 0010-09464 Susceptor, 200mm Nitride
phxinn NEW - $3,200.00 0 Oct/02/18 Nov/01/18
Description: *NEW* Applied Materials WxZ Heater, 0010-03331 200mm NLT .060 OSCR
phxinn Refurbished - $4,900.00 0 Oct/02/18 Nov/01/18
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
phxinn Refurbished - $1,900.00 0 Oct/02/18 Nov/01/18
Description: Amat 0040-09957 0040-09961 (0010-10528) ESC Pedestal Assy, 200mm Poly Etch
sparesllc09 NEW - $25,000.00 0 Oct/04/18 Feb/10/20
Description: 0190-07544 / AC PALLET RTP XE XE+ TPCC 200MM AC MODULE W/ 0190-03485 SCR/ AMAT
svcstore Used - $100.99 0 Oct/04/18 Nov/03/18
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
gophersales NEW - $395.00 0 Oct/05/18 Nov/04/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
maxisemi1349 NEW - $6,500.00 0 Oct/06/18 Nov/05/18
Description: 02-121642-00 ESC 200MM MC TYPE
maxisemi1349 NEW - $3,950.00 0 Oct/06/18 Nov/05/18
Description: 02-033394-00 ASSY,PED,200mm,D,STD SEMI
engin-15 Refurbished - $2,300.00 0 Oct/06/18 Nov/05/18
Description: PN 0040-32190 Applied Material Base Cooling 200mm Cathode DPS
athomemarket NEW - $29.99 1 Oct/07/18 Oct/15/18
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $62.99 0 Oct/07/18 Jan/05/20
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
maxisemi1349 NEW - $40.00 0 Oct/08/18 Nov/07/18
Description: 0200-09071 LIFTING PIN 200MM
maxisemi1349 NEW - $1,300.00 0 Oct/08/18 Nov/07/18
Description: 0020-46659 BOTTOM LINER, AL TWIN CHAMBER 200MM PROD
maxisemi1349 NEW - $40.00 0 Oct/08/18 Nov/07/18
Description: 0200-09071 LIFTING PIN 200MM
maxisemi1349 NEW - $175.00 0 Oct/08/18 Nov/07/18
Description: 0021-35946 EDGE RING,TXZ,200MM,SNNF
koreastagram Refurbished - $53,561.00 1 Oct/09/18 Jan/24/19
Description: AMAT Applied Material 0010-04450 MCA E-Chuck Heater 200mm Refurbished
aplussports Refurbished - $53,561.00 0 Oct/10/18 Dec/20/18
Description: AMAT Applied Material 0010-04450 MCA E-Chuck Heater 200mm Refurbished
tm_semi NEW - $175.00 0 Oct/11/18 Nov/10/18
Description: AMAT 0200-40160 COVER RING 200MM JMF SI; OEM NEW
mattron747 NEW - $750.00 0 Oct/12/18 Nov/11/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
athomemarket Refurbished - $24.99 0 Oct/12/18 Jul/23/19
Description: AMAT 0020-29366 PVD QMS Lower Shield 200mm CLMPD Coh/Ti
maxisemi1349 NEW - $150.00 1 Oct/14/18 May/15/19
Description: 0200-09478 PLATE, GAS DIST, UPPER, 200MM ASP PRSP3
athomemarket Refurbished - $110.99 0 Oct/14/18 Jan/12/20
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
autoquip7 NEW - $34,550.00 0 Oct/14/18 Jul/25/22
Description: 0010-01714, APPLIED MATERIAL,ASSEMBLY, CATHODE, 200MM FLAT CESC, IS
semiconductorsolution NEW - $3,980.00 0 Oct/16/18 Nov/15/18
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
semiconductorsolution NEW - $6,500.00 0 Oct/16/18 Nov/15/18
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
athomemarket NEW - $25.00 0 Oct/16/18 Jan/14/20
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
maxisemi1349 NEW - $700.00 0 Oct/17/18 Nov/16/18
Description: 0020-30407 PERF. PLATE 200MM BW
engin-15 NEW - $46,000.00 0 Oct/17/18 Nov/16/18
Description: PN 0010-05966 ASSY,ESC/RF, 200MM, NOTCH DUAL ZONE CABLE ASSY
wyse_avenue NEW - $130.00 0 Oct/20/18 Nov/19/18
Description: AMAT- 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM N
jfplcs Used - $399.00 0 Oct/22/18 Nov/21/18
Description: Glass Window Heater 200mm Amat 0200-09074 Applied Materials
usedeqsales NEW - $3,010.18 0 Oct/24/18 Jan/07/21
Description: AMAT Applied Materials 0040-36425 200mm Electrostatic Chuck 0021-37371 New
redrockranch NEW - $367.00 0 Oct/26/18 Nov/25/18
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
gophersales NEW - $395.00 0 Nov/04/18 Dec/04/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
svcstore Used - $85.99 0 Nov/04/18 Dec/04/18
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
maxisemi1349 NEW - $6,500.00 0 Nov/05/18 Dec/05/18
Description: 02-121642-00 ESC 200MM MC TYPE
maxisemi1349 NEW - $3,950.00 0 Nov/05/18 Dec/05/18
Description: 02-033394-00 ASSY,PED,200mm,D,STD SEMI
engin-15 NEW - $220.00 0 Nov/04/18 Dec/04/18
Description: PN 0200-36696 Shadow Ring, Quartz 200mm Notch(2), Super
engin-15 Used - $15,500.00 0 Nov/05/18 Dec/05/18
Description: PN 0010-05966 ASSY,ESC/RF, 200MM, NOTCH DUAL ZONE, SUP
engin-15 Refurbished - $2,000.00 0 Nov/05/18 Dec/05/18
Description: PN 0040-32190 Applied Material Base Cooling 200mm Cathode DPS
maxisemi1349 NEW - $175.00 0 Nov/07/18 Dec/07/18
Description: 0021-35946 EDGE RING,TXZ,200MM,SNNF
maxisemi1349 NEW - $40.00 0 Nov/07/18 Dec/07/18
Description: 0200-09071 LIFTING PIN 200MM
maxisemi1349 NEW - $1,300.00 0 Nov/07/18 Dec/07/18
Description: 0020-46659 BOTTOM LINER, AL TWIN CHAMBER 200MM PROD
maxisemi1349 NEW - $40.00 0 Nov/07/18 Dec/05/18
Description: 0200-09071 LIFTING PIN 200MM
techse11 NEW - $850.00 0 Nov/06/18 Dec/06/18
Description: AMAT RING CENTERING SILANE 200MM PRODUCER 0021-25078
visionsemi Used - $485.00 0 Nov/08/18 Jun/15/23
Description: APPLIED MATERIALS AMAT 0200-02873 GAS 200MM DISTRIBUTION PLATE GDP
tm_semi NEW - $175.00 0 Nov/10/18 Dec/10/18
Description: AMAT 0200-40160 COVER RING 200MM JMF SI; OEM NEW
vizko2017 Used - $925.00 1 Nov/10/18 Oct/10/19
Description: APPLIED MATERIALS 0010-09978, 5000 CVD AU-PLATED 200MM LAMP MODULE
engin-15 NEW - $220.00 0 Nov/09/18 Dec/09/18
Description: PN 0200-10446 INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
maxisemi1349 NEW - $675.00 0 Nov/16/18 Dec/16/18
Description: 0020-30407 PERF. PLATE 200MM BW
spsglobal Used - $900.00 0 Nov/15/18 Oct/04/21
Description: 130-0602// AMAT APPLIED 0010-09053 ASSY, 200MM STORAGE USED
hjtec_sales Used - $2,500.00 0 Nov/16/18 Oct/11/22
Description: AMAT 0040-87418 ROLLER WELDED MEG MODULE 200MM, WORKING
mattron747 NEW - $750.00 0 Nov/11/18 Dec/11/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
semiconductorsolution NEW - $6,500.00 0 Nov/16/18 Dec/16/18
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,980.00 0 Nov/16/18 Dec/16/18
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
engin-15 NEW - $25,000.00 0 Nov/17/18 Dec/17/18
Description: PN 0040-79919 LINER, DGDP, CHAMBER, WAFFLE-LESS, 200MM
wyse_avenue NEW - $130.00 0 Nov/19/18 Dec/19/18
Description: AMAT- 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM N
maxisemi1349 NEW - $750.00 0 Nov/20/18 Dec/20/18
Description: 16-033933-00 WELDMENT,SHD PINNED 200mm HDRS
palmindustrial Used - $1,749.99 0 Nov/20/18 Nov/27/18
Description: Applied Materials AMAT 0010-09337 200mm Lamp Module 0010-09337 5000CVD
techse11 NEW - $300.00 0 Nov/20/18 Dec/20/18
Description: AMAT BLADE. WIDE.NITRONIC 60 INSERT. STEPPED 200MM PROD 0040-43516
techse11 NEW - $1,150.00 0 Nov/20/18 Dec/20/18
Description: AMAT PLUPING CHANNEL, ANODIZED, PRODUCER 200MM. 1SET(3EA) 0045-00126
grandbirdnet Used - $7,800.00 1 Nov/26/18 Dec/10/20
Description: AMAT 0010-14612 ASSEMBLY 200MM CATHODE 300MM DPS2, USED
maxisemi1349 NEW - $4,250.00 2 Nov/27/18 Dec/28/18
Description: 0021-03721 PLATE, REFLECTOR, 200MM XE, CORROSION RE
maxisemi1349 NEW - $3,750.00 0 Nov/27/18 Sep/09/19
Description: 0021-35008 PLATE REFLECTOR 200MM XE CHAMBER RTP
maxisemi1349 NEW - $3,900.00 0 Nov/27/18 Sep/09/19
Description: 0021-39570 PLATE TOP BPSG 200MM XE CHAMBER RTP
bornalliancecom NEW - $2,495.00 0 Nov/27/18 Jun/09/23
Description: Applied Materials 0200-01954 Dep Ring, 200MM TA/TAN AMAT
palmindustrial Used - $2,499.99 0 Nov/27/18 Dec/04/18
Description: Applied Materials AMAT 0010-09337 200mm Lamp Module 0010-09337 5000CVD
maxisemi1349 Used - $3,000.00 1 Nov/28/18 Jul/13/22
Description: 19-024227-01 HEATER, 200mm,NG HOLLO PINS NG
maxisemi1349 NEW - $695.00 0 Nov/28/18 Dec/28/18
Description: 0020-01271 PLATE, PUMPING 200MM WXZ+
jfplcs Used - $399.00 0 Dec/03/18 Jan/02/19
Description: Glass Window Heater 200mm Amat 0200-09074 Applied Materials
redrockranch NEW - $367.00 0 Dec/03/18 Dec/21/18
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
sparesllc09 Used - $2,000.00 0 Dec/03/18 Dec/26/19
Description: 0200-00289 / CYLINDER,WAFER SUPPORT,SI COATED,200MM R / APPLIED MATERIALS AMAT
gophersales NEW - $395.00 0 Dec/04/18 Jan/03/19
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
palmindustrial Used - $2,499.99 0 Dec/04/18 Dec/11/18
Description: Applied Materials AMAT 0010-09337 200mm Lamp Module 0010-09337 5000CVD
maxisemi1349 NEW - $6,250.00 0 Dec/05/18 Jan/04/19
Description: 02-121642-00 ESC 200MM MC TYPE
maxisemi1349 NEW - $3,950.00 0 Dec/05/18 Jan/04/19
Description: 02-033394-00 ASSY,PED,200mm,D,STD SEMI
techshop7777 Used - $1,160.00 0 Dec/05/18 Jan/04/19
Description: AMAT 0040-09961 Assy Pedestal 200mm ESC Chuck 0010-10528
svcstore Used - $76.99 0 Dec/05/18 Jan/04/19
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
spsglobal Used - $1,500.00 0 Dec/06/18 Feb/13/23
Description: 124-0401// AMAT APPLIED 0021-35819 CHUCK, ASP, 200MM, TOP MOUNT TYPE 2ND NEW
spsglobal Used - $350.00 0 Dec/06/18 Oct/11/20
Description: 124-0303// AMAT APPLIED 0020-10162 DIFFUSER 200MM USED
spsglobal Used - $1,000.00 0 Dec/07/18 Aug/30/23
Description: 124-0404// AMAT APPLIED 0021-35788 PLT BLOCKER, 200MM NEW
spsglobal Used - $250.00 0 Dec/07/18 Jun/03/19
Description: 124-0404// AMAT APPLIED 0020-10936 BLOCKER PLATE,SILANE 200MM USED
spsglobal Used - $700.00 1 Dec/07/18 Apr/18/21
Description: 125-0303// AMAT APPLIED 0021-35869 PERF PLATE,TxZ 200MM USED
spsglobal Used - $800.00 0 Dec/07/18 Jun/18/23
Description: 125-0302// AMAT APPLIED 0200-00177 INSERT RING,SILICON,200MM FLAT 2ND SOURCE NEW
spsglobal NEW - $1,600.00 0 Dec/07/18 Jun/11/19
Description: 125-0301// AMAT APPLIED 0020-30797 wPLATE PERF 200MM GIANT GAP 2ND SOURCE NEW
spsglobal Used - $800.00 1 Dec/07/18 Dec/07/18
Description: 125-0301// AMAT APPLIED 0020-10117 PLATE PERF OXIDE 200MM USED
maxisemi1349 NEW - $175.00 0 Dec/07/18 Jan/06/19
Description: 0021-35946 EDGE RING,TXZ,200MM,SNNF
maxisemi1349 NEW - $40.00 0 Dec/07/18 Jan/06/19
Description: 0200-09071 LIFTING PIN 200MM
maxisemi1349 NEW - $1,300.00 0 Dec/07/18 Jan/06/19
Description: 0020-46659 BOTTOM LINER, AL TWIN CHAMBER 200MM PROD
riverstar777 Used - $1,150.00 0 Dec/07/18 Jan/06/19
Description: AMAT 0010-04542M-002 Heater Assy WXZ 0040-04542, 200mm
maxisemi1349 NEW - $1,100.00 0 Dec/09/18 Jan/08/19
Description: 15-032777-00 EXCL RING,200MM,2.0MM OH,SEMI
tm_semi NEW - $175.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0200-40160 COVER RING 200MM JMF SI; OEM NEW
maxisemi1349 NEW - $40.00 0 Dec/10/18 Dec/10/18
Description: 0200-09071 LIFTING PIN 200MM
mattron747 NEW - $750.00 0 Dec/11/18 Jan/10/19
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
0754rockss Scrap, for parts - $2,500.00 0 Dec/14/18 Jan/13/19
Description: AMAT MODULE MFG.-AMT PVD RF MATCH 8'' 200MM 0010-20524 LABEL P/N: 0060-21140
maxisemi1349 NEW - $675.00 0 Dec/16/18 Jan/15/19
Description: 0020-30407 PERF. PLATE 200MM BW
svcheck NEW - $478.74 1 Dec/17/18 Jan/02/19
Description: AMAT APPLIED MATERIALS 0242-53583 Hi Temp TGV DPS Metal 200mm w Controller Kit
semiconductorsolution NEW - $3,980.00 0 Dec/17/18 Jan/16/19
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
semiconductorsolution NEW - $6,500.00 0 Dec/17/18 Jan/16/19
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
asmtk Used - $10,000.00 0 Dec/20/18 Oct/01/21
Description: Applied Materials 0010-15872 LIFT ASSY, DURAL ZONE HEATER 200MM TiCl4 AMAT
palmindustrial Used - $1,749.99 0 Dec/25/18 Jan/01/19
Description: Applied Materials AMAT 0010-09337 200mm Lamp Module 0010-09337 5000CVD
maxisemi1349 NEW - $695.00 0 Dec/28/18 Jan/27/19
Description: 0020-01271 PLATE, PUMPING 200MM WXZ+
phxinn Refurbished - $4,950.00 0 Dec/31/18 Jan/30/19
Description: Applied Materials 0010-70254 PVD 200mm 4F Heater, W/ 2 TC's
phxinn Refurbished - $1,200.00 0 Dec/31/18 Jan/30/19
Description: Applied Materials 0010-09464 Susceptor, 200mm Nitride
phxinn NEW - $3,200.00 0 Dec/31/18 Jan/30/19
Description: *NEW* Applied Materials WxZ Heater, 0010-03331 200mm NLT .060 OSCR
phxinn Refurbished - $1,900.00 0 Dec/31/18 Jan/30/19
Description: Amat 0040-09957 0040-09961 (0010-10528) ESC Pedestal Assy, 200mm Poly Etch
phxinn Refurbished - $22,000.00 0 Dec/31/18 Jan/30/19
Description: Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm
palmindustrial Used - $1,749.99 0 Jan/01/19 Jan/08/19
Description: Applied Materials AMAT 0010-09337 200mm Lamp Module 0010-09337 5000CVD
gophersales NEW - $395.00 0 Jan/03/19 Feb/02/19
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
maxisemi1349 NEW - $5,950.00 0 Jan/04/19 Feb/03/19
Description: 02-121642-00 ESC 200MM MC TYPE
maxisemi1349 NEW - $3,950.00 0 Jan/04/19 Feb/03/19
Description: 02-033394-00 ASSY,PED,200mm,D,STD SEMI
techse11 NEW - $1,150.00 6 Jan/04/19 Jan/29/19
Description: AMAT PLUPING CHANNEL, ANODIZED, PRODUCER 200MM. 1SET(3EA) 0045-00126
techse11 NEW - $300.00 0 Jan/04/19 Feb/03/19
Description: AMAT BLADE. WIDE.NITRONIC 60 INSERT. STEPPED 200MM PROD 0040-43516
redrockranch NEW - $367.00 0 Jan/04/19 Feb/03/19
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
maxisemi1349 NEW - $175.00 0 Jan/06/19 Feb/05/19
Description: 0021-35946 EDGE RING,TXZ,200MM,SNNF
maxisemi1349 NEW - $40.00 0 Jan/06/19 Feb/05/19
Description: 0200-09071 LIFTING PIN 200MM
maxisemi1349 NEW - $1,300.00 0 Jan/06/19 Feb/05/19
Description: 0020-46659 BOTTOM LINER, AL TWIN CHAMBER 200MM PROD
novusferro Scrap, for parts - $799.00 1 Jan/07/19 Apr/26/21
Description: Applied Materials 0010-38437 Chuck, Plasma, 200mm
palmindustrial Used - $1,749.99 0 Jan/08/19 Jan/15/19
Description: Applied Materials AMAT 0010-09337 200mm Lamp Module 0010-09337 5000CVD
techshop7777 Used - $1,160.00 0 Jan/08/19 Feb/07/19
Description: AMAT 0040-09961 Assy Pedestal 200mm ESC Chuck 0010-10528
engin-15 NEW - $220.00 0 Jan/08/19 Feb/07/19
Description: PN 0200-10446 INSERT RING.SILICON, 200MM,NOTCH (2), SI/QT
engin-15 NEW - $27,000.00 0 Jan/08/19 Feb/07/19
Description: PN 0040-79919 LINER, DGDP, CHAMBER, WAFFLE-LESS, 200MM
engin-15 NEW - $220.00 0 Jan/08/19 Feb/07/19
Description: PN 0200-36696 Shadow Ring, Quartz 200mm Notch(2), Super
engin-15 Refurbished - $2,200.00 0 Jan/08/19 Feb/07/19
Description: PN 0040-32190 Applied Material Base Cooling 200mm Cathode DPS
engin-15 Used - $15,500.00 0 Jan/08/19 Feb/07/19
Description: PN 0010-05966 ASSY,ESC/RF, 200MM, NOTCH DUAL ZONE, SUP
svcstore Used - $65.99 0 Jan/08/19 Feb/07/19
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
engin-15 NEW - $46,500.00 0 Jan/08/19 Feb/07/19
Description: PN 0010-05966 ASSY,ESC/RF, 200MM, NOTCH DUAL ZONE CABLE ASSY
tm_semi NEW - $175.00 0 Jan/09/19 Feb/08/19
Description: AMAT 0200-40160 COVER RING 200MM JMF SI; OEM NEW
tdindustrial NEW - $150.00 0 Jan/10/19 Nov/08/20
Description: Applied Materials (AMAT) 200mm Centering Plate, 0020-34741 Rev A, 119423, New
mattron747 NEW - $750.00 0 Jan/10/19 Feb/09/19
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
riverstar777 Used - $1,150.00 0 Jan/11/19 Feb/10/19
Description: AMAT 0010-04542M-002 Heater Assy WXZ 0040-04542, 200mm
maxisemi1349 Used - $700.00 0 Jan/13/19 Jun/09/22
Description: 16-304770-00 MEMBRANE, ANODE CHAMBER, 200MM
maxisemi1349 NEW - $1,100.00 0 Jan/14/19 Feb/13/19
Description: 15-032777-00 EXCL RING,200MM,2.0MM OH,SEMI
maxisemi1349 NEW - $750.00 0 Jan/14/19 Feb/13/19
Description: 16-033933-00 WELDMENT,SHD PINNED 200mm HDRS
maxisemi1349 NEW - $675.00 0 Jan/15/19 Feb/14/19
Description: 0020-30407 PERF. PLATE 200MM BW
palmindustrial Used - $1,749.99 0 Jan/15/19 Jan/22/19
Description: Applied Materials AMAT 0010-09337 200mm Lamp Module 0010-09337 5000CVD
farmoninc NEW - $1,400.00 1 Jan/15/19 Nov/03/21
Description: AMAT 0200-09072 Shield, 200mm, Ceramic Ring, 424096
semiconductorsolution NEW - $6,500.00 0 Jan/16/19 Feb/15/19
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
semiconductorsolution NEW - $3,980.00 0 Jan/16/19 Feb/15/19
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
getspares.com_sparesllc09 Used - $6,466.43 1 Jan/16/19 Sep/07/21
Description: 0190-12122 / 6-PORT ROTARY UNION, 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
wyse_avenue NEW - $130.00 0 Jan/18/19 Feb/17/19
Description: AMAT- 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM N
palmindustrial Used - $1,499.99 0 Jan/22/19 Jan/29/19
Description: Applied Materials AMAT 0010-09337 200mm Lamp Module 0010-09337 5000CVD
maxisemi1349 NEW - $695.00 0 Jan/27/19 Feb/26/19
Description: 0020-01271 PLATE, PUMPING 200MM WXZ+
atxdeals4u Used - $3,000.00 1 Jan/29/19 Jan/29/19
Description: AMAT 0190-12122 Deublin 200MM Multi-Zone 6-Port Rotary Union
palmindustrial Used - $1,749.99 0 Jan/29/19 Feb/05/19
Description: Applied Materials AMAT 0010-09337 200mm Lamp Module 0010-09337 5000CVD
gophersales NEW - $395.00 0 Feb/02/19 Mar/04/19
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
maxisemi1349 NEW - $5,950.00 0 Feb/03/19 Mar/05/19
Description: 02-121642-00 ESC 200MM MC TYPE
maxisemi1349 NEW - $3,950.00 0 Feb/03/19 Mar/05/19
Description: 02-033394-00 ASSY,PED,200mm,D,STD SEMI
redrockranch NEW - $367.00 0 Feb/04/19 Mar/06/19
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
smartelektronikgmbh Used - $1,800.00 0 Feb/08/19 Mar/25/19
Description: 0010-38438 AMAT Applied Materials / Chuck, Plasma, 200mm
tm_semi NEW - $175.00 0 Feb/08/19 Mar/10/19
Description: AMAT 0200-40160 COVER RING 200MM JMF SI; OEM NEW
smartelektronikgmbh Used - $1,600.00 0 Feb/08/19 Mar/25/19
Description: 0010-38437 AMAT Applied Materials / Chuck, Plasma, 200mm
engin-15 Used - $18,000.00 0 Feb/07/19 Mar/09/19
Description: PN 0010-05966 ASSY,ESC/RF, 200MM, NOTCH DUAL ZONE, SUP
engin-15 Refurbished - $2,200.00 0 Feb/07/19 Mar/09/19
Description: PN 0040-32190 Applied Material Base Cooling 200mm Cathode DPS
engin-15 NEW - $220.00 0 Feb/07/19 Mar/09/19
Description: PN 0200-36696 Shadow Ring, Quartz 200mm Notch(2), Super
techse11 NEW - $850.00 0 Feb/06/19 Mar/08/19
Description: AMAT RING CENTERING SILANE 200MM PRODUCER 0021-25078
sparesllc09 Used - $1,050.00 0 Feb/08/19 Jun/18/20
Description: 0040-39732 / END EFFECTOR, 200MM MANUAL / APPLIED MATERIALS AMAT
csi.usa NEW - $2,499.99 1 Feb/08/19 Mar/01/21
Description: NEW AMAT APPLIED MATERIALS 0010-38444 CHAMBER CATHODE ASSY 200mm SNNF ALO IPS
dgold32 NEW - $2,699.99 1 Feb/08/19 Apr/30/19
Description: Applied Materials AMAT 0021-03721 PLATE, REFLECTOR, 200MM XE, CORROSION RE
svcstore Used - $56.99 0 Feb/08/19 Mar/10/19
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
mattron747 NEW - $750.00 0 Feb/09/19 Mar/11/19
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
maxisemi1349 NEW - $875.00 0 Feb/10/19 Mar/12/19
Description: 0021-25079 RING, CENTERING, TEOS 200MM PRODUCER
smartelektronikgmbh Used - $1,500.00 0 Feb/11/19 Mar/25/19
Description: 0010-02737 AMAT Applied Materials / Chuck, Plasma, 200mm
atxdeals4u NEW - $69.99 1 Feb/11/19 Dec/10/21
Description: AMAT Applied Materials 0140-20835 200mm Harness Assembly New
palmindustrial Used - $1,749.99 0 Feb/12/19 Feb/19/19
Description: Applied Materials AMAT 0010-09337 200mm Lamp Module 0010-09337 5000CVD
maxisemi1349 NEW - $750.00 0 Feb/13/19 Mar/15/19
Description: 16-033933-00 WELDMENT,SHD PINNED 200mm HDRS
maxisemi1349 NEW - $1,100.00 0 Feb/13/19 Mar/15/19
Description: 15-032777-00 EXCL RING,200MM,2.0MM OH,SEMI
maxisemi1349 NEW - $675.00 0 Feb/14/19 Mar/16/19
Description: 0020-30407 PERF. PLATE 200MM BW
techshop7777 Used - $1,160.00 0 Feb/14/19 Mar/16/19
Description: AMAT 0040-09961 Assy Pedestal 200mm ESC Chuck 0010-10528
semiconductorsolution NEW - $3,980.00 0 Feb/15/19 Mar/17/19
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
semiconductorsolution NEW - $6,500.00 0 Feb/15/19 Mar/17/19
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
wyse_avenue NEW - $130.00 0 Feb/17/19 Mar/19/19
Description: AMAT- 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM N
semiconusa Refurbished - $40,000.00 0 Feb/18/19 Oct/18/19
Description: AMAT VHP 200mm 300mm Robot ASSY, AMAT 0040-76197
palmindustrial Used - $1,749.99 0 Feb/19/19 Feb/26/19
Description: Applied Materials AMAT 0010-09337 200mm Lamp Module 0010-09337 5000CVD
maxisemi1349 NEW - $3,950.00 0 Mar/05/19 Apr/04/19
Description: 02-033394-00 ASSY,PED,200mm,D,STD SEMI
maxisemi1349 NEW - $5,950.00 0 Mar/05/19 Apr/04/19
Description: 02-121642-00 ESC 200MM MC TYPE
redrockranch NEW - $367.00 0 Mar/11/19 Apr/10/19
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
mattron747 NEW - $750.00 0 Mar/11/19 Apr/10/19
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
svcstore Used - $49.99 0 Mar/11/19 Apr/10/19
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
maxisemi1349 NEW - $875.00 0 Mar/14/19 Apr/13/19
Description: 0021-25079 RING, CENTERING, TEOS 200MM PRODUCER
maxisemi1349 NEW - $675.00 0 Mar/16/19 Apr/15/19
Description: 0020-30407 PERF. PLATE 200MM BW
orapma12012 NEW - $4,999.00 0 Mar/17/19 Mar/24/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
techshop7777 Used - $1,160.00 0 Mar/18/19 Apr/17/19
Description: AMAT 0040-09961 Assy Pedestal 200mm ESC Chuck 0010-10528
semiconductorsolution NEW - $3,980.00 0 Mar/18/19 Apr/17/19
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
semiconductorsolution NEW - $6,500.00 0 Mar/18/19 Apr/17/19
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
wyse_avenue NEW - $130.00 0 Mar/19/19 May/03/19
Description: AMAT- 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM N
powersell007 Used - $159.00 5 Mar/21/19 Jul/16/22
Description: APPLIED MATERIALS 0200-10073 INSULATOR QUARTZ 200MM SIMPLE CATHODE AMAT CLEANED
earthfriendlyrecyclingwa NEW - $899.99 0 Mar/21/19 Jan/04/20
Description: Applied Materials AMAT Door, Slit Valve, 200MM, EMAX P/N 0040-50414
orapma12012 NEW - $4,999.00 0 Mar/24/19 Mar/31/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
usedeqsales NEW - $1,003.19 1 Mar/25/19 Sep/01/19
Description: AMAT Applied Materials 0200-00071 Insulating Pipe 200mm EXT QTZ Rev. C New
techse11 NEW - $300.00 1 Mar/26/19 Mar/27/19
Description: AMAT BLADE. WIDE.NITRONIC 60 INSERT. STEPPED 200MM PROD 0040-43516
techse11 NEW - $850.00 0 Mar/26/19 May/03/21
Description: AMAT RING CENTERING SILANE 200MM PRODUCER 0021-25078
asmtk NEW - $500.00 0 Mar/28/19 Oct/01/21
Description: Applied Materials 0020-46429 HOUSING, 6 PORTS 200MM 5 ZONE PROFILER AMAT
maxisemi1349 NEW - $695.00 1 Mar/28/19 Oct/03/19
Description: 0020-01271 PLATE, PUMPING 200MM WXZ+
j316gallery Used - $5,500.00 0 Mar/29/19 May/19/21
Description: 13084 APPLIED MATERIAL MODIFIED 8" RF MATCH, PRECLEAN II 200MM PVD 0010-20524
usedeqsales NEW - $453.19 1 Mar/29/19 May/06/19
Description: AMAT Applied Materials 0021-09511 DPS Insulator Cathode Base 200mm New
usedeqsales NEW - $503.19 0 Mar/29/19 May/12/21
Description: AMAT Applied Materials 0021-37702 Side Receiver Right 200mm 316-EP New Surplus
orapma12012 NEW - $4,999.00 0 Mar/31/19 Apr/07/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
orapma12012 Used - $6,999.00 0 Apr/01/19 Feb/16/20
Description: APPLIED MATERIALS 0040-02149 200mm Long Shaft Ceramic heater AMAT, OHM Value 3.5
getspares.com_sparesllc09 Used - $2,900.99 0 Apr/03/19 Dec/12/22
Description: 0270-20355 / FIXTURE, PM CHAMBER 200MM TXZ / APPLIED MATERIALS AMAT
maxisemi1349 NEW - $175.00 6 Apr/06/19 Apr/12/21
Description: 0021-35946 EDGE RING,TXZ,200MM,SNNF
getspares.com_sparesllc09 Used - $6,200.00 1 Apr/03/19 Jun/21/22
Description: 0040-24127 / PM CHAMBER FIXTURE, 200MM TXZ / APPLIED MATERIALS AMAT
mattron747 NEW - $1,250.00 0 Apr/10/19 Jul/21/22
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, 15-032777-00
gigabitpartsolutions NEW - $825.00 1 Apr/10/19 Dec/01/22
Description: OEM Part Applied Materials (AMAT) 0200-18109 COLLAR, 200MM SNNF, SML FLT, ULTIMA
getspares.com_sparesllc09 Used - $2,540.82 0 Apr/10/19 Dec/20/22
Description: 0020-31163 / RING, FOCUSING, ALUM, 200MM, PRSP 3 / APPLIED MATERIALS AMAT
tm_semi NEW - $175.00 0 Apr/09/19 Oct/07/21
Description: AMAT 0200-40160 COVER RING 200MM JMF SI; OEM NEW
orapma12012 NEW - $4,999.00 0 Apr/07/19 Apr/14/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
adelrick123 Refurbished - $1,400.00 1 Apr/18/19 Feb/18/21
Description: AMAT 0021-23331 Outer Shield 200mm Encore
maxisemi1349 Used - $150.00 1 Apr/17/19 Nov/12/21
Description: 0030-00196 LARGE FACE SEAL 200MM, lot of 9
maxisemi1349 Used - $875.00 2 Apr/17/19 Mar/30/23
Description: 0021-25079 RING, CENTERING, TEOS 200MM PRODUCER
svcstore Used - $44.99 0 Apr/11/19 Sep/16/19
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
redrockranch Used - $395.00 0 Apr/11/19 Jul/11/23
Description: Novellus CU, 200MM, Ceramic Ring/Bush, 196Mm Cup 02-130754-00 Appears Unused
cosplity NEW - $750.00 0 Apr/22/19 Aug/03/22
Description: AMAT 0090-00924 MOTOR ENCODER ASSY FOR LONG ROBOT MIRRA CMP 200MM
getspares.com_sparesllc09 Used - $7,950.82 0 Apr/22/19 Feb/03/23
Description: 0040-37265 / BASE, COOLING, 200MM CATHODE, DUAL HE DPS / APPLIED MATERIALS AMAT
orapma12012 NEW - $4,999.00 0 Apr/21/19 Apr/28/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
gigabitpartsolutions NEW - $137.50 1 Apr/25/19 May/09/19
Description: OEM Part Applied Materials (AMAT) 0200-09071 PKG 4 LIFTING PIN 200MM
gigabitpartsolutions NEW - $440.00 1 Apr/25/19 Aug/06/19
Description: OEM Part Applied Materials (AMAT) 0200-09072 SHIELD 200MM
getspares.com_sparesllc09 NEW - $10,500.80 0 May/01/19 Mar/28/23
Description: 0242-24854 / KIT, GVDE, 200MM DPN / APPLIED MATERIALS AMAT
orapma12012 NEW - $4,999.00 0 Apr/28/19 May/05/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
johanmcleo_0 Used - $400.00 0 May/09/19 Oct/02/19
Description: AMAT 0040-36180 Heater, 200mm, TXZ, SNF,
cosplity NEW - $15,000.00 1 May/10/19 Jun/17/22
Description: AMAT 0010-15669 ASSY, CERAMIC ESC, 200MM JMF, POLY DPS
powersell007 Used - $999.00 2 May/13/19 Nov/13/21
Description: APPLIED MATERIALS 0200-35007 EPI UPPER DOME 200MM AMAT *CLEANED*
semiconductorsolution NEW - $3,980.00 0 May/13/19 Sep/23/21
Description: AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm
semiconductorsolution NEW - $6,500.00 1 May/13/19 Oct/15/19
Description: AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF
maxisemi1349 NEW - $650.00 2 May/13/19 Nov/21/19
Description: 15-024611-00 PADDLE,200mm,WITH DIMPLES
bt_store1 Used - $3,550.00 0 May/17/19 Jul/15/21
Description: AMAT 0020-75988 LIFTER 200MM WAFER ORIENTER OPTIMA
bt_store22 Used - $1,950.00 0 May/20/19 Jul/15/21
Description: AMAT 0040-47724 MANIFOLD OUTPUT 1 HOLE LEFT 200MM PR
bt_store1 Used - $2,600.00 0 May/28/19 Jul/15/21
Description: AMAT 0010-75581 ASSY ENP OTF RECIEVER 200MM BANK
orapma12012 NEW - $4,999.00 0 May/28/19 Jun/04/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
bt_store22 Used - $450.00 0 May/26/19 Jun/12/19
Description: AMAT 0010-76764 ASSY OTF RECEIVER 200MM BANK
bt_store22 Used - $1,250.00 0 May/26/19 Jul/15/21
Description: AMAT 0010-76765 ASSY OTF EMITTER 200MM BANK
bt_store1 Used - $2,600.00 0 May/26/19 Jul/15/21
Description: AMAT 0010-75582 ASSY ENP OTF EMITTER 200MM BANK
visionsemi NEW - $675.00 1 May/24/19 Sep/21/19
Description: APPLIED MATERIALS AMAT B101 HEATER CERAMIC PEDESTAL COVER 0200-20285 200MM
adelrick123 Used - $725.00 1 May/24/19 Nov/15/19
Description: AMAT 0040-18219 Lased 200mm ESC SNNF W/WTM CVD
bt_store1 Used - $4,900.00 0 Jun/05/19 Jul/15/21
Description: AMAT 0040-09095 GAS BOX 200MM
powersell007 Used - $2,299.00 0 Jun/04/19 Dec/04/23
Description: APPLIED MATERIALS 0010-10036 TUNGSTEN SUSCEPTOR T1SABB 200MM BB TEOS - UNUSED
bt_store22 Used - $420.00 0 Jun/06/19 Jul/15/21
Description: AMAT 0021-06099 COVER MIXING INSERT LID PRODUCER 200MM
bt_store22 Used - $620.00 0 Jun/05/19 Jul/05/20
Description: AMAT 0021-02469 INSERT LINER EXHAUST TXZ 200MM
farmoninc NEW - $1,125.00 1 Jun/06/19 Nov/23/20
Description: Lot of 3 AMAT 0045-00126 Pumping Channel, Anodized, Producer 200MM, 451389
farmoninc NEW - $850.00 0 Jun/10/19 Apr/29/21
Description: AMAT 0021-25078 Ring Centering, Silane 200mm, 451399
farmoninc NEW - $550.00 0 Jun/10/19 Oct/02/23
Description: AMAT 0240-19903 KIT, LID Common 200mm Twin Chamber Producer, 451397
autoquip7 NEW - $855.00 1 Jun/13/19 Dec/24/21
Description: 0020-30287, AMAT, ADAPTER RING PUMPING PLATE, 200MM
storemanager-2009 Scrap, for parts - $1,950.00 1 Jun/14/19 Aug/01/19
Description: AMAT 0020-34030 PEDESTAL, 200MM
bt_store1 Used - $600.00 0 Jun/13/19 Jul/15/21
Description: AMAT 0021-35744 PLATE BLOCKER TXZ 200MM
semi-spares-eu NEW - $8,000.00 0 Jun/13/19 Feb/22/20
Description: LAM RESEARCH UPPER ELCTD 200MM PN # 839-015480-003
visionsemi NEW - $520.00 0 Jun/18/19 Jul/26/21
Description: APPLIED MATERIALS AMAT 0021-42299 TITAN HEAD SEAL DIAPHRAGM 8" 200MM LOT OF 5EA
autoquip7 NEW - $4,855.00 0 Jun/20/19 Jul/25/22
Description: ASSY BEFFER BLADE HP PLUS HTHU 200MM, 0010-75512
wyse_avenue NEW - $130.00 0 Jun/25/19 Jul/09/19
Description: AMAT- 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM N
jabedow Used - $1,800.00 1 Jun/24/19 Jun/25/19
Description: AMAT, 0020-79039, ASSY, SPINDLE , 200MM, MIRRA, preowned
orapma12012 NEW - $4,999.00 0 Jun/23/19 Jun/30/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
storemanager-2009 Used - $900.00 9 Jun/25/19 Jun/21/20
Description: 02-134263-00 ESC, 200MM, NOVELLUS
storemanager-2009 Used - $2,225.00 0 Jun/25/19 May/21/20
Description: 02-134264-00 ASSY, ESC, 200MM, JEIDA, MC , NOVELLUS
autoquip7 NEW - $2,310.00 0 Jun/26/19 Dec/28/19
Description: 0200-00289 / CYLINDER,WAFER SUPPORT,SI COATED,200MM R / APPLIED MATERIALS AMAT
jake_russell Used - $230.00 0 Jun/27/19 Sep/08/19
Description: [AMAT] 0150-06185, CABLE ASSY, HEAT EXCHANGER, ZENER DIODE, CENTURA 200MM
jake_russell NEW - $1,200.00 0 Jun/27/19 Jan/13/21
Description: [AMAT] 0200-01009, NOZZLE 4-HOLES 60 DEG, BAFFLE, ULTIMA 200MM
jake_russell Used - $800.00 0 Jun/27/19 Jan/13/21
Description: [AMAT] 0990-01010, Kit Ionization ESD Neutralization AeroBa, PRODUCER 200MM
jake_russell NEW - $30.00 0 Jun/27/19 Mar/05/20
Description: [AMAT] 0020-05400, GRAPHITE WHSR GND SHLD COOLING COIL, ULTIMA 200MM
jake_russell NEW - $480.00 0 Jun/27/19 Mar/05/20
Description: [AMAT] 0020-18378, THREAD,DUAL HE FEED,MID,HDP-CVD, ULTIMA 200MM
jake_russell NEW - $540.00 0 Jun/27/19 Mar/05/20
Description: [AMAT] 0020-18379, THREAD,DUAL HE FEED,CENTER,HDP-CVD, ULTIMA 200MM
jake_russell NEW - $430.00 1 Jun/27/19 Jul/17/19
Description: [AMAT] 0040-03485, BLOCK, INSUL, WATER MANIFOLD, ULTIMA 200MM
jake_russell NEW - $3,550.00 0 Jun/27/19 Jan/13/21
Description: [AMAT] 0040-53927, GAS BOX CHAMBER LID, PRODUCER 200MM
jake_russell NEW - $700.00 0 Jun/27/19 Mar/05/20
Description: [AMAT] 0100-20097, Water Leak Detector PCB assy, ULTIMA 200MM
jake_russell Used - $600.00 0 Jun/27/19 Jan/13/21
Description: [AMAT] 0100-20097, Water Leak Detector PCB assy, ULTIMA 200MM
getspares.com_sparesllc09 NEW - $3,250.97 0 Jun/27/19 Jul/18/23
Description: 0010-15257 /ASSEMBLY, SPRING PLATE W/GVDE, 200MM DPN / APPLIED MATERIALS
autoquip7 NEW - $347.00 0 Jun/27/19 Jul/25/22
Description: APPLIED MATERIALS 0021-04298 INSERT MIXING BLOCK LID 200MM SACVD PROD
jake_russell Used - $1,800.00 0 Jun/28/19 Mar/05/20
Description: [AMAT] 0010-76205, ASSY, OP CONTROL PNL, TELEM EMO, CENTURA 200MM
jake_russell NEW - $550.00 0 Jun/27/19 Jul/31/19
Description: [AMAT] 0140-18117, HARNESS ASSY. WATER LEAK DETECTOR, ULTIMA 200MM
orapma12012 NEW - $4,750.00 0 Jun/30/19 Jul/07/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
orapma12012 NEW - $3,999.00 0 Jul/07/19 Jul/14/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
spsglobal NEW - $40.00 0 Jul/07/19 Nov/27/19
Description: 344-0503// AMAT APPLIED 0015-00664 PLUG, LINER GROUND STRAP, 200MM EMAX NEW
spsglobal Used - $1,000.00 0 Jul/07/19 Jun/18/23
Description: 125-0401// AMAT APPLIED 0020-31767 CYLINDER,CLAMPING,200MM POLY/W 2ND SOURCE NEW
getspares.com_sparesllc09 Refurbished - $1,900.98 0 Jul/09/19 Sep/09/20
Description: 0010-36417, 0040-36180 / ASSY,HEATER TXZ,200MM,SNNF / AMAT
getspares.com_sparesllc09 Used - $2,900.98 0 Jul/09/19 Aug/29/23
Description: 0021-00759 /COLLAR RING, SHOWER HEAD, 200MM FLAT, ES / AMAT
bt_store22 Used - $600.00 0 Jul/10/19 Jul/15/21
Description: AMAT 0021-08511 HOUSING QUARTZ TUBE 200MM HP TXZ ISIC
getspares.com_sparesllc09 Used - $800.98 0 Jul/11/19 Sep/06/23
Description: 0021-35008 / PLATE, REFLECTOR, 200MM, MOD II /APPLIED MATERIALS
etechsolution Used - $25,000.00 0 Jul/10/19 Dec/29/20
Description: 0010-05966, 0040-39990, ESC ASSY 200mm Dual Zone Super e
etechsolution Refurbished - $25,000.00 0 Jul/10/19 Jan/28/21
Description: 0040-40978, 0040-40980, ESC ASSY,200MM,DZ,NOTCH,OUTER RIM,EMAX
getspares.com_sparesllc09 NEW - $2,400.98 0 Jul/12/19 Oct/02/23
Description: 15-100263-00 /ROBOT BLADE 200MM HI PURITY / NOVELLUS
orapma12012 NEW - $3,750.00 0 Jul/14/19 Jul/21/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
smartelektronikgmbh Used - $1,500.00 0 Jul/16/19 Jul/16/19
Description: 0010-38437 CHUCK, PLASMA, 200MM,
bt_store22 Used - $50,000.00 0 Jul/17/19 Jul/15/21
Description: AMAT 0010-02459 CERAMIC HEATER ASSY 200MM PRODUCER
bt_store22 Used - $1,400.00 0 Jul/18/19 Jul/15/21
Description: AMAT 0010-76764 ASSY OTF RECEIVER 200MM BANK
novusferro Used - $299.00 5 Jul/18/19 Aug/01/22
Description: Applied Materials AMAT 0040-18219 Lased Pedestal 200mm
maxisemi1349 Used - $120.00 0 Jul/18/19 Nov/18/23
Description: 22-122198-00 SEAL, OUTER ,200MM, LOT OF 6
j316gallery Used - $1,396.66 0 Jul/22/19 May/24/23
Description: 14428 APPLIED MATERIALS MANIFOLD, OUT, RH, 200MM, PRODUCER, SACVD 0040-47028
j316gallery Used - $1,750.00 1 Jul/22/19 Jul/31/19
Description: 14261 APPLIED MATERIALS AUTO BIAS,H.E.MATCH,200MM,ASSY,0021-36673 0010-36941
orapma12012 NEW - $3,501.00 0 Jul/21/19 Jul/28/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
maxisemi1349 Used - $350.00 0 Jul/24/19 Apr/07/23
Description: 0020-31620 SUSCEPTOR, BB TEOS, 200MM
smartelektronikgmbh Used - $720.00 0 Jul/24/19 Nov/10/20
Description: 0200-09074, AMAT WINDOW, HEATER, 200MM
getspares.com_sparesllc09 NEW - $600.98 0 Jul/23/19 Oct/18/23
Description: 716-800951-300 / BASE,FCS RNG,SHLD,200MM& 300 MM/ LAM
j316gallery Used - $226.13 0 Jul/23/19 May/24/23
Description: 14336 APPLIED MATERIALS RING,ISOLATOR,TXZ 200MM SNNF 0021-35749
novusferro NEW - $699.00 7 Jul/31/19 Jun/30/20
Description: Applied Materials AMAT 0040-85409 200mm Plate
maxisemi1349 NEW - $60.00 0 Jul/30/19 Apr/14/21
Description: 22-122199-00 SEAL,INNER ,200MM, LOT of 3
getspares.com_sparesllc09 NEW - $21,001.00 1 Jul/30/19 Apr/13/22
Description: 0190-05395 / TARGET,DIFFUSION BONDED,200MM SIP TA(4N5) 12.279"(0.250"T) / AMAT
novusferro Scrap, for parts - $9,999.00 0 Jul/30/19 Oct/15/19
Description: Applied Materials AMAT 0010-12814 200mm Dual Zone Heater
j316gallery Used - $5,187.59 0 Jul/29/19 May/24/23
Description: 14318 APPLIED MATERIALS PUMPING PLATE,200MM POLYGEN 0020-64184
maxisemi1349 NEW - $450.00 0 Jul/29/19 Jan/27/21
Description: 0200-09074 WINDOW HTR 200MM
orapma12012 NEW - $3,252.00 0 Jul/28/19 Aug/04/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
getspares.com_sparesllc09 NEW - $770.98 1 Jul/26/19 Oct/31/23
Description: 716-003688-188 / INSR,FOC R,SHLD,200MM JEIDA ESC / LAM
usedeqsales Scrap, for parts - $3,608.19 0 Aug/01/19 Dec/22/20
Description: AMAT Applied Materials 200mm HDP Chamber Assembly with Stand 0020-40380 As-Is
russell_jake NEW - $550.00 0 Aug/01/19 Mar/04/20
Description: [AMAT] 0140-18117, HARNESS ASSY. WATER LEAK DETECTOR, ULTIMA 200MM
orapma12012 Used - $5,999.00 0 Aug/04/19 Feb/28/20
Description: 0010-20328 Magnet Assembly Dura Source (AS-IS), PVD 200MM
orapma12012 NEW - $3,003.00 0 Aug/04/19 Aug/11/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
getspares.com_sparesllc09 NEW - $300.98 0 Aug/02/19 Nov/15/23
Description: 716-027963-001 / RING,WAP,GENERIC,12.5 ID,200MM,2300 / LAM
roundtable1 NEW - $1,999.00 1 Aug/01/19 Aug/02/22
Description: Applied Materials (AMAT) 0040-01618 MANIFOLD INPUT 200MM PRODUCER
russell_jake NEW - $800.00 0 Aug/05/19 Mar/05/20
Description: [AMAT] 3780-00310, SPR GAS 4.0"STRK 520LB 13.78EXT-LG, PRODUCER 200MM, CVD
russell_jake Used - $1,250.00 0 Aug/05/19 Oct/27/19
Description: [AMAT] 0010-08185, ASSY, ORIENTER 650NM LASER OPTICS, ENDURA PVD 200MM
russell_jake Used - $5,500.00 0 Aug/05/19 Mar/05/20
Description: [AMAT] 0040-04987, Ultima Side Coil Heater 200MM, ULTIMA 200MM, CVD
russell_jake NEW - $5,000.00 0 Aug/05/19 Jan/13/21
Description: [AMAT] 0190-09419, DRIVE SINGLE PHASE, PHASETRONICS, DXZ 200MM, CVD
russell_jake NEW - $1,100.00 0 Aug/05/19 Jan/13/21
Description: [AMAT] 0010-01280, Wide Body LL Door Cover, CENTURA CVD 200MM
getspares.com_sparesllc09 Used - $6,150.98 0 Aug/08/19 Dec/02/20
Description: 0010-12168 /AC BOX 200MM SLT ESC ASSEMBLY/ APPLIED MATERIALS
orapma12012 NEW - $2,999.40 0 Aug/11/19 Aug/18/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
storemanager-2009 NEW - $50.00 0 Aug/15/19 Nov/12/20
Description: NOVELLUS 15-024384-00 PLATFORM, CASSETTE, 200MM, 26
orapma12012 NEW - $2,999.40 0 Aug/18/19 Aug/25/19
Description: AMAT 0040-18229 E-Chuck, 200mm, MKA, SNNF, CVD ceramic coated, 417533
esolutions1 NEW - $299.25 0 Aug/22/19 Nov/07/19
Description: Applied Materials (AMAT) 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
esolutions1 NEW - $96.75 0 Aug/22/19 Nov/07/19
Description: Applied Materials (AMAT) 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM
capitolareatech Used - $41.39 0 Aug/23/19 Sep/06/19
Description: Applied Materials AMAT 0015-40003 FTG CONVECTRON GAGE 200MM THROTTLE VAL
gigabitpartsolutions NEW - $27.50 9 Aug/22/19 Mar/16/20
Description: OEM Part Applied Materials (AMAT) 0021-54083 Pin Antenna PCII SI 200mm Endura
semigooods NEW - $3,000.00 1 Aug/21/19 Sep/23/19
Description: AMAT 0040-54755 CERAMIC ESC, 200MM DPS II, SNNF - OEM NEW
semigooods NEW - $1,500.00 0 Aug/21/19 May/26/21
Description: AMAT 0040-75176 LID PLATE 200MM TaN-ALD - OEM NEW
katiil3 Used - $299.00 0 Aug/25/19 Oct/23/21
Description: Applied materials / AMAT 0020-28963 BRACKET, ANTI-ROTATION, 200MM HTBESC TTN
katiil3 Used - $349.00 1 Aug/25/19 Aug/25/20
Description: Applied material / AMAT 0021-17721 Shield Clamp Ring 200MM SIP
athomemarket NEW - $20.00 0 Aug/29/19 Oct/02/19
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
semigooods NEW - $970.00 1 Aug/29/19 Aug/03/20
Description: AMAT 0040-42000 INSULATOR, CATHODE BASE, 200MM, DPS - OEM NEW
novusferro Used - $199.00 1 Sep/02/19 Oct/12/23
Description: Applied Materials AMAT 0020-10117 Plate, Perforated OX 200mm
novusferro Used - $99.00 0 Sep/02/19 Jul/19/23
Description: Applied Materials AMAT 0020-21665 Shield AL/TI 8" Wafer (200mm)
j316gallery NEW - $220.00 0 Sep/02/19 Sep/25/19
Description: 10416 APPLIED MATERIALS WAFER, ALUMINUM CALIBRATION 200MM (NEW) 0020-13047
katiil3 NEW - $119.00 2 Sep/02/19 Feb/02/21
Description: Applied materials 0021-07418 SCREW, CENTERING PURGE HEATER 200MM TXZ lot of 5
farmoninc Used - $22,500.00 0 Sep/04/19 Jan/13/23
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 417533
j316gallery NEW - $186.30 0 Sep/05/19 Jan/04/23
Description: 15356 APPLIED MATERIALS WELDMENT,BACKSIDE GASLINES 200MM PCIIE (NEW) 0050-61633
j316gallery Used - $372.59 0 Sep/05/19 Jan/04/23
Description: 15240 APPLIED MATERIALS OUTER SHIELD, TXZ 200MM 0021-01317
j316gallery Used - $310.50 0 Sep/05/19 Jan/04/23
Description: 15215 APPLIED MATERIALS MANIFOLD CLEAN GAS 200MM PRODUCER 0021-04297
capitolareatech Used - $179.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-22529 PLATE 200mm
capitolareatech Used - $1,295.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-31346 DIFF LAMP MODULE AU-PLATED 200mm
capitolareatech Used - $799.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-20320 LIFTER, 200mm
capitolareatech Used - $845.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0200-40071 COVER PLATE 200MM
capitolareatech Used - $239.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-21907 SHIELD, TIW 8" WAFER 200mm
capitolareatech Used - $1,745.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0200-36638 BLADE QUARTZ REDUCED CONTACT 200MM RTP
capitolareatech Used - $150.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0010-09464 SUSCEPTOR ASSY NITRIDE 200MM
capitolareatech Used - $125.00 0 Sep/05/19 Sep/13/19
Description: Applied Materials AMAT 0020-13047 Wafer calibration AL 200mm
capitolareatech Used - $51.78 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0021-13145 SPACER PNEUM VALVE HE 200MM RADIANCE
imswarehouse NEW - $507.20 0 Sep/05/19 May/18/20
Description: Shadow Ring 200mm notchself align 0200-39346
imswarehouse NEW - $225.36 0 Sep/05/19 May/18/20
Description: Ring Insert Silicon 8" Notch 200mm 0200-10446
capitolareatech Used - $150.00 0 Sep/05/19 Sep/26/19
Description: Applied Materials AMAT 0140-78284 CABLE CLEANER EMO 1 200MM
gigabitpartsolutions Used - $7,700.00 0 Sep/09/19 Sep/23/19
Description: Heater Applied Materials (AMAT) 0010-12814 ASSY 200MM DUAL ZONE H17 RIGID TE
maxisemi1349 NEW - $50.00 0 Sep/10/19 Aug/12/20
Description: 22-107686-00 BALL, VAT VALVE, 200MM, lot of 14
shawhe8015 NEW - $1,199.00 0 Sep/10/19 Oct/10/19
Description: AMAT Applied Materials 0200-00674 8” Dep Ring AMAT Endura 200mm NEW - NOT USED
svcstore Used - $29.99 1 Sep/16/19 Dec/15/20
Description: Lam Research 839-015480-012 200mm Electrode Semiconductor Part
maxisemi1349 Used - $310.00 1 Sep/20/19 Mar/03/22
Description: 0021-04297 MANIFOLD CLEAN GAS 200MM
storemanager-2009 Used - $1,800.00 0 Sep/20/19 Jul/17/21
Description: AMAT 0040-09095 GAS BOX 200MM
gigabitpartsolutions Used - $7,700.00 0 Sep/23/19 Jul/03/20
Description: Heater Applied Materials (AMAT) 0010-12814 ASSY 200MM DUAL ZONE H17 RIGID TE
dom0808 Used - $328.90 0 Sep/24/19 Feb/11/22
Description: AMAT ASSY,PNEUMATIC SOLENOID, PUMP ISOLATION VALVE, HTF/EPI 200MM 0010-14437
visionsemi Used - $250.00 0 Sep/27/19 Sep/08/22
Description: APPLIED MATERIALS 200MM DUMMY WAFER 0020-22813
getspares.com_sparesllc09 Used - $5,202.00 0 Oct/01/19 Sep/08/22
Description: 0040-18219 / LASED 200MM ESC SNNF W/WTM CVD (HDP) / APPLIED MATERIALS
wfeng0308 NEW - $520.00 0 Oct/06/19 Jul/11/20
Description: AMAT 0200-18081 Ring, 200mm
powersell007 NEW - $1,099.00 1 Oct/14/19 Apr/13/20
Description: APPLIED MATERIALS 0190-35388 200MM EMISSOMETER HIGH EMISSIVITY WAFER *UNUSED*
semisparestx NEW - $450.00 0 Oct/17/19 Sep/08/22
Description: AMAT P/N 0200-00674 IMP Dep Ring, 200mm
rethinkresale Refurbished - $1,500.00 0 Oct/30/19 Jan/15/20
Description: AMAT 0021-03094 EDGE RING PURGE HEATER 200MM TXZ
getspares.com_sparesllc09 Used - $9,500.00 0 Nov/05/19 Aug/21/24
Description: 0040-18053 / ESC,TESTED,200MM SNNF BLUE,HDP-CVD / APPLIED MATERIALS AMAT
maxisemi1349 NEW - $190.00 1 Nov/05/19 Nov/12/20
Description: 0021-07418 SCREW, CENTERING PURGE HEATER 200MM TXZ, lot of 6
spsglobal Used - $300.00 0 Nov/07/19 Aug/24/22
Description: 323-0201// AMAT APPLIED 0030-09066 LIPSEAL,LARGE 200MM,FLAT CHEMR NEW
roundtable1 Used - $199.00 0 Nov/07/19 Jul/28/22
Description: Applied Materials (AMAT) 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
roundtable1 Used - $79.00 0 Nov/07/19 Sep/10/21
Description: Applied Materials (AMAT) 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM
gesemiconductor Used - $2,000.00 0 Nov/07/19 Feb/09/24
Description: Applied Materials 0041-43334 Mounting Ring DTCU 200mm DPS
gesemiconductor Used - $2,500.00 1 Nov/07/19 Jul/14/21
Description: Applied Materials 0041-43334 Mounting Ring DTCU 200mm DPS
gesemiconductor Used - $1,800.00 0 Nov/07/19 Feb/09/24
Description: Applied Materials 0020-00332 Ring Single Ceramic ESC 200mm SNNF Low Quartz
gesemiconductor Used - $2,000.00 0 Nov/07/19 Feb/09/24
Description: Applied Materials 0200-00332 Ring Single Ceramic ESC 200mm SNNF Low Quartz
usedeqsales Used - $1,511.19 0 Nov/12/19 Jun/04/24
Description: AMAT Applied Materials 0040-09961 200mm ESC Pedestal Assy Rev. 001 As-Is Spare
usedeqsales Used - $911.19 0 Nov/12/19 Jun/04/24
Description: AMAT Applied Materials 0040-09961 200mm ESC Pedestal Assembly Rev. 001 As-Is
usedeqsales Scrap, for parts - $1,503.21 0 Nov/12/19 Mar/23/21
Description: AMAT Applied Materials 0040-09961 200mm Notch Pedestal Assembly Rev. B As-Is
usedeqsales Scrap, for parts - $903.21 0 Nov/12/19 Mar/23/21
Description: AMAT Applied Materials 0040-09961 200mm Notch Pedestal Assembly Rev. B As-Is
usedeqsales Used - $1,511.19 0 Nov/13/19 Jun/04/24
Description: AMAT Applied Materials 0040-09961 200mm ESC Pedestal Assembly Rev. B Cu As-Is
skus092 Used - $400.00 0 Nov/16/19 Jan/07/20
Description: 0040-42000 INSULATOR, CATHODE BASE, 200MM, DPS
skus092 Used - $2,200.00 0 Nov/16/19 Jan/07/20
Description: APPLIED MATERIALS BASE, COOLING, 200MM CATHODE, DPS 0040-32190
skus092 Used - $11,000.00 0 Nov/16/19 Jan/07/20
Description: 0010-05966, 0040-39990, ESC ASSY 200mm Dual Zone Super e
cosplity Used - $5,800.00 0 Nov/18/19 Feb/10/20
Description: AMAT 0190-50640 CPU BOARD FOR MIRRA 200MM VME-I FABS
getspares.com_sparesllc09 Used - $910.50 1 Nov/19/19 Apr/07/20
Description: 0020-31767 / CYLINDER,CLAMPING,200MM POLY/WSI / APPLIED MATERIALS AMAT
katiil3 Used - $999.00 0 Nov/20/19 Oct/23/21
Description: AMAT Applied Materials 0020-32963 200mm ESC Chuck 0040-09961 0040-09957
cosplity Used - $4,200.00 0 Nov/22/19 Aug/22/22
Description: AMAT 0190-15379 MKS UPA FOR MIRRA CMP 200MM
cosplity Used - $9,900.00 1 Nov/22/19 Dec/15/20
Description: AMAT 0010-24500 HEAD ASSY, 200MM TITAN PROFILER MIRRA CMP
cosplity Used - $3,500.00 1 Nov/24/19 May/15/20
Description: AMAT 0190-15378 MKS, 3-PORT UPA-B 200MM REV 2D PLUS
spsglobal Used - $2,700.00 0 Nov/25/19 Jul/13/23
Description: 116-0102// AMAT APPLIED 0200-00690 LID LINER, TXZ, 200MM NEW
spsglobal NEW - $1,500.00 0 Nov/25/19 Aug/09/20
Description: 116-0101// AMAT APPLIED 0200-36105 CHAMBER INSERT 200MM TXZ CIP NEW
spsglobal Used - $1,300.00 0 Nov/25/19 Jun/18/23
Description: 116-0101// AMAT APPLIED 0200-36105 CHAMBER INSERT 200MM TXZ CIP [2ND SOURCE NEW]
katiil3 Used - $649.00 0 Nov/26/19 Oct/23/21
Description: Applied materials 0200-03026 Ceramic Isolator Extended Ceramic Producer 200mm
spsglobal Used - $360.00 1 Nov/27/19 Feb/19/24
Description: 116-0104// AMAT APPLIED 0200-10194 SHIELD, TAPERED, 200MM ASIS
spsglobal Used - $1,000.00 0 Nov/27/19 Sep/20/22
Description: 116-0202// AMAT APPLIED 0200-09746 COLLAR AL 200MM CERAMIC NEW
spsglobal Used - $700.00 0 Nov/28/19 Aug/06/23
Description: 116-0501// AMAT APPLIED 0200-35782 SHADOW RING, 200MM FLAT, SI/QT USED
spsglobal Used - $720.00 1 Nov/27/19 Jan/12/22
Description: 116-0304// AMAT APPLIED 0200-09977 COVER,QUARTZ 200MM,NOTCH (2),H NEW
spsglobal NEW - $1,700.00 0 Nov/27/19 Mar/25/21
Description: 116-0303// AMAT APPLIED 0200-18108 COLLAR, MIN CONTACT 200MM MOD NEW
dr.dantom Used - $499.00 0 Dec/01/19 Sep/21/23
Description: Applied Materials/AMAT 0200-10073 Insulator Quartz 200mm SimCa
capitolareatech Used - $995.00 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0010-10327 ESC SHELL ASSY,200MM,NOTCH(2),5MIC,0.8"THK,S
capitolareatech Used - $395.00 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-31804 Gas Dist. Plate 80 hole, .156 THICK, 200MM
capitolareatech Used - $299.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0200-40080 CLAMP, ESC 200MM
capitolareatech Used - $599.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0021-35130 CARRIER, 200MM (EXTENDED CARRIER)
capitolareatech Used - $795.00 0 Dec/06/19 Aug/13/20
Description: Applied Materials AMAT 0021-03637 FACEPLATE SILANE PRODUCER 200MM
capitolareatech Used - $129.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-31509 PEDESTAL, RIMLESS, OX/MLR/NIT, 200MM, NO
capitolareatech Used - $44.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-30008 CLAMP SHIELD AL 200MM
capitolareatech Used - $89.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-32163 COVER BOTTOM, PEDESTAL ESC, 200MM, WEB
capitolareatech Used - $24.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-31252 FINGER REMOVABLE AL 200MM EXCLUSION
capitolareatech Used - $899.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0200-09515 WEST COAST QTZ COVER QTZ FOCUS RING 200MM 
capitolareatech Used - $49.95 0 Dec/07/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-30401 SUPPORT,SUSCEPTOR ARM, 200mm
j316gallery NEW - $2,222.00 0 Dec/11/19 Mar/22/21
Description: 16619 APPLIED MATERIALS FACEPLATE WATER COOLED 200MM SACVD PRO NEW 0040-70319
maxisemi1349 NEW - $3,250.00 2 Dec/12/19 Oct/14/20
Description: 0021-35008 PLATE REFLECTOR 200MM XE CHAMBER RTP
talon664-6 NEW - $265.07 0 Dec/15/19 Jan/01/20
Description: Novellus 03-00258-00 7657 Aluminum Shower Head, 200mm Type, Spec # 74-106348-00
capitolareatech Used - $1,195.95 0 Dec/20/19 Jun/20/20
Description: Applied Materials AMAT 0200-03388 REV 2 SINGLE RING CERAMIC 200MM NOTCH 500 HE
capitolareatech Used - $829.95 0 Dec/22/19 Jun/22/20
Description: Applied Materials (AMAT) 0200-40086 WEST COAST QUARTZ COLLR,JMF SNNF 200MM
capitolareatech Used - $395.95 0 Dec/22/19 Jun/22/20
Description: Applied Materials (AMAT) 0200-09076 SUPPORT, SUSCEPTOR 200MM
j316gallery NEW - $5,108.19 0 Dec/29/19 Feb/06/24
Description: 15603 APPLIED MATERIALS PIN ASSY WAFER LIFT SIC 200MM DPN NEW 0010-24547
talon664-6 NEW - $855.00 0 Jan/01/20 Jan/01/21
Description: Novellus 03-00258-00 7657 Aluminum Shower Head, 200mm Type, Spec # 74-106348-00
athomemarket NEW - $62.99 0 Jan/05/20 Jun/05/20
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
j316gallery NEW - $1,494.97 1 Jan/05/20 Oct/16/23
Description: 16104 APPLIED MATERIALS DEPOSI RING DEEPER GROOVE 200MM BE NEW 0200-01155
skus092 NEW - $16,500.00 0 Jan/07/20 Jan/07/20
Description: 0040-79919 LINER, DGDP, CHAMBER, WAFFLE-LESS, 200MM
skus092 NEW - $38,500.00 0 Jan/07/20 Jan/07/20
Description: 0010-05966, 0040-39990, ESC ASSY 200mm Dual Zone Super e
skus092 NEW - $3,850.00 0 Jan/07/20 Jan/07/20
Description: APPLIED MATERIALS BASE, COOLING, 200MM CATHODE, DPS 0040-32190
capitolareatech NEW - $1,395.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials (AMAT) 0010-00410 GRIPPER, ASSEMBLY 200MM
capitolareatech NEW - $1,995.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials (AMAT) 0010-37266 PLASMA CHUCK ASSY 200MM, THICK CABLE & T/C
athomemarket Refurbished - $110.99 1 Jan/12/20 Oct/14/20
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
farmoninc NEW - $1,100.00 1 Jan/21/20 Apr/29/21
Description: AMAT 0240-53537 Retrofit Kit, RF Feedthrough, RFG, 200mm Producer, 325680
farmoninc NEW - $1,100.00 1 Jan/21/20 Apr/29/21
Description: AMAT 0240-53537 Retrofit Kit, RF Feedthrough, RFG, 200mm Producer, 452563
capitolareatech NEW - $229.95 0 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0020-27613 PLUG, 200mm
capitolareatech NEW - $49.95 1 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0020-10215 DETECTOR, EXTENTION 200mm
athomemarket Refurbished - $159.99 1 Jan/25/20 Oct/07/20
Description: AMAT 0200-10176 Etch Chamber 200mm Notch Quartz Shadow Ring, 9-30-2004
dr.dantom Used - $1,999.00 0 Jan/27/20 Feb/20/20
Description: Applied Materials 0190-15377 3-PORT UPA-A 200MM
capitolareatech NEW - $279.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-30949 COVER, SXTAL PEDESTAL, 200MM
capitolareatech Used - $595.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-76110 T BAR 200MM ROBOT BLADE 
capitolareatech NEW - $994.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-40992 COVER SI,CLAMP,200MM
capitolareatech Used - $449.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-30586 COLLIMATOR 200MM OUTER SHADOW RING BWCVD
capitolareatech NEW - $79.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-30813 INSERT OX/MLR/NIT PEDESTAL NI 200MM
capitolareatech NEW - $299.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0040-94763 SHOE PICK-UP, 200mm
capitolareatech NEW - $279.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-51405 INSERT,OUTER,THIN Ni 5,200mm,E1493
capitolareatech NEW - $109.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-32983 INSERT, FULL ROUND NI, OX/MLR/NIT, 200MM
capitolareatech NEW - $99.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-31008 INSERT, PEDESTAL, LARGE, 200MM OXIDE
katiil3 Used - $449.00 0 Jan/28/20 Oct/23/21
Description: Applied materials 0200-03388 single ringceramic 200MM notch Cleaned
capitolareatech NEW - $1,619.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0200-40093 WEST COAST QUARTZ COVER, 200mm
capitolareatech NEW - $139.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0200-36035 PAD LIFT PLATE 150, 200MM XZ
capitolareatech Used - $189.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0200-09335 LINER,GAS DIST, 200MM PRSP
capitolareatech NEW - $639.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0200-09250 WEST COAST QUARTZ COVER, QUARTZ 200mm
usedeqsales Used - $7,001.20 1 Jan/30/20 Feb/02/20
Description: AMAT Applied Materials 0010-03840 Ceramic Heater Assembly 200mm Producer Working
usedeqsales Used - $3,501.20 1 Jan/30/20 Jul/30/21
Description: Novellus Systems 15-050938-00 200mm ESC Electrostatic Chuck Concept 2 Working
manufacturingequipment NEW - $14,000.00 1 Feb/11/20 Feb/12/20
Description: AMAT, Applied Materials, 0200-00705, Thin Edge Ring 200mm Radiance
dr.dantom NEW - $170.00 1 Feb/13/20 Oct/14/21
Description: Kit of 50pcs Applied Materials 0020-46521 SCREEN SMALL 200MM 5 ZONE PROFILER
dr.dantom NEW - $300.00 0 Feb/13/20 Aug/25/22
Description: Applied Materials 0020-46516 CLAMP ROLLING SEAL 200MM 5 ZONE PROFIL
visionsemi Used - $2,000.00 0 Feb/17/20 Aug/25/22
Description: APPLIED MATERIALS AMAT ADAPTER, VACUUM ROBOT, PRODUCER, 200MM 300MM 0040-61514
spsglobal Used - $100.00 0 Feb/20/20 Aug/25/22
Description: 352-0401// AMAT APPLIED 0021-00550 GASKET 2,CERAMIC ESC 2HE ZONE,200MM POLY NEW
capitolareatech NEW - $799.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials (AMAT) 0040-37732 CHUCK, ASP, 200MM TOP MOUNT TYPE
j316gallery NEW - $814.25 0 Feb/23/20 Feb/17/22
Description: 15623 APPLIED MATERIALS ISOLATOR EXTENDED CERAMIC PRODUCER 200MM NEW 0200-03026
j316gallery NEW - $1,200.00 0 Feb/23/20 Oct/25/20
Description: 15567 APPLIED MATERIALS FACEPLATE, SILANE PRODUCER 200MM (NEW) 0021-03637
xsysengineering Used - $11,995.00 0 Feb/25/20 May/16/21
Description: Applied Materials (AMAT) Orienter Chamber 0010-93070 Centura/Endura/P5000 200mm
usedeqsales NEW - $1,803.20 1 Mar/02/20 Nov/12/20
Description: Shinko 839-440562-518 200mm Dual Zone Electrostatic Chuck ESC Lam Research New
spsglobal Used - $10.00 0 Mar/04/20 Aug/25/22
Description: 324-0201// AMAT APPLIED 0020-23110 WASHER, A.L.L. CASSETTE SENSOR 200MM NEW
j316gallery Used - $500.00 0 Mar/04/20 Mar/25/20
Description: 15544 APPLIED MATERIALS CYLINDER,80SR,WAFER SUPPORT,200MM RTP 0200-03425
j316gallery Used - $1,279.54 0 Mar/04/20 Feb/17/22
Description: 15557 APPLIED MATERIALS COVER RING, 200MM, BESC, TITANIUM 0021-18436
j316gallery Used - $11,632.20 0 Mar/04/20 Feb/17/22
Description: 15627 APPLIED MATERIALS ASSY HTR SNNF 200MM HPTXZ 0010-03244
j316gallery Used - $523.45 0 Mar/04/20 Feb/17/22
Description: 15606 APPLIED MATERIALS GDP, MXP 200MM HEWEB, .156 THK 0020-33786
spsglobal Used - $80.00 0 Mar/05/20 Aug/25/22
Description: 324-0201// AMAT APPLIED 0040-01672 NOZZLE BODY, BOWL ASSY, 200MM SRD, ECP NEW
spsglobal Used - $20.00 0 Mar/05/20 Aug/25/22
Description: 324-0201// AMAT APPLIED 0021-03647 SCR 4-40-X .50LG, BLOCKER 200MM SACVD NEW
j316gallery Used - $935.00 1 Mar/10/20 Jun/04/20
Description: 7426 APPLIED MATERIALS ELECTROSTATIC CHUCK 200MM, ESC 0010-10327 0020-32909
j316gallery Used - $232.64 0 Mar/10/20 Feb/17/22
Description: 15592 APPLIED MATERIALS RING GRAPHITE 200MM SPUTTER ETCH, 91-00331A 0200-09090
j316gallery Used - $697.93 0 Mar/10/20 Feb/17/22
Description: 2494 APPLIED MATERIALS PLATE,PERF OX, 200MM UNANODIZED 0020-33538
j316gallery Used - $581.61 0 Mar/12/20 Feb/17/22
Description: 16658 APPLIED MATERIALS SHIELD, TAPERED, 200MM 0200-10194
j316gallery NEW - $1,744.83 0 Mar/12/20 Feb/17/22
Description: 16671 APPLIED MATERIALS HARNESS W/S CH BOTTOM 200MM PRODUCER (NEW) 0140-02980
usedeqsales NEW - $8,003.20 0 Mar/17/20 Jan/07/21
Description: AMAT Applied Materials 0010-15669 200mm Ceramic DPS ESC Electrostatic Chuck New
jtechsemi Used - $35,000.00 0 Mar/19/20 Feb/17/22
Description: applied materials 0010-22813, 0040-82063 ESC ASSY, 200MM, EMAX CT, VHF AMAT
maxisemi1349 NEW - $247.50 1 Mar/19/20 Mar/19/20
Description: 0020-24018 PIN ANTENNA PC II POLI SI, 200MM ENDURA, lot of 9
e.techsolution Used - $15,000.00 0 Mar/24/20 Sep/27/21
Description: Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm
crcincus NEW - $7,000.00 0 Mar/26/20 Apr/05/20
Description: NOVELLUS 19-024227-01 HEATER BLOCK 200mm Sequel 8" Manufactured by CRC
crcincus Refurbished - $7,000.00 0 Mar/26/20 Apr/05/20
Description: AMAT 0040-18053 ULTIMA ESC 200mm, 8" Refurbished by CRC
crcincus Scrap, for parts - $7,000.00 0 Mar/26/20 Apr/05/20
Description: AMAT 0010-18100 ULTIMA ESC 200mm, 8" salvaged stock
crcincus Scrap, for parts - $9,000.00 0 Mar/26/20 Apr/05/20
Description: AMAT 0010-03244 HEATER TxZ, HP Type 200mm, 8" salvaged stock
semikorea Used - $15,000.00 0 Mar/26/20 Apr/10/23
Description: Amat 0010-36631 (0040-32712) DcSxZ Heater Assy, 200mm
crcincus NEW - $4,000.00 0 Mar/26/20 Apr/05/20
Description: AMAT 0040-36180 HEATER, TxZ 200mm, 8" Manufactured by CRC
cosplity Used - $9,500.00 3 Apr/02/20 Jan/10/22
Description: AMAT 0010-77533 MIRRA CMP TITAN I POLISHING HEAD 200MM
crcincus NEW - $7,000.00 0 Apr/05/20 Apr/15/20
Description: NOVELLUS 19-024227-01 HEATER BLOCK 200mm Sequel 8" Manufactured by CRC
crcincus NEW - $4,000.00 0 Apr/05/20 Apr/15/20
Description: AMAT 0040-36180 HEATER, TxZ 200mm, 8" Manufactured by CRC
crcincus Refurbished - $7,000.00 0 Apr/05/20 Apr/15/20
Description: AMAT 0040-18053 ULTIMA ESC 200mm, 8" Refurbished by CRC
crcincus Scrap, for parts - $7,000.00 0 Apr/05/20 Apr/15/20
Description: AMAT 0010-18100 ULTIMA ESC 200mm, 8" salvaged stock
crcincus Scrap, for parts - $9,000.00 0 Apr/05/20 Apr/15/20
Description: AMAT 0010-03244 HEATER TxZ, HP Type 200mm, 8" salvaged stock
techequipsales Used - $5,000.00 0 Apr/08/20 Oct/12/20
Description: AMAT Applied Materials 0010-11491 Heater Assy HTR 200MM CPR STD WRZ *working*
techequipsales Refurbished - $6,500.00 0 Apr/08/20 Oct/12/20
Description: AMAT Applied Materials 0010-93152 Heater Pruge Assy 200MM SNNF TXZ BKM *cleaned*
techequipsales Refurbished - $6,500.00 0 Apr/09/20 Oct/12/20
Description: AMAT Applied Materials 0010-37788 Heater Assy Purge 200MM SNNF TXZ BKM *cleaned*
sdmaterials NEW - $95.00 10 Apr/14/20 Oct/20/20
Description: AMAT APPLIED MATERIALS 0200-36461 WINDOW, ANTI CLOUDING SAPPHIRE, 200MM DPS, NEW
jtechsemi Used - $25,000.00 0 Apr/15/20 Apr/03/23
Description: AMAT 0040-44223 200MM ULTIMA X CHAMBER BODY
crcincus NEW - $7,000.00 0 Apr/15/20 Apr/25/20
Description: NOVELLUS 19-024227-01 HEATER BLOCK 200mm Sequel 8" Manufactured by CRC
crcincus Scrap, for parts - $7,000.00 0 Apr/15/20 Apr/25/20
Description: AMAT 0010-18100 ULTIMA ESC 200mm, 8" salvaged stock
crcincus Scrap, for parts - $9,000.00 1 Apr/15/20 Apr/16/20
Description: AMAT 0010-03244 HEATER TxZ, HP Type 200mm, 8" salvaged stock
crcincus NEW - $4,000.00 0 Apr/15/20 Apr/25/20
Description: AMAT 0040-36180 HEATER, TxZ 200mm, 8" Manufactured by CRC
crcincus Refurbished - $7,000.00 0 Apr/15/20 Apr/25/20
Description: AMAT 0040-18053 ULTIMA ESC 200mm, 8" Refurbished by CRC
j316gallery Used - $894.51 1 Apr/17/20 May/17/22
Description: 7889 APPLIED MATERIALS CHUCK ASP 200MM TOP MOUNT TYPE 0021-35819
ryacas6 NEW - $550.00 2 Apr/18/20 Jul/04/21
Description: Applied Materials, 0200-09074 Window heater 200MM AMAT.    MADE IN THE USA
jtechsemi Used - $15,000.00 0 Apr/21/20 Apr/03/23
Description: AMAT 0010-05692 ASSY., HEATER, PURGE, JMF, 200MM, HP TXZ
grandbirdnet Used - $6,000.00 0 Apr/21/20 Jun/10/20
Description: AMAT 0240-43874 KIT, PLATEN DRIVE MTR- 200MM WITH 3970-00030 GEAR BOX, USED
artsemi Used - $48,700.00 0 Apr/20/20 Jul/27/20
Description: AMAT 0010-04450 ASSY, SNNF 200MM SUB ZERO BESC W/MCA
crcincus NEW - $4,000.00 0 Apr/25/20 May/05/20
Description: AMAT 0040-36180 HEATER, TxZ 200mm, 8" Manufactured by CRC
crcincus NEW - $7,000.00 0 Apr/25/20 May/05/20
Description: NOVELLUS 19-024227-01 HEATER BLOCK 200mm Sequel 8" Manufactured by CRC
crcincus Scrap, for parts - $7,000.00 0 Apr/25/20 May/05/20
Description: AMAT 0010-18100 ULTIMA ESC 200mm, 8" salvaged stock
crcincus Refurbished - $7,000.00 0 Apr/25/20 May/05/20
Description: AMAT 0040-18053 ULTIMA ESC 200mm, 8" Refurbished by CRC
j316gallery Used - $221.01 1 Apr/29/20 Jun/22/22
Description: 17964 APPLIED MATERIALS INSERT RING.SILICON,200MM,NOTCH(2),SI/QT 0200-10446
j316gallery Used - $994.65 0 Apr/29/20 Sep/23/21
Description: 18306 APPLIED MATERIALS SHOWER HEAD, PERF PLATE TXZ 200MM 0021-35869
farmoninc NEW - $750.00 0 Apr/30/20 Oct/20/23
Description: AMAT 0040-61747 Mounting Ring, 200MM DPN 327298
j316gallery Used - $2,210.11 3 May/03/20 May/11/22
Description: 18303 APPLIED MATERIALS ASSY, P-CHUCK, 200MM, CIP 99, 0200-10287 0010-38437
crcincus NEW - $7,000.00 0 May/05/20 May/15/20
Description: NOVELLUS 19-024227-01 HEATER BLOCK 200mm Sequel 8" Manufactured by CRC
crcincus Scrap, for parts - $7,000.00 0 May/05/20 May/15/20
Description: AMAT 0010-18100 ULTIMA ESC 200mm, 8" salvaged stock
crcincus NEW - $4,000.00 0 May/05/20 May/15/20
Description: AMAT 0040-36180 HEATER, TxZ 200mm, 8" Manufactured by CRC
crcincus Refurbished - $7,000.00 0 May/05/20 May/15/20
Description: AMAT 0040-18053 ULTIMA ESC 200mm, 8" Refurbished by CRC
maxisemi1349 NEW - $550.00 1 May/06/20 May/13/21
Description: 0020-24018 PIN ANTENNA PC II POLI SI, 200MM ENDURA, lot of 20
tjtechseller Used - $1,800.00 0 May/07/20 Sep/07/22
Description: 0010-09976, 0010-09337 ASSY LAMP MODULE AU-PLATED 200MM
j316gallery Used - $200.00 0 May/18/20 Jul/27/20
Description: 7526 APPLIED MATERIALS ESC ASSY, 200MM, NOTCH, 0010-30723 0090-09298
virtualspares NEW - $700.00 0 May/17/20 Sep/23/21
Description: 0200-00155, Applied Materials, INSULATOR, QUARTZ, 200MM, SIMPLE CATHODE
senior-inc Used - $2,200.00 1 May/16/20 Feb/14/23
Description: AMAT 0200-02812 DEP RING, 200MM SIP TA(N), SNNF ESC, 99.9% AL2O3, 800RA TWAS
crcincus Scrap, for parts - $7,000.00 0 May/15/20 May/25/20
Description: AMAT 0010-18100 ULTIMA ESC 200mm, 8" salvaged stock
crcincus NEW - $4,000.00 0 May/15/20 May/25/20
Description: AMAT 0040-36180 HEATER, TxZ 200mm, 8" Manufactured by CRC
crcincus Refurbished - $7,000.00 0 May/15/20 May/25/20
Description: AMAT 0040-18053 ULTIMA ESC 200mm, 8" Refurbished by CRC
semilune NEW - $800.00 0 May/20/20 Sep/20/20
Description: APPLIED MATERIALS 0040-05294 INPUT MANIFOLD WATER HP TXZ 200MM CIP
crcincus Scrap, for parts - $7,000.00 0 May/25/20 Jun/04/20
Description: AMAT 0010-18100 ULTIMA ESC 200mm, 8" salvaged stock
crcincus Refurbished - $7,000.00 0 May/25/20 Jun/04/20
Description: AMAT 0040-18053 ULTIMA ESC 200mm, 8" Refurbished by CRC
crcincus Scrap, for parts - $7,000.00 0 Jun/04/20 Jun/14/20
Description: AMAT 0010-18100 ULTIMA ESC 200mm, 8" salvaged stock
crcincus Refurbished - $7,000.00 0 Jun/04/20 Jun/14/20
Description: AMAT 0040-18053 ULTIMA ESC 200mm, 8" Refurbished by CRC
j316gallery Used - $418.80 1 Jun/09/20 Dec/30/21
Description: 19097 APPLIED MATERIALS RF FILTER BOX 200MM PRODUCER ASSY, 0100-02040 0090-04309
gophersales NEW - $850.00 2 Jun/11/20 Apr/29/21
Description: AMAT Applied Materials 0021-25078 Ring, Centering, Silane, 200MM Producer
crcincus Scrap, for parts - $7,000.00 0 Jun/14/20 Jun/24/20
Description: AMAT 0010-18100 ULTIMA ESC 200mm, 8" salvaged stock
crcincus Refurbished - $7,000.00 0 Jun/14/20 Jun/24/20
Description: AMAT 0040-18053 ULTIMA ESC 200mm, 8" Refurbished by CRC
senior-inc NEW - $1,200.00 0 Jun/17/20 Oct/19/20
Description: AMAT 0200-02866 RING, SINGLE LOW PROFILE 200MM SNNF.D
getspares.com_sparesllc09 Used - $1,255.31 1 Jun/17/20 Dec/13/21
Description: 0020-30287 / ADAPTER RING, PUMPING PLATE, 200MM COMMO / APPLIED MATERIALS AMAT
dnd_surplus NEW - $1,500.00 0 Jun/17/20 Jan/15/21
Description: AMAT 0041-11052 TOP ESC,0020-32909 PED 200MM NOTCH(2) 0.8" THK
storemanager-2009 NEW - $1,900.00 0 Jun/23/20 Dec/03/20
Description: AMAT 0010-75226 THROTTLE VALVE, 200MM, ETCH
storemanager-2009 Used - $4,500.00 0 Jun/24/20 Oct/20/20
Description: AMAT 0040-09221 UNIVERSAL CHAMBER BODY 200MM CVD
bridge_tronic_global Used - $491.00 0 Jun/25/20 Jul/17/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jun/25/20 Jul/17/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jun/25/20 Jul/17/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
j316gallery Used - $4,711.50 1 Jun/29/20 Jan/22/21
Description: 5169 NOVELLUS ASSY,ESC, 200MM SEMI, MC, 15-118976-00, 05-134263-00 02-134263-00
storemanager-2009 Used - $1,000.00 0 Jul/01/20 Jul/17/21
Description: NOVELLUS 02-134263-00 ESC, 200MM,
xsysengineering NEW - $525.00 0 Jul/14/20 Jun/12/21
Description: Applied Materials (AMAT) 0200-09086 Quartz Ring Sputter Etch 200mm
xsysengineering NEW - $670.00 0 Jul/14/20 Jun/12/21
Description: Applied Materials (AMAT) 0200-09638 Quartz Cylinder Extended Cathode 200mm
xsysengineering NEW - $645.00 0 Jul/14/20 Jun/12/21
Description: Applied Materials (AMAT) 0200-00071 Quartz Insulating Pipe, 200mm, New
j316gallery NEW - $101.25 1 Jul/15/20 Jul/12/23
Description: 19747 APPLIED MATERIALS LIFT PIN,DELTA MF NITRIDE XP,200MM (NEW) 0200-10074
bridge_tronic_global Used - $491.00 0 Jul/17/20 Aug/14/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jul/17/20 Aug/14/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jul/17/20 Aug/14/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
wideerp01 Used - $2,700.00 0 Jul/21/20 Jul/28/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
spsglobal Used - $250.00 1 Jul/23/20 Oct/03/22
Description: 134-0503// AMAT APPLIED 0020-21221 HOOP CD 200MM [USED]
spsglobal Used - $400.00 0 Jul/23/20 Jun/18/23
Description: 134-0503// AMAT APPLIED 0020-21221 HOOP CD 200MM [2ND NEW]
noam-tech NEW - $199.00 0 Jul/23/20 Sep/01/24
Description: 0021-33789 from ES Kit 200mm titan head seal 8" / AMAT
sdmaterials NEW - $600.00 0 Jul/23/20 Apr/15/22
Description: NEW APPLIED MATERIALS CYLNDR, QZ, 200MM EXT CATH, CERAMIC, AMAT P/N 0200-09638
sdmaterials NEW - $150.00 0 Jul/23/20 Apr/15/22
Description: NEW AMAT APPLIED MATERIALS QTZ RING, 200mm SPUTTER ETCH, AMAT P/N 0200-09086
j316gallery Used - $9,491.85 0 Jul/26/20 Sep/19/23
Description: 20371 APPLIED MATERIALS ASSY 200MM CASSETTE HANDLER 0010-20481
j316gallery Used - $9,491.85 1 Jul/26/20 Aug/11/23
Description: 20372 APPLIED MATERIALS ASSY 200MM CASSETTE HANDLER 0010-20480
spsglobal Used - $800.00 0 Jul/27/20 Oct/04/21
Description: 134-0503// AMAT APPLIED 0020-70278 LIFTER 200MM WAFER ORIENTER P5000 [USED]
ym3116-fmz6ox NEW - $480.00 1 Jul/28/20 Aug/15/21
Description: AMAT 0200-18081 COVER LOW PROFILE HDP-CVD ULTIMA, 200mm
senior-inc NEW - $2,900.00 0 Jul/28/20 Dec/29/20
Description: AMAT 0020-19708 COVER RING, 200MM SIP TA(N),TI,KACHINA 1100 RA TWAS
novusferro Used - $129.00 0 Aug/06/20 Jul/08/24
Description: Applied Materials AMAT 0041-77693 Faceplate, TEOS Producer, 200mm
dnd_surplus NEW - $300.00 1 Aug/07/20 Jun/10/21
Description: AMAT Applied Materials 0200-09074 Window Heater 200MM
wideerp01 Used - $2,500.00 0 Aug/11/20 Aug/18/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
capitolareatech NEW - $3,195.95 0 Aug/14/20 Sep/14/22
Description: Applied Materials (AMAT) 0010-10202 PEDESTAL ESC ASSY,200MM NOTCH WEB
capitolareatech Used - $1,195.95 0 Aug/14/20 Mar/30/23
Description: Applied Materials (AMAT) 0021-06952 PERF PLATE, 200MM SINERGY
capitolareatech Used - $595.95 0 Aug/14/20 Mar/30/23
Description: Applied Materials (AMAT) 0020-31998 PEDESTAL, 200MM, AL, NOTCH, FINGER
capitolareatech NEW - $124.95 0 Aug/14/20 Apr/14/21
Description: Applied Materials (AMAT) 0020-31461 COVER, PEDESTAL, 200MM POLY, 218MM SHUNT
capitolareatech NEW - $90.00 0 Aug/14/20 Apr/14/21
Description: AMAT 0020-31459 INSERT,AL,200MM POLY, 218MM SHUNT
capitolareatech NEW - $89.95 0 Aug/14/20 Apr/14/21
Description: Applied Materials (AMAT) 0020-31304 INSERT, NI, OUTER, 200MM POLY, 218MM NI
capitolareatech NEW - $1,400.95 0 Aug/14/20 Jan/14/23
Description: AMAT 0200-02384 Insert Ring, NM, L-SHAPE, 1.5MM FLAT, EMAX 200MM
bridge_tronic_global Used - $491.00 0 Aug/14/20 Sep/11/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Aug/14/20 Sep/11/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Aug/14/20 Sep/11/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
capitolareatech NEW - $799.95 1 Aug/15/20 Mar/15/21
Description: Applied Materials (AMAT) 0040-37732 CHUCK, ASP, 200MM TOP MOUNT TYPE
capitolareatech NEW - $594.95 1 Aug/15/20 Jan/15/23
Description: Novellus 15-033113-00 STATION,CENTERING,200MM
capitolareatech NEW - $1,104.95 0 Aug/17/20 Jul/03/24
Description: LAM 716-800951-300 Base, FCS, Ring, Shld, 200MM & 300 M
rtxparts Used - $500.00 0 Aug/18/20 Sep/14/21
Description: 0200-10194, AMAT, Applied Materials, SHIELD, TAPERED, 200MM, P5000
wideerp01 Used - $2,500.00 0 Aug/18/20 Aug/25/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
capitolareatech Used - $331.95 1 Aug/19/20 Aug/18/23
Description: LAM RESEARCH (LAM) 715-130092-008 End Effector 200mm Wafer Rev. 2
spsglobal Used - $840.00 0 Aug/19/20 Nov/03/22
Description: 123-0303// AMAT APPLIED 0040-87211 BLANKOFF, 200MM MONOLITH [USED]
capitolareatech Used - $129.95 1 Aug/19/20 Nov/19/22
Description: Applied Materials (AMAT) 0015-40003 FTG, CONVECTRON GAGE, 200MM THROTTLE
farmoninc NEW - $300.00 0 Aug/21/20 Aug/31/23
Description: AMAT 1410-00260 Chamber A, HTR Jacket, CHA, ZONE1, 200mm, Producer, 327924
adelrick123 NEW - $100.00 1 Aug/21/20 Aug/27/20
Description: AMAT 0021-35749 200MM Isolator Ring
gesemiconductor Used - $525.00 2 Aug/21/20 Feb/02/24
Description: Applied Materials 0021-35744 Plate Blocker TXZ 200mm
gesemiconductor Used - $150.00 0 Aug/21/20 Aug/31/23
Description: Applied Materials 0010-36476 Edge Ring TXZ 200mm
gesemiconductor Used - $165.00 0 Aug/21/20 Feb/02/24
Description: Applied Materials 0021-35946 Edge Ring TXZ 200mm
gesemiconductor Used - $965.00 0 Aug/21/20 Feb/02/24
Description: Applied Materials 0021-35867 Plate LID TXZ 200mm
gesemiconductor Used - $625.00 5 Aug/21/20 Feb/02/24
Description: Applied Materials 0021-35869 Shower Head Perf Plate TXZ 200mm
getspares.com_sparesllc09 Used - $1,880.25 1 Aug/23/20 Mar/15/21
Description: 0010-09985 / PEDESTAL ASSY, 200MM, POLY/WSI, NOTCH, 2 / APPLIED MATERIALS AMAT
j316gallery Used - $253.11 0 Aug/23/20 Aug/31/23
Description: 20390 APPLIED MATERIALS RING WAFER LIFT 200MM 0020-10192
katiil3 Used - $119.00 0 Aug/25/20 Oct/23/21
Description: Applied materials 0150-05311 CABLE ASSY 200MM CHBR PNEU DI/O CENT
wideerp01 Used - $2,200.00 0 Aug/25/20 Sep/01/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
j316gallery Used - $1,137.75 1 Aug/26/20 Oct/26/23
Description: 20458 APPLIED MATERIALS RF FILTER, 200MM PRODUCER W/ 0100-00698 0090-02346
rtxparts Used - $6,300.00 0 Aug/27/20 Jul/12/21
Description: AMAT 200mm 0020-34694 LINER, Full process PM kit avaialble
intek22 NEW - $1,400.00 0 Aug/27/20 Oct/14/20
Description: AMAT Applied Materials 0200-00403 ISOLATOR PUMPING RING MIDDLE 200mm New sealed!
intek22 NEW - $1,400.00 0 Aug/27/20 Oct/14/20
Description: AMAT Applied Materials 0200-00402 iso pumping ring 200MM producer New sealed!
intek22 NEW - $1,400.00 0 Aug/27/20 Oct/14/20
Description: AMAT Applied Materials 0200-01393 liner 200MM producer New sealed!
intek22 NEW - $1,400.00 0 Aug/27/20 Oct/14/20
Description: AMAT Applied Materials 0021-17721 200mm BOC Edwards sheild clamp ring New!
intek22 NEW - $1,400.00 0 Aug/27/20 Oct/14/20
Description: AMAT Applied Materials 0021-17718 200mm BOC Edwards Inner sheild SIP TNT New!
wideerp01 Used - $2,200.00 0 Sep/01/20 Sep/08/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
maxisemi1349 Used - $950.00 0 Sep/02/20 Jan/02/22
Description: 16-033933-00 WELDMENT,SHD PINNED 200mm HDRS
getspares.com_sparesllc09 Used - $628.85 0 Sep/04/20 Feb/27/23
Description: 0200-10446 / INSERT RING.SILICON,200MM,NOTCH(2),SI/QT / APPLIED MATERIALS AMAT
wideerp01 Used - $2,200.00 0 Sep/08/20 Sep/15/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
j316gallery NEW - $3,139.95 0 Sep/10/20 Aug/17/21
Description: 21057 APPLIED MATERIALS GAS BOX CHAMBER LID 200MM PRODUCER (NEW) 0040-53927
maxisemi1349 Used - $550.00 0 Sep/10/20 Jan/10/22
Description: 716-016831-007 RING,WAP,QTZ,GENERIC,200MM,2300EXELAN
bridge_tronic_global Used - $491.00 0 Sep/11/20 Oct/09/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Sep/11/20 Oct/09/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Sep/11/20 Oct/09/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
wideerp01 Used - $2,200.00 0 Sep/15/20 Sep/22/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
orkal75 Used - $100.00 0 Sep/17/20 Jan/21/24
Description: RING SHADOW QUARTZ 200mm MxP+ Etch 0200-10445 APPLIED MATERIALS INC
wideerp01 Used - $2,200.00 0 Sep/22/20 Sep/29/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
wideerp01 Used - $2,200.00 0 Sep/29/20 Oct/06/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
getspares.com_sparesllc09 Used - $58,330.30 1 Sep/30/20 Jul/05/22
Description: 0010-12814 / HEATER ASSY 200MM DUAL ZONE H17 RIGID TE / APPLIED MATERIALS AMAT
j316gallery Refurbished - $9,000.00 2 Sep/30/20 Feb/01/21
Description: 21218 NOVELLUS ASSY CTLR MC4 ETHERNET 200MM (REFURBISHED) 02-445950-00
rtxparts Used - $750.00 0 Oct/01/20 Jul/20/21
Description: Applied Materials 0200-10181 Quartz Collar 200mm
getspares.com_sparesllc09 Used - $1,625.52 0 Oct/01/20 Apr/03/23
Description: 0140-08724 / HARNESS, E-CHAIN AI/O HEAD, 200MM, CABLE ASSY / APPLIED MATERIALS
lagpat Used - $400.00 0 Oct/05/20 Apr/05/24
Description: Applied Materials (Amat) 0021-35946 Edge Ring TXZ 200mm SNNF
wideerp01 Used - $2,200.00 0 Oct/06/20 Oct/13/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
svcheck Used - $1,456.74 1 Oct/08/20 Dec/29/22
Description: AMAT APPLIED MATERIALS 0041-29770 Adapter AL203 Coating 200MM DPS+
bridge_tronic_global Used - $491.00 0 Oct/09/20 Nov/06/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Oct/09/20 Nov/06/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Oct/09/20 Nov/06/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
grandbirdnet NEW - $200.00 0 Oct/13/20 Nov/17/21
Description: AMAT 0200-00051 WINDOW, LID ENDPOINT, QTZ. EMAX 200MM, NEW
techequipsales Used - $4,500.00 1 Oct/13/20 Jul/23/21
Description: AMAT Applied Materials 0010-93152 Heater Pruge Assy 200MM SNNF TXZ BKM *cleaned*
rtxparts Used - $1,000.00 0 Oct/15/20 Sep/14/21
Description: 0040-18219 Applied Materials AMAT LASED, PEDESTAL, 200MM SNNF SML FLT W/WT
j316gallery NEW - $1,200.00 1 Oct/18/20 May/11/21
Description: 21397 APPLIED MATERIALS ISOLATOR PUMPING RING TOP 200MM PRODR (NEW) 0200-00402
senior-inc NEW - $8,000.00 0 Oct/19/20 Nov/29/20
Description: AMAT. 0040-33701, HEATER BLOCK, 200MM HDP CVD ULTIMA+
wideerp01 Used - $2,200.00 0 Oct/21/20 Oct/28/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
j316gallery Used - $1,500.00 0 Oct/22/20 Oct/07/21
Description: 21547 APPLIED MATERIALS MANIFOLD, INPUT, 200MM PRODUCER 0040-01618
phxinn Used - $21,500.00 0 Oct/27/20 Nov/13/20
Description: AMAT 0010-03361 MCA ESC HT Heater Assy, 200mm Applied Materials
j316gallery NEW - $2,216.45 0 Oct/27/20 May/26/22
Description: 21657 APPLIED MATERIALS SHIELD, CHAMBER, SIP II 200MM (NEW) 0021-23329
capitolareatech NEW - $369.95 0 Oct/28/20 Aug/28/23
Description: Applied Materials (AMAT) 0200-09090 RING GRAPHITE 200MM SPUTTER ETCH
capitolareatech NEW - $599.95 0 Oct/28/20 May/26/22
Description: Applied Materials (AMAT) 0020-10518 PEDESTAL THICK 200MM GRAPHITE/QUARTZ
capitolareatech Used - $6,995.95 0 Oct/29/20 May/06/22
Description: Applied Materials (AMAT) 0040-09094 CHAMBER 200MM
mattron747 Used - $1,500.00 0 Oct/29/20 May/26/22
Description: Lam/Novellus 200mm Altus Pedestal, 02-033134-00, 8" SEMI
mattron747 NEW - $750.00 0 Oct/29/20 Jul/21/22
Description: Novellus 15-028766-00 Guard Ring 200mm HDP Listing #2
mattron747 NEW - $750.00 0 Oct/29/20 May/26/22
Description: Novellus 15-028766-00 Guard Ring 200mm HDP
j316gallery Used - $1,988.69 0 Oct/30/20 May/26/22
Description: 21666 APPLIED MATERIALS GAS BOX, SILANE, 200MM PRODUCER 0040-02520
bobsgoodies2 Used - $85.00 0 Oct/30/20 Mar/31/22
Description: AMAT 0020-76111 BLADE FEED THRU 200MM
wideerp01 Used - $1,859.00 0 Nov/01/20 Nov/08/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
j316gallery Used - $1,111.00 0 Nov/02/20 May/26/22
Description: 21862 APPLIED MATERIALS SHELL ASSY 200MM NOTCH HVIB 0040-09797
getspares.com_sparesllc09 Used - $9,232.93 0 Nov/03/20 May/16/24
Description: 0010-05223 / ASSEMBLY, 200MM PLASMA CELL, END PT DETE / APPLIED MATERIALS AMAT
j316gallery Used - $3,110.80 0 Nov/03/20 May/26/22
Description: 8151 APPLIED MATERIALS TOOL KIT, 200MM, HEATER LEVELING & CENTERING 0270-35184
randmgoods Used - $2,995.99 1 Nov/04/20 Dec/31/20
Description: Applied Materials 0040-20014 PVD 200mm 4F Heater AMAT
bridge_tronic_global Used - $491.00 0 Nov/06/20 Dec/04/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Nov/06/20 Dec/04/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Nov/06/20 Dec/04/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
auctionrus Used - $300.00 1 Nov/09/20 Oct/11/21
Description: 2 AMAT 0015-09092 RF Shield, 200mm, 453472
getspares.com_sparesllc09 Used - $2,214.75 0 Nov/10/20 May/26/22
Description: 0020-30203 / PEDESTAL, SXTAL, 200MM, NOTCH / APPLIED MATERIALS AMAT
autoquip7 NEW - $7,000.00 0 Nov/12/20 Jul/25/22
Description: 0040-09094, Applied Materials, CHAMBER 200MM
semiconusa Used - $6,599.00 2 Nov/12/20 Jan/21/21
Description: NSK SERVO DRIVER, ELA-B014CF2-03, AMAT 0190-11706, 200MM 300MM, Working
j316gallery Used - $1,800.00 0 Nov/16/20 Jan/10/21
Description: 22013 APPLIED MATERIALS ASSY LAMP MOD AU-PLATED 200MM, 0020-09549 0010-09978
galacticmarketplace Used - $389.99 1 Nov/17/20 Apr/13/21
Description: AMAT Applied Materials Wafer Base 200mm Load 0021-77141 E2 SHP01 7-1/4" Used
wideerp01 Used - $1,550.00 0 Nov/17/20 Nov/24/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
lagpat Used - $600.00 0 Nov/19/20 May/26/22
Description: AMAT 0040-99949 SHELL ASSY,200MM,NOTCH(2),5MIC,0.8THK S
wideerp01 Used - $1,015.00 0 Nov/24/20 Dec/01/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
instockindustrial NEW - $502.20 0 Nov/27/20 Dec/27/20
Description: Novellus 03-00258-00 7657 Aluminum Shower Head, 200mm Type, Spec # 74-106348-00
wideerp01 Used - $1,015.00 0 Dec/01/20 Dec/08/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
bridge_tronic_global Used - $491.00 0 Dec/04/20 Dec/29/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Dec/04/20 Dec/29/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Dec/04/20 Dec/29/20
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
wideerp01 Used - $1,015.00 0 Dec/08/20 Dec/15/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
wideerp01 Used - $1,015.00 0 Dec/15/20 Dec/22/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
gesemiconductor Used - $500.00 4 Dec/18/20 Nov/25/21
Description: Applied Materials 0200-09405 Ring Ceramic 200mm
gesemiconductor Used - $3,500.00 2 Dec/18/20 Dec/18/20
Description: Applied Materials 0010-77533 Polishing Head 200mm
gesemiconductor Used - $400.00 0 Dec/18/20 Feb/02/24
Description: LAM 715-059674-005 Extended Electrode 200mm
grandbirdnet NEW - $4,200.00 1 Dec/22/20 Mar/23/22
Description: AMAT 0010-01648 CLEAR LID ASSY, 200MM PRODUCER , NEW
nri-industrial NEW - $768.13 0 Dec/22/20 Jul/25/22
Description: Amat 0200-36461 Anti Clouding Window 200mm
grandbirdnet NEW - $2,300.00 1 Dec/22/20 Jun/29/22
Description: AMAT 0020-27773 PUMPING PLATE 200MM, NEW
grandbirdnet NEW - $4,800.00 0 Dec/22/20 Aug/16/22
Description: AMAT 0270-35184 TOOL KIT, 200MM, HEATER LEVELING & CENTERING , NEW
wideerp01 Used - $1,015.00 0 Dec/22/20 Dec/29/20
Description: Lam research DSQ heated paddle 200mm 853-347147-008
autoquip7 NEW - $900.00 0 Dec/23/20 Jul/25/22
Description: 0200-00368, COLLAR,200MM SNNF,HDPCVD ULTIMA, APPLIED MATERIALS
grandbirdnet NEW - $1,500.00 1 Dec/24/20 May/05/22
Description: AMAT 0200-00402 ISOLATOR PUMPING RING TOP 200MM PRODUCER, NEW
autoquip7 NEW - $3,270.00 0 Dec/26/20 May/06/22
Description: 0200-01955 DEP RING, 200MM SIP TA/TAN, SNNF ESC, IN, APPLIED MATERIAL (AMAT)
instockindustrial NEW - $360.00 2 Dec/26/20 Aug/04/21
Description: Novellus 03-00258-00 7657 Aluminum Shower Head, 200mm Type, Spec # 74-106348-00
banyanteam NEW - $1,975.00 2 Dec/28/20 Jul/14/22
Description: Applied Materials 0041-34688 ESC Shell Assembly 200mm Notch 1 Mil
autoquip7 NEW - $1,300.00 0 Dec/29/20 Jul/25/22
Description: 0200-35539, BLADE ROBOT 200MM QUARTZ W/RADIUS, APPLIED MATERIAL, (AMAT)
bridge_tronic_global Used - $491.00 0 Dec/29/20 Jan/22/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Dec/29/20 Jan/22/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Dec/29/20 Jan/22/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
wideerp01 Used - $1,015.00 0 Dec/29/20 Jan/05/21
Description: Lam research DSQ heated paddle 200mm 853-347147-008
wideerp01 Used - $1,015.00 0 Jan/05/21 Jan/12/21
Description: Lam research DSQ heated paddle 200mm 853-347147-008
usedeqsales Used - $3,001.21 1 Jan/06/21 Jul/29/21
Description: Lam Research 718-043893-811 8 Inch Electrostatic Chuck 200mm ESC 9400 TCP New
usedeqsales NEW - $4,001.21 1 Jan/07/21 Apr/30/21
Description: AMAT Applied Materials 0010-15669 200mm Electrostatic Chuck ESC 0200-02814 New
usedeqsales Used - $3,101.21 1 Jan/08/21 May/19/22
Description: AMAT Applied Materials 0010-70001 200mm Cassette Handler 0223-09246 P5000 Spare
wideerp01 Used - $1,015.00 0 Jan/12/21 Jan/19/21
Description: Lam research DSQ heated paddle 200mm 853-347147-008
wideerp01 Used - $900.00 0 Jan/21/21 Jan/28/21
Description: Lam research DSQ heated paddle 200mm 853-347147-008
maxisemi1349 Used - $875.00 1 Jan/21/21 Apr/24/22
Description: 0020-33786 GDP, MXP 200MM HEWEB, .156 THK, LOT OF 2
bridge_tronic_global Used - $491.00 0 Jan/22/21 Feb/19/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jan/22/21 Feb/19/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jan/22/21 Feb/19/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
semiconusa Used - $6,999.00 0 Jan/22/21 Jul/22/21
Description: NSK SERVO DRIVER, ELA-B014CF2-03, AMAT 0190-11706, 200MM 300MM, Working
bigg.logistics101 Used - $299.99 2 Jan/25/21 May/01/23
Description: AMAT HOOP CD 200MM 0020-21221
getspares.com_sparesllc09 Used - $2,202.26 0 Jan/27/21 Sep/06/22
Description: 0200-36118 / BATCH EDGE RING, 200MM WAFER, SILICON CO / APPLIED MATERIALS AMAT
novusferro Used - $999.00 1 Feb/02/21 Oct/12/21
Description: Applied Materials AMAT 0195-07994 ESC ASSY 200MM FLAT DPS METAL
katiil3 Used - $899.00 0 Feb/02/21 Oct/23/21
Description: Applied Materials AMAT 0195-07994 ESC ASSY 200MM FLAT DPS METAL
wideerp01 Used - $900.00 0 Feb/02/21 Feb/09/21
Description: Lam research DSQ heated paddle 200mm 853-347147-008
novusferro Used - $1,999.00 0 Feb/02/21 Jan/23/22
Description: Novellus 02-134263-00 200mm Electrostatic Chuck
bridge_tronic_global Used - $491.00 0 Feb/19/21 Mar/12/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Feb/19/21 Mar/12/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Feb/19/21 Mar/12/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
rtxparts Used - $300.00 0 Feb/20/21 Sep/14/21
Description: Elo 1525L LCD Monitor 0040-48277 rev 003 Amat 200mm endura/P5000 back monitor.
bridge_tronic_global Used - $491.00 0 Mar/12/21 Apr/09/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Mar/12/21 Apr/09/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Mar/12/21 Apr/09/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
autoquip7 Used - $27,000.00 0 Mar/12/21 Jul/25/22
Description: 0010-04451, Applied Materials, ASSY,JMF 200MM SUB ZERO BESC WELDMENT
wideerp01 Used - $900.00 0 Mar/12/21 Mar/19/21
Description: Lam research DSQ heated paddle 200mm 853-347147-008
j316gallery Used - $5,500.00 0 Mar/17/21 May/19/21
Description: 7928 APPLIED MATERIALS 8'' PRECLEAN II 200MM RF MATCH PVD W/O ADAPTER 0010-20524
kywil_6666 Used - $1,499.00 1 Mar/17/21 Mar/17/21
Description: AMAT 0010-70650, VHP+ Robot Cover, Centura ll, 200mm, 300mm
wideerp01 Used - $900.00 0 Mar/19/21 Mar/26/21
Description: Lam research DSQ heated paddle 200mm 853-347147-008
semistarcorp Used - $42,500.00 0 Mar/22/21 Sep/27/22
Description: AMAT 200mm Contour Head 0010-33556 HEAD ASSEMBLY,Applied Materials
rtxparts Used - $700.00 0 Mar/22/21 Sep/14/21
Description: AMAT 200MM Screwless BB SUSCEPTOR 8” SCREWLESS, AMAT 0010-03171
usedeqsales Used - $1,503.21 0 Mar/23/21 Mar/30/23
Description: AMAT Applied Materials 0040-99997 200mm ESC 0040-09961 Rev. B Untested As-Is
usedeqsales Used - $903.21 0 Mar/23/21 Nov/22/22
Description: AMAT Applied Materials 0040-99997 200mm ESC 0040-09961 Rev. B Has Wear As-Is
xl-t_com Used - $1,000.00 0 Mar/25/21 Oct/19/21
Description: APPLIED MATERIALS - 0020-33538 - PLATE, PERF OX 200MM, UNANODIZED
j316gallery NEW - $899.00 0 Mar/26/21 Apr/26/21
Description: 24035 APPLIED MATERIALS BAR, RF SBS, SIP II 200MM (NEW) 0020-21867
xl-t_com Used - $379.00 0 Mar/26/21 Oct/19/21
Description: APPLIED MATERIALS - 0200-09559 - CERAMIC CLAMP RING COVER 200MM
gosemicat Used - $1,500.00 0 Mar/26/21 Jan/16/24
Description: AMAT (Applied Materials) - 0040-75176 LID PLATE 200MM TaN-ALD - OEM NEW
wideerp01 Used - $900.00 1 Mar/26/21 Apr/02/21
Description: Lam research DSQ heated paddle 200mm 853-347147-008
xl-t_com Used - $145.00 0 Mar/29/21 Oct/19/21
Description: APPLIED MATERIALS - 0200-09614 - PIN, LIFT WAFER 200MM BWCVD
xl-t_com Used - $1,900.00 0 Mar/29/21 Oct/19/21
Description: APPLIED MATERIALS - 0010-09053 - Storage Tray, 200mm
xl-t_com Used - $400.00 0 Apr/01/21 Oct/19/21
Description: APPLIED MATERIALS - 0040-01570 - PLATE LIFT TUBE TXZ, 200MM STD
xl-t_com Used - $100.00 0 Apr/06/21 Oct/19/21
Description: APPLIED MATERIALS - 0040-33541 - BRACKET, UPPER SHIELD, 200MM
bridge_tronic_global Used - $491.00 0 Apr/09/21 May/07/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Apr/09/21 May/07/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Apr/09/21 May/07/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
galacticmarketplace Used - $350.00 2 Apr/14/21 Apr/14/21
Description: AMAT Applied Materials Wafer Base 200mm Load 0021-77141 E2 SHP01 7-1/4" Used
semixicon Used - $43,500.00 0 Apr/16/21 Jun/09/23
Description: Original AMAT 200mm Ceramic Heater Assy 0010-61428(0010-03840) ;;(0200-35527)
semixicon Used - $32,000.00 1 Apr/16/21 Sep/30/22
Description: Original AMAT 200mm Ceramic Heater 0010-02342
usedeqsales Used - $804.21 0 Apr/20/21 May/18/23
Description: Lam Research 716-011651-008 200mm Wafer Clamp Edge Ring Manufacturer Refurbished
usedeqsales Used - $654.21 0 Apr/28/21 May/18/23
Description: AMAT Applied Materials 0270-40097 8" LL Cal Plate 200mm New Surplus
visionsemi Used - $150.00 0 May/03/21 Jul/20/21
Description: APPLIED MATERIALS AMAT LIFT PIN DRY CLEAN METAL DPS 200MM 0200-02200 NEW
towersemi Used - $1,400.00 0 May/05/21 Sep/30/21
Description: INSERT BARRIER 200mm BSV - AMAT 0040-76858
semixicon Used - $4,999.00 0 May/06/21 May/18/23
Description: AMAT 0010-15669 (0200-02814) ASSY, CERAMIC ESC, 200MM JMF, POLY DPS
getspares.com_sparesllc09 Used - $21,225.00 0 May/06/21 May/18/23
Description: 0010-03840 / CER HTR ASSY, 200MM PRODUCER / APPLIED MATERIALS AMAT
bridge_tronic_global Used - $491.00 0 May/07/21 Jun/04/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 May/07/21 Jun/04/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 May/07/21 Jun/04/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
getspares.com_sparesllc09 Used - $1,278.41 0 May/13/21 May/12/22
Description: 0021-06864 / RECEIVER 200MM CASSETTE FOR PA200-8MHEI / APPLIED MATERIALS AMAT
maxisemi1349 Used - $467.50 1 May/13/21 Oct/11/21
Description: 0020-24018 PIN ANTENNA PC II POLI SI, 200MM ENDURA, lot of 17
maxisemi1349 NEW - $4,950.00 0 May/19/21 Aug/02/21
Description: 17-458650-00 CONTACT,200MM,0.95TP,N5, lot of 4
usedeqsales Used - $405.21 1 May/28/21 Oct/28/22
Description: AMAT Applied Materials 0020-26588 200mm Lower Shield T/TIN Copper Cu Refurbished
bridge_tronic_global Used - $491.00 0 Jun/04/21 Jun/29/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jun/04/21 Jun/29/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jun/04/21 Jun/29/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
excessdealer NEW - $299.00 1 Jun/07/21 Jul/28/21
Description: AMAT Applied Materials ROTOR SHUTTER 200MM, PVD BRAND NEW 0021-15528
gesemiconductor Used - $250.00 0 Jun/07/21 Feb/02/24
Description: Applied Materials 0040-96525 Fixed Restaint 200mm
gesemiconductor Used - $750.00 0 Jun/07/21 Feb/02/24
Description: Applied Materials 0020-47198 Cover 200mm Profiler
gesemiconductor Used - $450.00 0 Jun/07/21 Feb/02/24
Description: Applied Materials 0020-46429 Housing 200mm 5 Zone Profiler
gesemiconductor Used - $225.00 0 Jun/07/21 Feb/02/24
Description: Applied Materials 0021-25475 Clamp, Damped Gimbal 200mm 5 Zone Profiler
gesemiconductor Used - $425.00 0 Jun/07/21 Feb/02/24
Description: Applied Materials 0040-75753 Upper Membrane Clamp 200mm 5 Zone Profiler
gesemiconductor Used - $900.00 0 Jun/07/21 Feb/02/24
Description: Applied Materials 0040-70198 Lower Membrane Clamp 200mm 5 Zone Profiler
gesemiconductor Used - $185.00 0 Jun/07/21 Feb/02/24
Description: Lam 715-130080-008 End Effector 200mm
gesemiconductor Used - $150.00 0 Jun/07/21 Feb/02/24
Description: Lam 715-130092-008 End Effector 200mm
dcdirecttech Used - $749.95 0 Jun/21/21 Jun/28/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
rtxparts Used - $1,150.00 0 Jun/23/21 Sep/14/21
Description: Amat 0010-09978, AU-PLATED 200mm LAMP MODULE Assy
semixicon NEW - $65,000.00 0 Jun/24/21 Oct/14/21
Description: Original AMAT 200mm Ceramic Heater 0010-59798
bridge_tronic_global Used - $491.00 0 Jun/29/21 Jul/23/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jun/29/21 Jul/23/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jun/29/21 Jul/23/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
capitolareatech NEW - $7,295.95 0 Jul/03/21 Mar/16/23
Description: Applied Materials (AMAT) 0010-38438 ASSY, C-CHUCK, 200MM, CIP 99
capitolareatech NEW - $7,995.95 0 Jul/03/21 Mar/01/23
Description: Applied Materials (AMAT) 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT W/WT
capitolareatech NEW - $5,995.95 0 Jul/03/21 Sep/01/22
Description: Applied Materials (AMAT) 0040-06794 ROTOR MAGLEV 200MM
capitolareatech NEW - $4,495.95 0 Jul/03/21 Jun/01/22
Description: Applied Materials (AMAT) 0021-19581 PEDESTAL COVER, 3 BOSSES, 8" A101, 200MM
usedeqsales Used - $756.21 0 Jul/03/21 Mar/16/23
Description: AMAT Applied Materials 0010-10327 200mm ESC Wafer Flat Pedestal Rev. B As-Is
capitolareatech Used - $2,695.95 0 Jul/03/21 Mar/16/23
Description: Applied Materials (AMAT) 0040-36744 PLATE PERF 200MM WSI
capitolareatech NEW - $1,855.95 0 Jul/03/21 Dec/22/22
Description: Applied Materials (AMAT) 0040-09956 SHELL ASSY,200MM FLAT,IS ,37MIC,NI,LIFT,
usedeqsales Used - $1,506.21 0 Jul/03/21 Mar/16/23
Description: AMAT Applied Materials 0010-10327 200mm ESC Wafer Flat Pedestal Rev. B Working
capitolareatech NEW - $1,795.95 0 Jul/03/21 Dec/22/22
Description: Applied Materials (AMAT) 0040-09956 SHELL ASSY,200MM FLAT,IS ,37MIC,NI,LIFT
capitolareatech Used - $1,589.95 0 Jul/03/21 Mar/16/23
Description: APPLIED MATERIALS (AMAT) 0020-32237 COVER VESPEZ 200MM HIGH PROFILE NOTCH ES
capitolareatech NEW - $1,595.95 0 Jul/03/21 Dec/22/22
Description: Applied Materials (AMAT) 0040-60954 BRACKET, EYED IEP MOUNT, 200MM DPS
capitolareatech Used - $1,795.95 0 Jul/03/21 Dec/22/22
Description: Applied Materials (AMAT) 0010-10257 PEDESTAL ASSY 200MM NTCH HVIB "
capitolareatech NEW - $1,395.95 0 Jul/03/21 Nov/01/22
Description: Applied Materials (AMAT) 0200-00402 ISOLATOR PUMPING RING TOP 200MM PRODUCER
capitolareatech Used - $999.95 0 Jul/03/21 Mar/01/22
Description: Applied Materials (AMAT) 0010-09053 ASSY, 200MM STORAGE
capitolareatech NEW - $399.95 1 Jul/03/21 Sep/02/24
Description: Applied Materials (AMAT) 0021-03094 EDGE RING, PURGE HEATER, 200MM TXZ
capitolareatech Used - $499.95 2 Jul/03/21 Nov/02/23
Description: Applied Materials (AMAT) 0200-09075 ADAPTOR PUMP PLATE 200MM NARROW GAP NITR
dcdirecttech Used - $724.95 0 Jul/06/21 Jul/13/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
usedeqsales Used - $2,007.21 1 Jul/10/21 Nov/13/22
Description: AMAT Applied Materials 0010-20480 200mm Cassette Handler Left LLA P5000 Working
usedeqsales Used - $2,507.21 2 Jul/10/21 Jul/15/21
Description: AMAT Applied Materials 0010-76005 200mm Robot Blade 8" P5000 Precision Working
maxisemi1349 Used - $200.00 1 Jul/10/21 Nov/03/21
Description: 0020-10402 PUMPING PLATE, 200MM, used "as is"
autoquip7 NEW - $1,370.00 0 Jul/10/21 Jul/25/22
Description: 0020-31998 PEDESTAL, 200mm, AL, NOTCH, FINGER , APPLIED MATERIAL (AMAT)
farmoninc Used - $3,500.00 0 Jul/10/21 Aug/02/23
Description: AMAT 0190-21051 RF Resonator Assy PC II 98-008 R-1359-B Preclean 200mm, 329948
usedeqsales Used - $1,007.21 1 Jul/10/21 Jul/10/21
Description: AMAT Applied Materials 0010-01119 ESC 200mm Cathode Super-E Flat Working Surplus
rtxparts Used - $6,300.00 0 Jul/12/21 Sep/14/21
Description: AMAT 200mm 0020-34694 LINER, Full process PM kit avaialble
dcdirecttech Used - $699.95 0 Jul/13/21 Jul/20/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
usedeqsales Used - $3,507.21 1 Jul/14/21 Jul/15/21
Description: AMAT Applied Materials 0040-00876 E-Max 200mm ESC Chuck EMXP+ Dual Zone Working
usedeqsales Used - $2,007.21 0 Jul/14/21 Mar/16/23
Description: AMAT Applied Materials 0040-09094 200mm CVD Chamber Manufacturer Refurbished
rtxparts Used - $750.00 0 Jul/20/21 Sep/14/21
Description: Applied Materials 0200-10181 Quartz Collar 200mm
bridge_tronic_global Used - $491.00 0 Jul/28/21 Aug/13/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jul/28/21 Aug/13/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jul/28/21 Aug/13/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
usedeqsales Used - $9,007.21 0 Jul/28/21 Nov/18/21
Description: Novellus Systems 02-258799-01 6 Fork 200mm Wafer Heater Block New Surplus
visionsemi Used - $1,500.00 1 Jul/28/21 Jan/23/22
Description: APPLIED MATERIALS AMAT BLADE LAMINATION ASSY P5000 200MM 0010-02905
usedeqsales Used - $1,253.60 1 Jul/28/21 Oct/14/21
Description: AMAT Applied Materials 0040-99997 200mm ESC 0040-09961 Rev. B Working Surplus
dcdirecttech Used - $674.95 0 Jul/28/21 Aug/04/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
usedeqsales Used - $2,507.21 1 Jul/28/21 Aug/08/23
Description: AMAT Applied Material 0040-09221 200mm Wafer CVD Chamber P5000 Working Surplus
cldan_77 NEW - $600.00 0 Jul/29/21 Oct/26/22
Description: AMAT Applied Materials 0040-09961 200mm ESC  pedestal assembly Rev.B
usedeqsales Used - $15,007.20 0 Jul/30/21 Apr/13/23
Description: Lam Research 715-440264-001 200mm Lower Chamber Alliance A4 9600 SE Refurbished
usedeqsales Used - $5,008.21 1 Aug/02/21 Mar/05/22
Description: Lam Research 839-460152-006 200mm Lower Electrode STP Chamber 150mm Refurbished
xl-t_com Used - $100.00 0 Aug/03/21 Oct/19/21
Description: APPLIED MATERIALS - 0020-09881 - ADAPTOR MANIFOLD 200MM
j316gallery Used - $800.00 0 Aug/05/21 Dec/05/21
Description: 25786 APPLIED MATERIALS PCB 200MM SMIF LLK INTERLOCK (REFURBISHED) 0100-00639
usedeqsales Used - $808.21 1 Aug/10/21 Aug/14/21
Description: Novellus Systems 02-134263-00 200mm Electrostatic Chuck ESC 15-118976-00 As-Is
phxinn Used - $2,000.00 1 Aug/11/21 Aug/19/21
Description: Applied Materials 0020-39087, 0040-77771, 0090-35133 200mm DPS ESC Assy.
usedeqsales Used - $1,508.21 0 Aug/12/21 Feb/14/22
Description: Novellus Systems 15-032939-00 200mm Ceramic Exclusion Ring 2.25mm New Surplus
phxinn Used - $12,500.00 0 Aug/12/21 Mar/01/22
Description: Applied Materials AMAT 0010-24970 HTHU 200mm Heater Assy.
usedeqsales Used - $1,254.10 3 Aug/12/21 Oct/12/21
Description: Novellus Systems 02-121096-00 200mm Electrostatic Chuck ESC 15-118976-00 Working
usedeqsales Used - $2,508.21 0 Aug/14/21 Jun/29/23
Description: Novellus 02-134264-00 200mm Electrostatic Chuck ESC 15-121119-00 Rev. A Working
bridge_tronic_global Used - $491.00 0 Aug/14/21 Sep/10/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Aug/14/21 Sep/10/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Aug/14/21 Sep/10/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
capitolareatech NEW - $789.95 0 Aug/15/21 May/26/22
Description: Applied Materials (AMAT) 0020-30407 PERF. PLATE 200MM BW
capitolareatech Used - $2,195.95 0 Aug/15/21 May/26/22
Description: Applied Materials (AMAT) 0021-18436 COVER RING, 200MM, BESC, TITANIUM
gigabitpartsolutions NEW - $328.90 0 Aug/18/21 Jun/21/22
Description: Robot NOVELLUS 02-273860-01 End Effector 200mm Brooks Automation 121669
dcdirecttech Used - $649.95 0 Aug/18/21 Aug/24/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
phxinn Used - $11,000.00 0 Aug/18/21 Oct/01/21
Description: Applied Materials AMAT 0010-76152 HTHU 200mm Heater Assy.
capitolareatech Used - $17.95 1 Aug/18/21 Jan/17/23
Description: Applied Materials (AMAT) 0030-00196 LARGE FACE SEAL 200MM
katiil3 Used - $249.00 0 Aug/18/21 Oct/23/21
Description: APPLIED MATERIALS FORELINE ASSY W/PORTS MKS 200MM NON-DPA 0040-02166
katiil3 Used - $299.00 0 Aug/18/21 Oct/23/21
Description: Applied Materials 0041-28630 Rev.01 200mm Retaining Ring New open box
katiil3 Used - $299.00 0 Aug/19/21 Oct/23/21
Description: Applied materials AMAT 0050-43450 WELDMENT 5RA O3 LOWER CH A,B,C 200MM PRO
katiil3 Used - $149.00 0 Aug/19/21 Oct/23/21
Description: APPLIED MATERIALS 0040-39756 FORELINE, VERTICAL PRODUCER 200MM
katiil3 Used - $149.00 0 Aug/22/21 Oct/23/21
Description: Applied Materials AMAT 0040-01752 CLAMP, FORELINE, BOTTOM, NON-DPA,200MM Qty 2
j316gallery NEW - $4,000.00 0 Aug/22/21 Feb/17/22
Description: 25946 APPLIED MATERIALS BLADE LAMINATION ASSY, P5000, 200MM (NEW) 0040-01108
dcdirecttech Used - $624.95 0 Aug/26/21 Sep/01/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
usedeqsales Used - $6,008.21 0 Aug/26/21 Apr/02/23
Description: Novellus Systems 02-033134-00 200mm Wafer Pedestal Heater ALTUS Open New Surplus
roundtable1 NEW - $199.00 0 Aug/27/21 Jan/03/22
Description: Applied Materials 0040-40336 CLAMP BLADDER UPPER 200MM TITAN CJ984114
usedeqsales Used - $458.21 3 Sep/07/21 Nov/04/21
Description: Parker Hannifin 203017 200mm Slit Valve Door Novellus Systems 20-123267-00 New
dcdirecttech Used - $599.95 0 Sep/07/21 Sep/12/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
capitolareatech NEW - $989.95 0 Sep/07/21 Oct/07/22
Description: Applied Materials AMAT 0021-03637 FACEPLATE SILANE PRODUCER 200MM
jabedow Used - $5,000.00 1 Sep/07/21 Sep/12/21
Description: AMAT 0020-79039 ASSY,4-PORT"8'' SPINDLE , 200MM MIRRA
bridge_tronic_global Used - $491.00 0 Sep/10/21 Oct/08/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Sep/10/21 Oct/08/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Sep/10/21 Oct/08/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
katiil3 Used - $119.00 0 Sep/13/21 Oct/23/21
Description: Applied Materials AMAT 0050-85778 GASLINE,FORELINE CH A,THRU FLOOR,H2 PUMP 200MM
katiil3 Used - $299.00 0 Sep/14/21 Oct/23/21
Description: Applied Materials AMAT 0242-33626 KIT SHAFT CLAMP HEATER 200MM PRODUCER SPARES
j316gallery NEW - $599.00 0 Sep/14/21 Sep/22/21
Description: 10218 APPLIED MATERIALS KIT,HE DUMP RETROFIT,200MM DPN (NEW) 0242-25611
usedeqsales Used - $1,309.21 1 Sep/14/21 Sep/29/22
Description: Novellus Systems 16-135824-00 200mm Pinned Showerhead Cleaned Working Surplus
dcdirecttech Used - $574.95 0 Sep/14/21 Sep/21/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
rtxparts Used - $1,150.00 0 Sep/15/21 Nov/02/21
Description: Amat 0010-09978, AU-PLATED 200mm LAMP MODULE Assy
rtxparts Used - $500.00 2 Sep/15/21 Jan/11/22
Description: 0200-10194, AMAT, Applied Materials, SHIELD, TAPERED, 200MM, P5000
rtxparts Used - $1,500.00 0 Sep/15/21 Nov/16/21
Description: 0040-18219 Applied Materials AMAT LASED, PEDESTAL, 200MM SNNF SML FLT W/WT
rtxparts Used - $6,300.00 0 Sep/15/21 Dec/09/21
Description: AMAT 200mm 0020-34694 LINER, Full process PM kit avaialble
rtxparts Used - $700.00 0 Sep/15/21 Dec/09/21
Description: AMAT 200MM Screwless BB SUSCEPTOR 8” SCREWLESS, AMAT 0010-03171
rtxparts Used - $850.00 0 Sep/15/21 Dec/09/21
Description: Applied Materials 0200-10181 Quartz Collar 200mm
rtxparts Used - $300.00 0 Sep/15/21 Dec/09/21
Description: Elo 1525L LCD Monitor 0040-48277 rev 003 Amat 200mm endura/P5000 back monitor.
phxinn Used - $2,150.00 0 Sep/15/21 Feb/15/22
Description: Applied Materials 0020-39087, 0040-77771, 0090-35133 200mm DPS ESC Assy.
katiil3 Used - $249.00 0 Sep/16/21 Oct/23/21
Description: Applied Materials 0041-28630 Rev.01 200mm Retaining Ring New
autoquip7 NEW - $440.00 0 Sep/17/21 Jul/25/22
Description: AMAT Applied Materials 0020-04306 200mm EXT Cathode Insert Lot of 2 New Surplus
katiil3 Used - $99.00 0 Sep/18/21 Oct/23/21
Description: Applied Materials AMAT 0040-01641 BRACKET MTG CH. A DPA PRODUCER 200MM
alameda_auction NEW - $195.00 0 Sep/20/21 Sep/14/23
Description: NEW Applied Materials 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch / SEALED
usedeqsales Used - $2,509.21 0 Sep/21/21 Jun/15/22
Description: AMAT Applied Materials 0010-09463 200mm TEOS Susceptor New Surplus
dcdirecttech Used - $549.95 0 Sep/21/21 Sep/28/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
j316gallery NEW - $600.00 0 Sep/27/21 Oct/04/21
Description: 10419 APPLIED MATERIALS KIT OTF PRECISION CALI 200MM RTP (NEW) 0242-86920
dcdirecttech Used - $524.95 0 Sep/28/21 Oct/05/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
maxisemi1349 Used - $1,650.00 0 Sep/28/21 Nov/16/21
Description: 16-285065-00 MEMBRANE,SAC,200MM
usedeqsales Used - $2,009.21 0 Sep/29/21 Sep/16/22
Description: AMAT Applied Materials 0010-13321 P5000 200mm Robot Body 8" w/Arms & Gear Spare
usedeqsales Used - $1,309.21 0 Sep/30/21 Mar/16/23
Description: AMAT Applied Materials 0010-13321 P5000 200mm Robot Body 8" No Main Shaft Spare
usedeqsales Used - $1,406.45 1 Sep/30/21 Aug/17/23
Description: AMAT Applied Materials 0010-13320 P5000 200mm Robot Body 8" w/Single Gear Spare
j316gallery NEW - $689.40 0 Oct/04/21 Aug/31/23
Description: 10419 APPLIED MATERIALS KIT OTF PRECISION CALI 200MM RTP (NEW) 0242-86920
katiil3 Used - $399.00 0 Oct/07/21 Oct/23/21
Description: Applied Materials AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA
alamedaauction NEW - $1,650.00 2 Oct/07/21 Mar/01/22
Description: NEW AMAT 0021-82260 ESC Chuck 200mm Pedestal Notch 0041-34688 Applied Materials
bridge_tronic_global Used - $491.00 0 Oct/12/21 Nov/05/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Oct/12/21 Nov/05/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Oct/12/21 Nov/04/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
dcdirecttech Used - $499.95 0 Oct/12/21 Oct/18/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
storefarm NEW - $1,498.00 0 Oct/12/21 Jul/11/22
Description: [New] APPLIED / 0040-34810 / GIMBAL 200MM
comwaysind Used - $1,978.90 0 Oct/16/21 Aug/31/23
Description: Applied Materials 0040-18219 200mm SNNF Lased Pedestal
jabedow Used - $400.00 0 Oct/19/21 Jul/18/23
Description: Amat, 0040-40440, 100-440, ROTOR MAGLEV 200MM
dcdirecttech Used - $474.95 0 Oct/20/21 Oct/27/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
capitolareatech Used - $1,599.95 0 Oct/20/21 Dec/20/22
Description: VERITY INSTRUMENTS EYE-D SPECTROGRACH 200MM SD1024D-2-S 1400-00205
capitolareatech Used - $199.95 0 Oct/20/21 Jun/15/23
Description: APPLIED MATERIALS (AMAT) 0020-32166 COVER, PROTECTION, 200MM, ESC
capitolareatech NEW - $1,499.95 0 Oct/20/21 Feb/20/24
Description: Applied Materials (AMAT) 0040-02926 PLATE,BOT. BPSG REFL,200MM,IMPRVD RING,
capitolareatech Used - $4,495.95 0 Oct/20/21 Oct/12/23
Description: Applied Materials (AMAT) 0010-09028 ASSY, 200MM BLADE
maxisemi1349 Used - $550.00 3 Oct/26/21 Sep/06/22
Description: 0020-24018 PIN ANTENNA PC II POLI SI, 200MM ENDURA, lot of 20
tina_lee NEW - $60.00 0 Oct/31/21 Oct/31/21
Description: 0020-24319, CLAMP 2" & 1.5" PIPE for AMAT Centura 200mm floor pipe work
yogiandbooboobears Used - $3,867.80 0 Oct/31/21 Nov/10/21
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
yogiandbooboobears Used - $3,864.00 0 Oct/31/21 Nov/10/21
Description: AMAT 0010-20524 8 200mm Preclean RF Match Refurbished
modelkits4u Used - $3,867.80 0 Oct/31/21 Nov/10/21
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
modelkits4u Used - $3,864.00 0 Oct/31/21 Nov/10/21
Description: AMAT 0010-20524 8 200mm Preclean RF Match Refurbished
tina_lee NEW - $60.00 0 Oct/31/21 Apr/03/22
Description: 0020-24319, CLAMP 2" & 1.5" PIPE for AMAT Centura 200mm floor pipe work
dcdirecttech Used - $449.95 0 Nov/01/21 Nov/08/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
bridge_tronic_global Used - $491.00 0 Nov/04/21 Dec/02/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
usedeqsales Used - $1,611.21 0 Nov/04/21 Mar/16/23
Description: Novellus Systems 16-033931-00 200mm Pinned Showerhead Weldment New Surplus
bridge_tronic_global Used - $491.00 0 Nov/05/21 Dec/03/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Nov/05/21 Dec/03/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
capitolareatech Used - $799.95 1 Nov/05/21 Jun/05/23
Description: APPLIED MATERIALS (AMAT) 0020-22741 SIDE, RECEIVER, 200MM PLASTIC
dcdirecttech Used - $424.95 0 Nov/08/21 Nov/15/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
j316gallery Used - $180.00 1 Nov/09/21 Apr/22/22
Description: 26670 APPLIED MATERIALS INSULATOR, CATHODE BASE, 200MM, DPS 0021-09511
katiil3 Used - $449.00 0 Nov/09/21 Mar/09/22
Description: Applied materials 0200-03388 single ringceramic 200MM notch
katiil3 Used - $499.00 0 Nov/09/21 Mar/09/22
Description: AMAT 0021-03637 FACEPLATE SILANE, OEM USED, Producer 200mm
katiil3 Used - $449.00 0 Nov/09/21 Mar/09/22
Description: Applied materials 0200-03388 single ringceramic 200MM notch Cleaned
katiil3 Used - $649.00 0 Nov/09/21 Mar/09/22
Description: Applied materials 0200-03026 Ceramic Isolator Extended Ceramic Producer 200mm
katiil3 Used - $799.00 0 Nov/09/21 Mar/09/22
Description: AMAT 0020-62423 SHIELD LOWER 190MM SPACING 200MM ALPS
katiil3 Used - $899.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0195-07994 ESC ASSY 200MM FLAT DPS METAL
katiil3 Used - $999.00 1 Nov/09/21 Mar/09/22
Description: AMAT Applied Materials 0020-32963 200mm ESC Chuck 0040-09961 0040-09957
katiil3 Used - $999.00 1 Nov/09/21 Mar/09/22
Description: AMAT 0010-92132 CASSETTE LOADER 200mm Lift and Rotate Cassette Trays, XR80.
katiil3 Used - $299.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0242-33626 KIT SHAFT CLAMP HEATER 200MM PRODUCER SPARES
katiil3 Used - $399.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA
katiil3 Used - $399.00 0 Nov/09/21 Mar/09/22
Description: Applied materials 0040-50344 Gas box chamber lid 200MM PMD Producer
katiil3 Used - $119.00 1 Nov/10/21 Sep/10/22
Description: Applied materials 0150-05311 CABLE ASSY 200MM CHBR PNEU DI/O CENT
spalding_fasteners Used - $3,000.00 0 Nov/11/21 Nov/12/21
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
spalding_fasteners Used - $3,000.00 0 Nov/11/21 Nov/21/21
Description: AMAT 0010-20524 8 200mm Preclean RF Match Refurbished
lartonlivery Used - $1.00 0 Nov/15/21 Nov/25/21
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
lartonlivery Used - $1.00 0 Nov/15/21 Nov/25/21
Description: AMAT 0010-20524 8 200mm Preclean RF Match Refurbished
rtxparts Used - $1,500.00 0 Nov/16/21 Feb/10/22
Description: 0040-18219 Applied Materials AMAT LASED, PEDESTAL, 200MM SNNF SML FLT W/WT
forestfundamentals Used - $1.00 0 Nov/18/21 Nov/28/21
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
forestfundamentals Used - $1.00 0 Nov/18/21 Nov/28/21
Description: AMAT 0010-20524 8 200mm Preclean RF Match Refurbished
iluvltd Used - $2,000.00 0 Nov/18/21 Nov/28/21
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
iluvltd Used - $2,000.00 0 Nov/18/21 Nov/28/21
Description: AMAT 0010-20524 8 200mm Preclean RF Match Refurbished
retrostarlondon Used - $3,000.00 0 Nov/19/21 Nov/29/21
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
retrostarlondon Used - $3,000.00 0 Nov/19/21 Nov/29/21
Description: AMAT 0010-20524 8 200mm Preclean RF Match Refurbished
whybee-adventure Used - $3,000.00 0 Nov/20/21 Nov/27/21
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
whybee-adventure Used - $3,000.00 0 Nov/20/21 Nov/27/21
Description: AMAT 0010-20524 8 200mm Preclean RF Match Refurbished
tiswas Used - $3,000.00 0 Nov/20/21 Nov/30/21
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
tiswas Used - $3,000.00 0 Nov/20/21 Nov/30/21
Description: AMAT 0010-20524 8 200mm Preclean RF Match Refurbished
bookbinder2010 Used - $1.00 0 Nov/23/21 Dec/03/21
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
bookbinder2010 Used - $1.00 0 Nov/23/21 Dec/03/21
Description: AMAT 0010-20524 8 200mm Preclean RF Match Refurbished
dcdirecttech Used - $399.95 0 Nov/29/21 Dec/06/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
maxisemi1349 Used - $1,650.00 0 Dec/01/21 Mar/20/22
Description: 16-285065-00 MEMBRANE,SAC,200MM
bridge_tronic_global Used - $491.00 0 Dec/02/21 Dec/30/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
usedeqsales Used - $2,506.10 1 Dec/02/21 Feb/09/22
Description: AMAT Applied Materials 0010-09237 200mm Lamp Module BWCVD Precision P5000 Spare
usedeqsales Used - $1,811.21 1 Dec/02/21 Mar/29/22
Description: AMAT Applied Material 0010-10953 200mm Wafer Blade 8" Notch SST P5000 Spare
chick666995 Used - $2,000.00 1 Dec/02/21 Dec/03/21
Description: 0010-12553 AMAT High Voltage Power Supply 200mm Super E, CESC
spsglobal Used - $12,000.00 0 Dec/03/21 Mar/16/23
Description: 330-0201// AMAT APPLIED 0090-02957 (#1) 0090-01433 AC BOX, 200MM ENDURA [ASIS]
usedeqsales Used - $10,512.20 1 Dec/03/21 Dec/04/21
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
bridge_tronic_global Used - $491.00 0 Dec/03/21 Dec/29/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Dec/03/21 Dec/29/21
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
farmoninc Used - $6,500.00 0 Dec/07/21 Mar/16/23
Description: AMAT 0021-07842 Wafer Cassette Handler Load Lock ENDURA CENTURA, 200mm, 102788
sgcequipment Used - $6,100.00 0 Dec/08/21 Jun/30/22
Description: Applied Materials (AMAT) 0040-47724 Manifold Output 1 Hole Left 200mm ASSEMBLY
dcdirecttech Used - $374.95 0 Dec/08/21 Dec/15/21
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
rtxparts Used - $6,300.00 0 Dec/09/21 Feb/10/22
Description: AMAT 200mm 0020-34694 LINER, Full process PM kit avaialble
rtxparts Used - $700.00 0 Dec/09/21 Feb/10/22
Description: AMAT 200MM Screwless BB SUSCEPTOR 8” SCREWLESS, AMAT 0010-03171
rtxparts Used - $850.00 0 Dec/09/21 Feb/10/22
Description: Applied Materials 0200-10181 Quartz Collar 200mm
rtxparts Used - $300.00 0 Dec/09/21 Feb/10/22
Description: Elo 1525L LCD Monitor 0040-48277 rev 003 Amat 200mm endura/P5000 back monitor.
sgcequipment Used - $6,000.00 0 Dec/10/21 Aug/18/22
Description: Applied Materials (AMAT) 0040-03897 REV 003 LID ASSEMBLY, 200MM TICL4 TIN
usedeqsales Used - $1,012.21 1 Dec/13/21 Sep/11/23
Description: AMAT Applied Materials 200mm Endura Alignment Hardware Lot of 7 0021-35713 Spare
bridge_tronic_global Used - $491.00 0 Dec/29/21 Jan/20/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Dec/29/21 Jan/20/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Dec/30/21 Jan/27/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
spsglobal Used - $3,500.00 0 Jan/03/22 Jun/18/23
Description: 999-9999// AMAT APPLIED 0040-02936 (DELIVERY 21 DAYS) WATER BOX, TXZ 200MM C I P
dcdirecttech Used - $349.95 0 Jan/08/22 Jan/10/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
farmoninc Used - $1,500.00 0 Jan/08/22 Jun/30/22
Description: NOVELLUS 15-032939-00 Ceramic Exclusion Ring 200MM X 2.25MM, 103011
farmoninc Used - $2,500.00 0 Jan/08/22 Jun/30/22
Description: AMAT 0040-36180 Heater chuck, 200mm, TXZ, 103026
farmoninc Used - $2,500.00 0 Jan/08/22 Jun/15/23
Description: AMAT 0040-36180 Heater chuck, 200mm, TXZ, 103025
farmoninc Used - $2,500.00 0 Jan/08/22 Jun/30/22
Description: AMAT 0040-36180 Heater chuck, 200mm, TXZ, 103024
farmoninc Used - $2,500.00 0 Jan/08/22 Jun/30/22
Description: AMAT 0040-36180 Heater chuck, 200mm, TXZ, 103023
farmoninc Used - $2,500.00 0 Jan/08/22 Jun/30/22
Description: AMAT 0040-36180 Heater chuck, 200mm, TXZ, 103022
rtxparts Used - $500.00 0 Jan/11/22 Apr/13/22
Description: 0200-10194, AMAT, Applied Materials, SHIELD, TAPERED, 200MM, P5000
pnw-shop5 Used - $3,000.00 0 Jan/11/22 Jan/11/22
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
pnw-shop5 Used - $3,000.00 0 Jan/11/22 Jan/11/22
Description: AMAT 0010-20524 8 200mm Preclean RF Match Refurbished
dcdirecttech Used - $324.95 0 Jan/11/22 Jan/18/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
baseoftree1 Used - $4,199.30 0 Jan/11/22 Jan/11/22
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
egg.n.chips.clothing Used - $16.35 0 Jan/14/22 Jan/14/22
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
egg.n.chips.clothing Used - $15.97 0 Jan/14/22 Jan/14/22
Description: AMAT 0010-20524 8 200mm Preclean RF Match Refurbished
ledlightingsolution Used - $16.35 0 Jan/14/22 Jan/14/22
Description: 0190-12122 / 6-PORT ROTARY UNION 200MM MULTI-ZONE / APPLIED MATERIALS AMAT
ledlightingsolution Used - $15.97 0 Jan/14/22 Jan/14/22
Description: AMAT 0010-20524 8 200mm Preclean RF Match Refurbished
farmoninc Used - $3,500.00 0 Jan/15/22 May/05/22
Description: AMAT 0010-09978 AU-PLATED 200MM LAMP MODULE, P5000 CVD, 103169
usedeqsales Used - $2,100.85 0 Jan/18/22 Jun/23/22
Description: Tazmo NSR18 Robot Controller Nikon 4S064-548 NSR-S306C 200mm DUV Working Surplus
dcdirecttech Used - $314.95 0 Jan/18/22 Jan/25/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
bridge_tronic_global Used - $491.00 0 Jan/20/22 Feb/17/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jan/20/22 Feb/17/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
folkestonestars Used - $1.00 0 Jan/22/22 Jan/22/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
autoquip7 NEW - $550.00 1 Jan/22/22 Jan/23/22
Description: 0090-09157, CABLE ASSY HIGH VOLTAGE ESC 200MM CATHODE DPS, APPLIED MATERIAL (AMA
usedeqsales Used - $3,201.22 0 Jan/24/22 Oct/06/22
Description: AMAT Applied Materials 0040-20505 200mm Dual Zone PVD Heater Pedestal Working
usedeqsales Used - $2,501.22 1 Jan/24/22 Jan/24/22
Description: AMAT Applied Materials 0040-20505 200mm Bellows PVD Heater Pedestal w/Stop Spare
usedeqsales Used - $2,501.22 1 Jan/24/22 Jan/24/22
Description: AMAT Applied Materials 0040-20505 200mm Bellows PVD Heater Pedestal Working
j316gallery Used - $1,500.00 0 Jan/25/22 Feb/17/22
Description: 27205 NOVELLUS ELECTROSTATIC CHUCK, 200MM, 15-118976-00 02-134263-00
infotone Used - $1.00 0 Jan/26/22 Jan/26/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
bridge_tronic_global Used - $491.00 0 Jan/27/22 Feb/24/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
eabani1111 Used - $1.00 0 Jan/29/22 Jan/29/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
dcdirecttech Used - $299.95 0 Jan/30/22 Feb/06/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
avro66 NEW - $24.00 0 Jan/31/22 Feb/27/22
Description: Applied Materials (AMAT) 0030-00196 LARGE FACE SEAL 200MM
jappartsgalore Used - $1.00 0 Feb/06/22 Feb/06/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
bertrum4572 Used - $1.00 0 Feb/06/22 Feb/06/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
eddiesrecords Used - $1.00 0 Feb/06/22 Feb/06/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
bakclk1 Used - $1.00 0 Feb/07/22 Feb/07/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
guitaranatomy Used - $1.00 0 Feb/08/22 Feb/08/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
dcdirecttech Used - $284.95 0 Feb/09/22 Feb/16/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
rtxparts Used - $1,500.00 0 Feb/10/22 Aug/09/22
Description: 0040-18219 Applied Materials AMAT LASED, PEDESTAL, 200MM SNNF SML FLT W/WT
rtxparts Used - $700.00 0 Feb/10/22 Aug/09/22
Description: AMAT 200MM Screwless BB SUSCEPTOR 8” SCREWLESS, AMAT 0010-03171
rtxparts Used - $850.00 0 Feb/10/22 Aug/09/22
Description: Applied Materials 0200-10181 Quartz Collar 200mm
rtxparts Used - $6,300.00 0 Feb/10/22 Feb/15/22
Description: AMAT 200mm 0020-34694 LINER, Full process PM kit avaialble
rtxparts Used - $300.00 0 Feb/10/22 Aug/09/22
Description: Elo 1525L LCD Monitor 0040-48277 rev 003 Amat 200mm endura/P5000 back monitor.
avro66 Used - $750.00 0 Feb/11/22 Feb/14/22
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
avro66 NEW - $1,000.00 0 Feb/11/22 Mar/11/22
Description: NEW AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
avro66 Used - $750.00 0 Feb/11/22 Mar/11/22
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
usedeqsales Used - $1,602.22 0 Feb/11/22 May/23/22
Description: AMAT Applied Materials 0040-09254 200MM Susceptor RF Ground Weldment Lot 35 New
agri-supplyukltd Used - $1.00 0 Feb/11/22 Feb/11/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
openanduseb4-labels Used - $1.00 0 Feb/12/22 Feb/12/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
stewart8768 Used - $1.00 0 Feb/12/22 Feb/12/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
sootysmtg Used - $1.00 0 Feb/12/22 Feb/13/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
avro66 Used - $750.00 0 Feb/14/22 Mar/14/22
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
j316gallery Used - $3,351.00 0 Feb/17/22 Feb/02/23
Description: 27433 APPLIED MATERIALS PLATE, REFLECTOR, 200MM XE, CORROSION RE 0021-03721
dcdirecttech Used - $274.95 0 Feb/17/22 Feb/24/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
bridge_tronic_global Used - $491.00 0 Feb/17/22 Mar/15/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Feb/17/22 Mar/15/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
intek22 Used - $950.00 1 Feb/17/22 Jun/14/22
Description: AMAT Applied Materials 0010-20524 RF Match PVD Phase IV 200mm
jskautomotivenew Used - $1.00 0 Feb/17/22 Feb/17/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
ledfirstchoiceltd Used - $1.00 0 Feb/19/22 Feb/20/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
classiccover Used - $1.00 0 Feb/19/22 Feb/20/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
lynieo Used - $0.99 0 Feb/25/22 Feb/25/22
Description: AMAT 0040-09961 0020-32963 ESC Chuck 200mm Etch Ch, 400267
lynieo Used - $0.99 0 Feb/25/22 Feb/26/22
Description: AMAT 0040-09961 0020-32963 ESC Chuck 200mm Etch Ch, 400267
bridge_tronic_global Used - $491.00 0 Feb/25/22 Mar/22/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
love_my_cottage Used - $1.00 0 Feb/25/22 Feb/26/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
fogmodels Used - $1.00 0 Feb/26/22 Feb/26/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
bmc.london Used - $1.00 0 Feb/26/22 Feb/26/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
dcdirecttech Used - $264.95 0 Feb/26/22 Mar/05/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
jennyb7362 Used - $1.00 0 Feb/28/22 Feb/28/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
avro66 NEW - $24.00 0 Feb/28/22 Mar/24/22
Description: Applied Materials (AMAT) 0030-00196 LARGE FACE SEAL 200MM
avonvalleymusic Used - $1.00 0 Mar/01/22 Mar/01/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
pccentre-528 Used - $1.00 0 Mar/04/22 Mar/05/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
getspares.com_sparesllc09 Used - $1,707.00 0 Mar/04/22 Jun/08/22
Description: 03-00217-00 / WELDMENT SHOWER HEAD PINNED,200MM 8 INCH 16-033931-00 / NOVELLUS
lynieo Used - $600.00 0 Mar/04/22 Mar/09/22
Description: AMAT 0040-09961 0020-32963 ESC Chuck 200mm Etch Ch, 400267
ethnicroots Used - $1.00 0 Mar/05/22 Mar/05/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
lynieo Used - $500.00 0 Mar/05/22 Mar/08/22
Description: AMAT 0040-09961 0020-32963 ESC Chuck 200mm Etch Ch, 400267
dcdirecttech Used - $254.95 0 Mar/06/22 Mar/13/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
phxinn Used - $1,600.00 0 Mar/07/22 May/25/23
Description: Applied Materials AMAT 0010-09464 Susceptor, 200mm Nitride
lynieo Used - $500.00 0 Mar/08/22 Mar/12/22
Description: AMAT 0040-09961 0020-32963 ESC Chuck 200mm Etch Ch, 400267
chick666995 Used - $20,000.00 1 Mar/09/22 Mar/09/22
Description: 0010-12516 AMAT PVD MCA ESC Heater 200mm
lynieo Used - $600.00 0 Mar/10/22 Mar/12/22
Description: AMAT 0040-09961 0020-32963 ESC Chuck 200mm Etch Ch, 400267
lynieo Used - $600.00 0 Mar/10/22 Mar/12/22
Description: AMAT 0040-09961 0020-32963 ESC Chuck 200mm Etch Ch, 400267
katiil3 Used - $499.00 0 Mar/10/22 Jul/16/24
Description: AMAT 0021-03637 FACEPLATE SILANE, OEM USED, Producer 200mm
katiil3 Used - $449.00 1 Mar/10/22 Nov/10/23
Description: Applied materials 0200-03388 single ringceramic 200MM notch Cleaned
katiil3 Used - $399.00 0 Mar/10/22 May/13/24
Description: Applied materials 0040-50344 Gas box chamber lid 200MM PMD Producer
katiil3 Used - $449.00 0 Mar/10/22 Jul/16/24
Description: Applied materials 0200-03388 single ringceramic 200MM notch
katiil3 Used - $299.00 0 Mar/10/22 Dec/10/23
Description: Applied Materials 0041-28630 Rev.01 200mm Retaining Ring New open box
katiil3 Used - $299.00 0 Mar/10/22 Dec/10/23
Description: APPLIED MATERIALS 0041-28630 REV.01 200MM RETAINING RING
chick666995 Used - $20,000.00 1 Mar/10/22 May/02/22
Description: 0010-12516 AMAT PVD MCA ESC Heater 200mm
farmoninc Used - $3,500.00 0 Mar/10/22 May/05/22
Description: AMAT 0010-09237 LAMP MODULE ASSY 200MM 5000 CVD, 0020-10770, 104066
farmoninc Used - $7,250.00 0 Mar/10/22 May/05/22
Description: AMAT 0010-09978 MFG GOLD LAMP MODULE 200MM 5000 CVD, 0020-10770, 104065
sgcequipment NEW - $1,100.00 3 Mar/11/22 Aug/24/23
Description: Applied Materials (AMAT) 0200-36649 PLATE FINGER LIFT RING, 200mm PRODUCER
emarts-uk Used - $1.00 0 Mar/12/22 Mar/12/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
mydepoz Used - $1.00 0 Mar/12/22 Mar/12/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
bmc.london Used - $1.00 0 Mar/13/22 Mar/13/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
avro66 Used - $750.00 0 Mar/13/22 Apr/12/22
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
avro66 NEW - $1,000.00 0 Mar/13/22 Apr/12/22
Description: NEW AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
giftwearonline Used - $1.00 0 Mar/13/22 Mar/13/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
sintech-uk Used - $1.00 0 Mar/13/22 Mar/13/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
thecollectorinlondon Used - $1.00 0 Mar/13/22 Mar/13/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
bridge_tronic_global Used - $491.00 0 Mar/15/22 Apr/07/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Mar/15/22 Apr/07/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
avro66 Used - $750.00 0 Mar/16/22 Apr/16/22
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
dcdirecttech Used - $249.95 0 Mar/19/22 Mar/26/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
pic_insit NEW - $15,000.00 0 Mar/20/22 Mar/27/22
Description: AMAT P/N 0010-14571 Assembly Cathode, Emax CT, 200MM
signedsport Used - $1.00 0 Mar/20/22 Mar/20/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
washablefabrics Used - $1.00 0 Mar/20/22 Mar/20/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
retrotex Used - $1.00 0 Mar/20/22 Mar/20/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
farmoninc Used - $1,100.00 0 Mar/21/22 Aug/25/22
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 104134
farmoninc Used - $1,100.00 0 Mar/21/22 Aug/25/22
Description: AMAT 0021-11075 101 TTN Pedestal, 200mm Floating Pin, DE Endura, PVD, 104133
farmoninc Used - $1,250.00 0 Mar/21/22 Aug/28/24
Description: AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA, 104132
jtechsemi NEW - $7,000.00 0 Mar/22/22 Apr/03/23
Description: Applied Materials 0040-89773 SGD UPPER 200MM TOP SOURCE AMAT
usedeqsales Used - $803.22 5 Mar/22/22 Oct/06/22
Description: AMAT Applied Materials 0190-09062 200mm Susceptor Thermocouple Probe New Surplus
usedeqsales Used - $803.22 0 Mar/22/22 Aug/17/22
Description: AMAT Applied Materials 0190-00518 200mm Susceptor Thermocouple Probe New Surplus
bridge_tronic_global Used - $491.00 0 Mar/22/22 Apr/20/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
jtechsemi NEW - $1,200.00 0 Mar/23/22 Nov/01/22
Description: Applied Materials 0020-31752 Pedestal Aluminum 200mm AMAT
pic_insit NEW - $15,000.00 0 Mar/27/22 Mar/29/22
Description: AMAT P/N 0010-14571 Assembly Cathode, Emax CT, 200MM, Stock # 903
dcdirecttech Used - $234.95 0 Mar/27/22 Apr/03/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
athomemarket Used - $199.97 0 Mar/29/22 May/27/23
Description: AMAT 0010-03343 WxZ Heater 8" 200mm Assy. w/ AMJ Seasoning P5000 NHT .029 9hrs
pic_insit NEW - $20,000.00 1 Mar/29/22 May/02/22
Description: AMAT P/N 0010-14571 Assembly Cathode, Emax CT, 200MM, Stock # 903
farmoninc Used - $650.00 0 Mar/30/22 May/04/23
Description: AMAT 0200-09072 SHIELD, TAPERED, 200MM, 104228
theswerve0 Used - $1.00 0 Apr/02/22 Apr/02/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
sj_tech Used - $348.90 0 Apr/04/22 Nov/28/22
Description: AMAT ASSY,PNEUMATIC SOLENOID, PUMP ISOLATION VALVE, HTF/EPI 200MM 0010-14437
bridge_tronic_global Used - $491.00 0 Apr/07/22 May/06/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Apr/07/22 May/06/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
autoquip7 NEW - $1,200.00 0 Apr/07/22 Jul/25/22
Description: 0010-36522, APPLIED MATERIALS, ASSY,EDGE RING,TXZ,200MM,SNNF
getspares.com_sparesllc09 Used - $28,579.80 1 Apr/08/22 Jul/12/23
Description: 0010-12282 / HEATER ASSY, NGK HA-12, RF BASE, 200MM P / APPLIED MATERIALS AMAT
avro66 NEW - $1,000.00 0 Apr/13/22 May/12/22
Description: NEW AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
avro66 Used - $750.00 0 Apr/14/22 May/13/22
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
olemanjohnson NEW - $1,800.00 1 Apr/17/22 Oct/17/22
Description: APPLIED MATERIALS AMAT PCB BOARD 8" 200MM PRECLEAN II 0100-25893 New Sealed
dcdirecttech Used - $224.95 0 Apr/18/22 Apr/25/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
katiil3 Used - $599.00 0 Apr/19/22 Aug/13/22
Description: AMAT Applied Materials 0020-32963 200mm ESC Chuck 0040-09961 0040-09957
rtxparts Used - $500.00 0 Apr/19/22 Dec/12/22
Description: 0200-10194, AMAT, Applied Materials, SHIELD, TAPERED, 200MM, P5000
avro66 Used - $750.00 0 Apr/20/22 May/20/22
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
bridge_tronic_global Used - $491.00 0 Apr/20/22 May/17/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
chick666995 Used - $2,000.00 1 Apr/22/22 Jul/21/22
Description: 02-307391-00 | Novellus Speed Dome, Bell Jar, 200mm
dcdirecttech Used - $214.95 0 Apr/25/22 May/02/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
cosplity Used - $1,500.00 0 Apr/26/22 Oct/26/22
Description: AMAT 0020-37639 WAFER LIFT ASSEMBLY RTP XE 200MM
cosplity Used - $2,000.00 0 Apr/26/22 Sep/26/22
Description: AMAT 0021-35008 PLATE, REFLECTOR, 200MM, MOD II
cosplity Used - $500.00 1 Apr/26/22 Oct/20/22
Description: AMAT 0020-47983 ADAPTOR, WHEEL, CROSS, 200MM MIRRA
cosplity Used - $2,900.00 0 Apr/26/22 Feb/26/23
Description: AMAT 0010-08086 ASSY, CONFIGURABLE I/O, 10 IN/ 10 OUT, MIRRA 200MM
cosplity Used - $1,500.00 0 Apr/26/22 Nov/25/22
Description: AMAT 0040-77754 TOP COVER SCRUBBER MESA 200MM
cosplity NEW - $1,100.00 0 Apr/27/22 Mar/02/23
Description: AMAT 0090-01833 MOTOR ASSY W/ ENCODER, SLURRY PUMP 200MM
farmoninc Used - $750.00 0 Apr/27/22 Jan/02/23
Description: 3 AMAT 0200-09994 WXZ 200MM INNER EXCLUSION RING, 105204
cosplity Used - $750.00 0 Apr/27/22 Aug/22/22
Description: AMAT 0010-08114 ASSY, GRIPPER, UPGRADE, 200MM MESA
cosplity Used - $2,000.00 0 Apr/27/22 Feb/27/23
Description: AMAT 0240-06482 KIT, PEEK FINGER, WALKING BEAM, 200MM MESA
jtechsemi Used - $5,000.00 0 Apr/28/22 Apr/03/23
Description: Applied Materials 0040-08376 SUPPORT, CATHODE PEDESTAL, HART 200MM AMAT
cosplity Used - $8,500.00 0 Apr/28/22 Feb/28/23
Description: AMAT 0010-23808 4-ROLLER ASSEMBLY BRUSH MODULE 200MM MESA
farmoninc NEW - $2,650.00 0 Apr/28/22 Jul/06/22
Description: AMAT 0010-09463 SUSCEPTOR ASSY TEOS 200MM, 105237
farmoninc Used - $2,250.00 0 Apr/28/22 Jul/06/22
Description: AMAT 0010-09463 SUSCEPTOR ASSY TEOS 200MM, 105236
cosplity Used - $1,900.00 0 Apr/29/22 May/12/22
Description: AMAT 0010-09978 ASSY LAMP MODULE AU-PLATED 200MM P5000
cosplity Used - $5,500.00 0 Apr/30/22 Jan/30/23
Description: AMAT 0240-07403 KIT, EXTENDED RINSE SLURRY DISPENSE ARM, 200MM
phxinn NEW - $3,200.00 0 May/02/22 Sep/05/24
Description: *NEW* Applied Materials WxZ Heater, 0010-03331 200mm NLT .060 OSCR
getspares.com_sparesllc09 Used - $474.15 1 May/02/22 Feb/23/23
Description: 0200-09608 / SHLD QUARTZ,200MM,SPUTTER ETCH / APPLIED MATERIALS AMAT
dcdirecttech Used - $199.95 0 May/03/22 May/10/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
bridge_tronic_global Used - $491.00 0 May/06/22 Jun/02/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 May/06/22 Jun/02/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
vihu_7208 NEW - $900.00 0 May/09/22 Sep/09/22
Description: AMAT 0200-10073 INSULATOR, QUARTZ, 200MM, SIMPLE CATHODE
vihu_7208 NEW - $900.00 0 May/09/22 Sep/09/22
Description: APPLIED MATERIAL 0200-36696 SHADOW RING QUARTZ 200MM NOTCH
dcdirecttech Used - $184.95 0 May/10/22 May/17/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
avro66 NEW - $985.00 0 May/12/22 Aug/11/22
Description: NEW AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
chick666995 Used - $15,000.00 0 May/13/22 Apr/16/24
Description: 0010-12516 AMAT PVD MCA ESC Heater 200mm
athomemarket Used - $99.97 0 May/14/22 Jul/12/23
Description: AMAT 0010-38328 WxZ Heater 8" 200mm Assy w/ Alpha 5 Season P5000 JLT .029 16.5hr
avro66 Used - $750.00 0 May/16/22 Oct/15/22
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
athomemarket Used - $99.97 0 May/16/22 Jul/14/23
Description: AMAT 0010-38328 WxZ Heater 8" 200mm Assy w/ Alpha 5 Season P5000 JLT .029 7.4hr
bridge_tronic_global Used - $491.00 0 May/17/22 Jun/16/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
farmoninc Used - $3,500.00 0 May/17/22 May/18/22
Description: AMAT 0040-45687, REV. 004, EC52R1 Chamber Misc, LASED, ESC, 200MM, METAL DPS
automotiveapple Used - $14,285.70 0 May/18/22 Jul/15/22
Description: As-Is AMAT 200mm DPS INDEXER REPAIR 0010-13381
automotiveapple NEW - $14,285.70 0 May/18/22 Jul/15/22
Description: NEW AMAT 200mm DPS INDEXER REPAIR 0010-13117
cosplity Used - $3,900.00 0 May/19/22 Sep/19/22
Description: AMAT 0010-77217 SWEEP HEAD W/UNOCAL 200MM MIRRA CMP
cosplity Used - $9,500.00 0 May/20/22 Aug/20/22
Description: AMAT 0010-05828 HEAD ASSY. SE-OXIDE, 200MM TITAN HEAD
avro66 Used - $750.00 0 May/20/22 Aug/19/22
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
phxinn Used - $2,200.00 0 May/20/22 Aug/31/23
Description: Applied Materials AMAT 0040-09957, 0040-09961, 200mm MXP ESC Assy.
athomemarket Used - $199.97 0 May/22/22 Jul/20/23
Description: AMAT 0010-03323 WxZ Heater 8" 200mm Assy w/ Alpha 5 Season P5000 NHT .029 8.1hr
cosplity Used - $850.00 0 May/22/22 Aug/22/22
Description: AMAT 0090-00569 ASSY OUTSOURCE 5 PHASE DRIVER 200MM PROD
cosplity Used - $3,800.00 0 May/23/22 Aug/23/22
Description: AMAT 0010-77463 FLYWHEEL ASSY 200MM 45 DEGRE [USED]
cosplity Used - $7,500.00 0 May/23/22 Aug/23/22
Description: NOVELLUS 02-033134-01 ALTUS PEDESTAL 200MM
athomemarket Used - $199.97 0 May/23/22 Jul/21/23
Description: AMAT 0010-03324 WxZ Heater 8" 200mm Assy. w/ OSCR Seasoning P5000 NLT .029
athomemarket Used - $199.97 0 May/23/22 Jul/21/23
Description: AMAT 0010-03343 WxZ Heater 8" 200mm Assy w/ AMJ Seasoning P5000 NHT .029 17hrs
athomemarket Used - $600.00 0 May/23/22 Dec/19/22
Description: AMAT 0010-03371 WxZ Heater 8" 200mm Assy. w/ Alpha 5 Seasoning P5000 NHT .029
athomemarket Used - $199.97 0 May/23/22 Jul/21/23
Description: AMAT 0010-03343 WxZ Heater 8" 200mm Assy w/ AMJ Seasoning P5000 NHT .029 12.5hr
athomemarket Used - $600.00 0 May/23/22 Dec/19/22
Description: AMAT 0010-03323 WxZ Heater 8" 200mm Assy w/ Alpha 5 Season P5000 NHT .029 9.5hr
athomemarket Used - $600.00 0 May/23/22 Dec/19/22
Description: AMAT 0010-03343 WxZ Heater 8" 200mm Assy. w/ AMJ Seasoning P5000 NHT .029 11hrs
dcdirecttech Used - $174.95 0 May/26/22 Jun/02/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
j316gallery Used - $3,909.50 0 May/31/22 Feb/15/23
Description: 9196 APPLIED MATERIALS 200MM PLASMA CELL ASSY, END PT DET, PROD 0010-17961
bridge_tronic_global Used - $491.00 0 Jun/02/22 Jun/29/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jun/02/22 Jun/29/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
athomemarket Used - $199.97 0 Jun/02/22 Jul/28/23
Description: AMAT 0010-03359 WxZ Heater 8" 200mm Assy. w/ ROR Seasoning P5000 NHT .029
dcdirecttech Used - $164.95 0 Jun/04/22 Jun/11/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
farmoninc Used - $1,000.00 0 Jun/07/22 Dec/19/22
Description: AMAT 0200-00410 Uni-Insert Gas Distribution, 88 Holes, Quartz, 200mm, 106301
usedeqsales Used - $1,006.22 0 Jun/07/22 Feb/29/24
Description: AMAT Applied Materials 0021-06569 200mm Ring Insert Liner Producer Refurbished
farmoninc Used - $9,500.00 0 Jun/09/22 Oct/05/23
Description: AMAT 0010-20524 ENDURA PRE CLEAN RF MATCH 200MM 8 INCH, 106235
farmoninc NEW - $2,600.00 0 Jun/10/22 May/18/23
Description: AMAT 0020-19708 COVER RING, 200MM SIP TA(N), TI, KACHINA, 106666
ladydidog Used - $19.38 0 Jun/13/22 Jun/14/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
j316gallery Used - $13,404.00 0 Jun/15/22 Jan/30/23
Description: 7837 NOVELLUS SPEED DOME 200MM 02-162351-00
bridge_tronic_global Used - $491.00 0 Jun/16/22 Jul/13/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
dcdirecttech Used - $154.95 0 Jun/16/22 Jun/23/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
michelleshellmark Used - $19.38 0 Jun/21/22 Jun/21/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
farmoninc NEW - $1,500.00 0 Jun/22/22 Aug/05/24
Description: AMAT 0021-23330 SHIELD, INNER SIP II 200MM, qms-3-31-04-23, 107186
farmoninc Used - $750.00 0 Jun/22/22 Jul/18/24
Description: AMAT 0200-10073 Insulator Quartz PIPE 200MM, Cathode Applied Ceramics, 107201
hommertechnology Used - $20,000.00 0 Jun/23/22 Dec/22/22
Description: AMAT 0040-20850 Heater Assy 200mm
usedeqsales Used - $3,006.22 0 Jun/23/22 Jul/18/24
Description: Tazmo NSR18 200mm Robot Controller Nikon 4S064-548 NSR-S306C DUV Working Surplus
trendysavers-uk Used - $19.38 0 Jun/25/22 Jun/25/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
dcdirecttech Used - $149.95 0 Jun/25/22 Jul/02/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
bridge_tronic_global Used - $491.00 0 Jun/29/22 Jul/27/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jun/29/22 Jul/27/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
dcdirecttech Used - $139.95 0 Jul/03/22 Jul/10/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
farmoninc NEW - $2,600.00 0 Jul/06/22 Feb/20/23
Description: AMAT 0020-19708 COVER RING, 200MM SIP TA(N), TI, KACHINA, 107870
usedeqsales Used - $6,655.05 0 Jul/06/22 Aug/08/22
Description: AMAT Applied Materials 0010-12553 High Voltage Module 200mm Super E CESC New
dgold32 Used - $199.99 0 Jul/06/22 May/02/23
Description: AMAT 0042-05631 Rev. 02 RETAINING RING 200MM 5 ZONE PROFILTER APPLIED MATERIALS
usedeqsales Used - $1,007.22 0 Jul/08/22 Apr/02/23
Description: AMAT Applied Materials 0020-30407 200mm Shower Head Tungsten Refurbished
usedeqsales Used - $1,007.22 0 Jul/11/22 Apr/02/23
Description: Lam Research 853-130062-008 200mm Wafer Holder Robot End Effector 8" Fork New
dcdirecttech Used - $134.95 0 Jul/11/22 Jul/18/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
bridge_tronic_global Used - $491.00 0 Jul/13/22 Aug/02/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
semixicon Used - $49,999.00 0 Jul/17/22 Nov/17/22
Description: 0010-12814 / HEATER ASSY 200MM DUAL ZONE H17 RIGID TE / APPLIED MATERIALS AMAT
sgcequipment NEW - $180.00 0 Jul/18/22 Feb/23/24
Description: Applied Materials/AMAT 0020-10185 125 150 200MM SUPPORT HOOP
sgcequipment NEW - $50.00 0 Jul/18/22 Mar/06/24
Description: Applied Materials/AMAT 0020-33899 200MM SHIM FLAT TEOS LIFT
sgcequipment NEW - $60.00 0 Jul/19/22 Mar/06/24
Description: Applied Materials/AMAT 0020-33900 SHIM LIP 200MM TEOS LIFT
farmoninc Used - $7,500.00 0 Jul/26/22 Jun/01/23
Description: AMAT 0040-18219 LASED 200MM ESC SNWF W/WTM CVD, 108393
bridge_tronic_global Used - $491.00 0 Jul/27/22 Aug/23/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Jul/27/22 Aug/23/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
dcdirecttech Used - $124.95 0 Jul/27/22 Aug/03/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
farmoninc Used - $1,600.00 0 Jul/28/22 Mar/02/23
Description: AMAT 0020-33538 PLATE, PERF OX 200MM, UNANODIZED, SHOWER HEAD, 108450
farmoninc Used - $1,600.00 0 Jul/28/22 Mar/02/23
Description: AMAT 0020-33538 PLATE, PERF OX 200MM, UNANODIZED, SHOWER HEAD, 108449
farmoninc Used - $1,300.00 0 Jul/28/22 Mar/02/23
Description: AMAT 0200-09559 CLAMP RING CERAMIC COVER 200MM (6 HOLES .128), 108446
bridge_tronic_global Used - $491.00 0 Aug/02/22 Aug/31/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
tigerbaygifts Used - $19.38 0 Aug/02/22 Aug/02/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
time-4-dreamz Used - $19.38 0 Aug/02/22 Aug/03/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
dcdirecttech Used - $114.95 0 Aug/07/22 Aug/14/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
southweststeam1 Used - $19.38 0 Aug/08/22 Aug/08/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
rtxparts Used - $700.00 0 Aug/09/22 Dec/01/22
Description: AMAT 200MM Screwless BB SUSCEPTOR 8” SCREWLESS, AMAT 0010-03171
rtxparts Used - $850.00 0 Aug/09/22 Dec/01/22
Description: Applied Materials 0200-10181 Quartz Collar 200mm
rtxparts Used - $1,500.00 0 Aug/09/22 Dec/15/22
Description: 0040-18219 Applied Materials AMAT LASED, PEDESTAL, 200MM SNNF SML FLT W/WT
rtxparts Used - $300.00 0 Aug/09/22 Dec/01/22
Description: Elo 1525L LCD Monitor 0040-48277 rev 003 Amat 200mm endura/P5000 back monitor.
railhome Used - $19.38 0 Aug/12/22 Aug/12/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
railhome Used - $15.28 0 Aug/12/22 Aug/12/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
katiil3 Used - $999.00 0 Aug/12/22 Sep/15/22
Description: AMAT Applied Materials 0040-61513 200mm PCII Shield SST TWAS 5500
top_formalwear-accessories Used - $19.38 0 Aug/13/22 Aug/13/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
hawkg2 Used - $19.38 0 Aug/14/22 Aug/14/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
farmoninc NEW - $150.00 0 Aug/16/22 Aug/25/22
Description: AMAT 0050-82476 WATER HOSE, CH F RETURN #3, FS#4 OUT, EPI 200MM, 108946
tina_lee NEW - $3,950.00 0 Aug/17/22 Dec/11/22
Description: AMAT 0190-09419 200mm Centura WxZ Heater Driver
adelrick123 Used - $775.00 1 Aug/17/22 Mar/28/24
Description: AMAT 0010-15297 200mm Gas Plug PC2
getspares.com_sparesllc09 Used - $1,798.50 0 Aug/17/22 Mar/02/23
Description: 0040-09956 / SHELL ASSY 200MM FLAT IS 37MIC NI LIFT / APPLIED MATERIALS AMAT
dcdirecttech Used - $109.95 0 Aug/21/22 Aug/28/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
avro66 Used - $750.00 0 Aug/22/22 Sep/21/22
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
amte648608 Used - $450.00 2 Aug/23/22 Mar/26/23
Description: Novellus 15-052619-00, Tong, Ring Lift 200mm
bridge_tronic_global Used - $491.00 0 Aug/23/22 Sep/21/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Aug/23/22 Sep/21/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
sgcequipment NEW - $1,800.00 0 Aug/24/22 Feb/07/24
Description: Applied Materials/AMAT 0200-35692 BLADE QUARTZ 200MM EHP-CR ROBOT
farmoninc NEW - $75.00 0 Aug/24/22 Aug/25/22
Description: AMAT 0200-09071 PIN, WAFER LIFT, 200MM, 109479
usraj-9fiaknj2 Used - $995.00 1 Aug/27/22 Mar/10/24
Description: LAM, Novellus, P/N 02-8116553-00, ASSY, END EFFECTOR 200MM NEW, 16-116427-00
avro66 NEW - $985.00 0 Aug/28/22 Oct/27/22
Description: NEW AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
cosplity Used - $3,800.00 0 Aug/30/22 Oct/11/22
Description: AMAT 0010-03697 SWEEP HEAD ASSEMBLY MIRRA 200MM CMP (SN 5952)
bridge_tronic_global Used - $491.00 0 Sep/01/22 Sep/28/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
dcdirecttech Used - $99.95 0 Sep/01/22 Sep/08/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
sgcequipment Used - $200.00 0 Sep/06/22 Mar/11/24
Description: Applied Materials/AMAT 0040-97542 CLIP FIXED SILICON SPRAY 200mm
ordipackdepannage Used - $19.38 0 Sep/08/22 Sep/09/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
ebon625 Used - $19.38 0 Sep/10/22 Sep/10/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
dcdirecttech Used - $89.95 0 Sep/11/22 Sep/18/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
carolyns_cosmetics Used - $3,718.38 0 Sep/12/22 Sep/12/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
zavattishop Used - $19.38 0 Sep/13/22 Sep/13/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
riccardinocatania Used - $19.38 0 Sep/13/22 Sep/13/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
j316gallery Used - $2,000.00 0 Sep/13/22 Jan/30/23
Description: 29787 APPLIED MATERIALS ASSY, ENP-OTF RECIEVER, 200MM BANK 0010-75581
farmoninc Used - $2,500.00 0 Sep/14/22 Aug/16/24
Description: AMAT 0021-17727 LOWER SHIELD 200MM SIP TA, 109833
farmoninc NEW - $4,950.00 0 Sep/15/22 Jun/20/23
Description: AMAT 0010-93152 Heater Pruge Assy 200MM SNNF TXZ BKM, 109851
sgcequipment NEW - $200.00 0 Sep/15/22 Feb/15/23
Description: Applied Materials/AMAT 0040-97542 CLIP FIXED CLIP SILICON SPRAY 200MM REV BB
bridge_tronic_global Used - $491.00 0 Sep/21/22 Oct/12/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Sep/21/22 Oct/10/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
grandbirdnet NEW - $2,900.00 1 Sep/22/22 Feb/15/23
Description: AMAT 0020-27773 PUMPING PLATE, 200MM W/SKIRT, LPCVD XGFN, NEW
avro66 Used - $750.00 0 Sep/23/22 Oct/22/22
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
goldenagecycles Used - $19.38 0 Sep/25/22 Sep/25/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
cbnumismatique Used - $19.38 0 Sep/25/22 Sep/25/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
riccardinocatania Used - $19.38 0 Sep/27/22 Sep/27/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
buggybusters Used - $192.12 0 Sep/28/22 Jul/20/23
Description: Applied Materials 0041-78449 200MM Pedestal
dcdirecttech Used - $84.95 0 Sep/28/22 Oct/05/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
cosplity Used - $2,500.00 0 Sep/30/22 Jan/30/23
Description: AMAT 0021-23836 PLATE, LEVELING, 200MM HEATER, HP+ TXZ W/ ID-U1025E x4
bridge_tronic_global Used - $491.00 0 Sep/30/22 Oct/27/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
giftwareireland Used - $1.00 0 Oct/01/22 Oct/02/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
usedeqsales Used - $1,210.22 1 Oct/04/22 Apr/19/24
Description: Novellus Systems 15-260779-00 200mm Electrostatic Chuck ESC Pad Working Surplus
usedeqsales Used - $3,010.22 1 Oct/04/22 Oct/05/22
Description: AMAT Applied Materials 0040-20505 200mm Single Zone PVD Heater Block Stop Spare
usedeqsales Used - $3,010.22 1 Oct/04/22 Oct/05/22
Description: AMAT Applied Materials 0040-20505 200mm Single Zone PVD Heater 0040-22035 Spare
desahogostore Used - $19.38 0 Oct/04/22 Oct/04/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
horner_shearing Used - $19.38 0 Oct/04/22 Oct/04/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
j316gallery Used - $450.00 0 Oct/05/22 Dec/11/22
Description: 29957 APPLIED MATERIALS PCB, 200MM SMIF LLK INTERLOCK PCB 0100-00639
farmoninc NEW - $180.00 0 Oct/06/22 Jun/02/23
Description: 2 AMAT 0200-10111 WAFER LIFT, METAL HOOP, 200MM, 110343
dreams-and-jeans Used - $1.00 0 Oct/09/22 Oct/09/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
bridge_tronic_global Used - $491.00 0 Oct/10/22 Oct/11/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
goldenagecycles Used - $19.38 0 Oct/10/22 Oct/10/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
farmoninc Used - $4,500.00 0 Oct/10/22 Jan/27/23
Description: AMAT 0020-32161, PEDESTAL ESC, 200MM, NOTCH, WEB, 110391
usedeqsales Used - $3,010.22 0 Oct/11/22 Jul/05/23
Description: AMAT Applied Materials 0010-76019 200mm Cassette Handler Assembly P5000 Working
farmoninc Used - $22,500.00 0 Oct/11/22 Jan/13/23
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110425
farmoninc Used - $22,500.00 0 Oct/11/22 Jan/13/23
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110425
farmoninc Used - $22,500.00 0 Oct/11/22 Jan/13/23
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110404
farmoninc Used - $22,500.00 0 Oct/11/22 Jan/13/23
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110403
farmoninc Used - $25,000.00 0 Oct/11/22 Jan/13/23
Description: AMAT 0040-18018 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110414
farmoninc Used - $22,500.00 0 Oct/11/22 Jan/13/23
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110413
farmoninc Used - $22,500.00 0 Oct/11/22 Jan/13/23
Description: AMAT 0040-18024 E-Chuck, 200mm, MKA, SNNF, CVD Ceramic Coated, 110412
farmoninc Used - $250.00 0 Oct/11/22 Aug/31/23
Description: AMAT 0020-22918 Side Receiver 200MM Cassette, Bracket, 110461
bridge_tronic_global Used - $491.00 0 Oct/11/22 Nov/08/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
usedeqsales Used - $3,810.22 1 Oct/12/22 Sep/11/23
Description: AMAT Applied Materials 0020-32163 200mm ESC Pedestal 0020-32161 Working Surplus
bridge_tronic_global Used - $491.00 0 Oct/12/22 Nov/08/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
farmoninc Used - $2,000.00 0 Oct/12/22 Dec/22/22
Description: AMAT 0020-31658, CLAMP, RING, VESPEL, OX_MLR_NIT, 200MM P5000, 110569
farmoninc Used - $2,000.00 0 Oct/12/22 Dec/22/22
Description: AMAT 0020-31658, CLAMP, RING, VESPEL, OX_MLR_NIT, 200MM P5000, 110568
farmoninc Used - $495.00 0 Oct/13/22 Dec/22/22
Description: AMAT 0020-30407 Perf Plate, 200mm, BW, Showerhead, 110645
farmoninc Used - $2,950.00 0 Oct/13/22 Jan/27/23
Description: AMAT 0020-32161, PEDESTAL ESC, 200MM, NOTCH, WEB, AMAT 0010-10202, REV B, 110624
avro66 Used - $750.00 0 Oct/17/22 Nov/16/22
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
avro66 Used - $750.00 0 Oct/23/22 Nov/22/22
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
recortitos Used - $2.57 0 Oct/23/22 Oct/24/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
bridge_tronic_global Used - $491.00 0 Oct/27/22 Nov/22/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
avro66 NEW - $985.00 0 Oct/27/22 Nov/27/22
Description: NEW AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
dcdirecttech Used - $74.95 1 Oct/29/22 Oct/31/22
Description: New Applied Materials (AMAT) 0020-32936 Rev. A EDGE RING, TXZ, 200MM, JMF
napoleon155 Used - $2.57 0 Oct/29/22 Oct/29/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
farmoninc NEW - $250.00 0 Nov/01/22 Mar/02/23
Description: AMAT 0020-32166 Cover Protection 200MM ESC, REV A, 110972
farmoninc Used - $280.00 1 Nov/03/22 Feb/16/23
Description: 0200-09090 RING GRAPHITE SPUTTER ETCH 200MM, 111139
farmoninc NEW - $2,500.00 0 Nov/03/22 May/18/23
Description: AMAT 0021-17727 LOWER SHIELD 200MM SIP TA, 111171
farmoninc NEW - $2,500.00 1 Nov/03/22 May/28/24
Description: AMAT 0021-17727 LOWER SHIELD 200MM SIP TA, 111170
bridge_tronic_global Used - $491.00 0 Nov/08/22 Dec/05/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
farmoninc NEW - $2,150.00 0 Nov/08/22 Jun/15/23
Description: AMAT 0200-09574 HOOP, REV 1 CERAMIC, 200MM, 06-15004-00, 111234
bridge_tronic_global Used - $491.00 0 Nov/08/22 Dec/06/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
farmoninc NEW - $2,500.00 0 Nov/09/22 Feb/20/23
Description: AMAT 0021-17727 LOWER SHIELD 200MM SIP TA, 111326
gteprimo Used - $48,971.50 0 Nov/10/22 Dec/08/22
Description: AMAT Applied MOTOR VHP 0040-76197 NDM-510-1011 200mm 300mm NSK SSB014FN517
farmoninc Used - $1,900.00 0 Nov/15/22 Mar/08/24
Description: AMAT 0190-20081 TARGET MONOLITH 200MM, 111473
semixicon Used - $32,000.00 0 Nov/16/22 Dec/24/22
Description: AMAT 200mm Ceramic Heater 0010-02342
semixicon Used - $37,500.00 0 Nov/17/22 May/10/23
Description: AMAT 0010-03244 ASSY, HEATER, SNNF 200MM HPTXZ IMP. UNIF
europlantsvivai Used - $1.00 0 Nov/19/22 Nov/19/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
avro66 Used - $750.00 0 Nov/21/22 Mar/20/23
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
bridge_tronic_global Used - $491.00 0 Nov/22/22 Dec/14/22
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
farmoninc Used - $1,750.00 0 Nov/22/22 Aug/31/23
Description: AMAT 0200-09574 200MM CERAMIC HOOP REV 1, 111675
avro66 Used - $750.00 0 Nov/24/22 Feb/23/23
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
albelectric NEW - $993.88 0 Nov/25/22 Aug/31/23
Description: AMAT Applied Materials 0200-09787 Focusing Ring 8” 200mm ID X 1.38 HIGH, LIF NEW
avro66 NEW - $985.00 0 Nov/28/22 Dec/27/22
Description: NEW AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
kollexion Used - $1.00 0 Nov/28/22 Nov/28/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
voltapaginanet Used - $5.61 0 Nov/29/22 Nov/30/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
rtxparts Used - $850.00 0 Dec/02/22 Mar/14/23
Description: Applied Materials 0200-10181 Quartz Collar 200mm
rtxparts Used - $700.00 0 Dec/02/22 Mar/14/23
Description: AMAT 200MM Screwless BB SUSCEPTOR 8” SCREWLESS, AMAT 0010-03171
rtxparts Used - $300.00 0 Dec/02/22 Mar/14/23
Description: Elo 1525L LCD Monitor 0040-48277 rev 003 Amat 200mm endura/P5000 back monitor.
079gabriel2005 Used - $2.57 0 Dec/05/22 Dec/05/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
bridge_tronic_global Used - $491.00 0 Dec/05/22 Jan/03/23
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
bridge_tronic_global Used - $491.00 0 Dec/06/22 Jan/04/23
Description: Applied Materials 200mm Lower Cross Cover w/ Wafer Loss Sensor, PCB, 0020-79378
amats-sparescom NEW - $1,975.00 0 Dec/06/22 Dec/09/22
Description: Applied Materials 0010-09919 PEDESTAL ASSY,OX/MLR/NIT 200MM  AMAT MXP ETCH
sx-space Used - $2,125.88 0 Dec/09/22 Apr/21/23
Description: 1PCS AMAT 0190-35388 200MM 100% tested by DHL or FedEx
rtxparts Used - $500.00 0 Dec/12/22 Mar/14/23
Description: 0200-10194, AMAT, Applied Materials, SHIELD, TAPERED, 200MM, P5000
getspares.com_sparesllc09 Used - $1,347.00 1 Dec/14/22 Apr/17/24
Description: 0020-31503 / CHUCK,200MM,ASP / APPLIED MATERIALS AMAT
rtxparts Used - $1,500.00 0 Dec/15/22 Mar/14/23
Description: 0040-18219 Applied Materials AMAT LASED, PEDESTAL, 200MM SNNF SML FLT W/WT
usedeqsales Used - $3,512.22 0 Dec/15/22 Dec/15/22
Description: AMAT Applied Materials 0040-22035 200mm Single Zone PVD Heater Working Surplus
giftwearonline Used - $2.57 0 Dec/18/22 Dec/18/22
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
giftwearonline Used - $20.92 0 Dec/18/22 Dec/18/22
Description: Original AMAT 200mm Ceramic Heater 0010-02342
usedeqsales Used - $1,312.22 1 Dec/19/22 Nov/07/23
Description: AMAT Applied Materials 0040-09098 200mm Wafer Blade End Effector w/Arm Working
semixicon Used - $32,000.00 0 Dec/21/22 Nov/29/23
Description: Original AMAT 200mm Ceramic Heater 0010-02342
farmoninc Used - $1,700.00 0 Dec/27/22 Aug/22/24
Description: AMAT 0021-17718 SHIELD, INNER, SIP TNT 200MM, 112609
farmoninc Used - $4,500.00 0 Dec/29/22 May/18/23
Description: AMAT 0040-36180 Heater Chuck, 200mm, TXZ, 112723
farmoninc Used - $4,500.00 0 Dec/29/22 May/18/23
Description: AMAT 0040-36180 Heater Chuck, 200mm, TXZ, 112722
farmoninc Used - $1,250.00 0 Dec/29/22 Aug/28/24
Description: AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA, 112717
avro66 NEW - $985.00 0 Dec/31/22 Feb/27/23
Description: NEW AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
j316gallery Used - $919.20 0 Jan/05/23 May/15/23
Description: 25786 APPLIED MATERIALS PCB 200MM SMIF LLK INTERLOCK (REFURBISHED) 0100-00639
j316gallery Used - $1,392.14 0 Jan/05/23 Feb/02/23
Description: 15557 APPLIED MATERIALS COVER RING, 200MM, BESC, TITANIUM 0021-18436
onestopbuys Used - $20.92 0 Jan/12/23 Jan/12/23
Description: Original AMAT 200mm Ceramic Heater 0010-02342
mppatrick Used - $2.57 0 Jan/14/23 Jan/14/23
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
usedeqsales Used - $5,250.86 1 Jan/24/23 Mar/08/23
Description: AMAT Applied Materials 0010-20481 200mm Wafer Cassette Handler 0020-22737 Spare
usedeqsales Used - $3,502.23 3 Feb/03/23 Feb/04/23
Description: AMAT Applied Materials 0040-22035 200mm Single Zone PVD Heater Working Surplus
techequipsales Used - $750.00 1 Feb/06/23 Sep/18/23
Description: AMAT Applied Materials 0240-04963 KIT PILLOW BLOCK SIP - TA 200MM *new surplus
jtechsemi Used - $800.00 0 Feb/10/23 Apr/03/23
Description: Applied Materials 0021-18436 COVER RING, 200MM, BESC, TITANIUM AMAT
jtechsemi NEW - $1,450.00 0 Feb/10/23 Apr/03/23
Description: Applied Materials 0200-01955 DEP RING, 200MM SIP TA/TAN, SNNF ESC, IN AMAT
cosplity NEW - $15,000.00 0 Feb/11/23 May/11/23
Description: AMAT 0010-15669 ASSY, CERAMIC ESC, 200MM JMF, POLY DPS
usedeqsales Used - $2,503.22 1 Feb/20/23 Feb/21/23
Description: AMAT Applied Materials 0010-01926 200mm Heater Assy Hi Temp BESC JMF Untested
getspares.com_sparesllc09 Used - $1,500.00 0 Feb/21/23 Jan/10/24
Description: 0010-04542 / ASSY, 200MM FC WXZPLUS OSCR 0040-04542 / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $5,035.80 1 Feb/21/23 Jun/07/23
Description: 0200-00516 / EDGE RING,200MM NOTCH WAFER,POLY COATED / APPLIED MATERIAL AMAT
sgcequipment Used - $800.00 0 Feb/21/23 Dec/07/23
Description: Applied Materials/AMAT Plate Perf Oxide 200mm PN: 0020-10117
sgcequipment Used - $300.00 1 Feb/21/23 Nov/13/23
Description: Applied Materials/AMAT Cover Vespez 200mm PN: 0020-32237
avro66 Used - $750.00 0 Feb/23/23 Mar/22/23
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
getspares.com_sparesllc09 Used - $2,975.67 0 Feb/23/23 Jun/27/24
Description: 0200-36697 / TO RING, SILICON, 200MM, OXIDE /APPLIED MATERIALD AMAT
eleganthairextensions Used - $2.57 0 Feb/26/23 Feb/26/23
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
avoneverywhere Used - $20.92 0 Feb/26/23 Feb/27/23
Description: Original AMAT 200mm Ceramic Heater 0010-02342
farmoninc Used - $1,450.00 0 Feb/27/23 Mar/02/23
Description: AMAT 0020-31658, CLAMP, RING, VESPEL, OX_MLR_NIT, 200MM P5000, 114759
senior-inc Used - $20,000.00 0 Feb/28/23 Mar/12/23
Description: AMAT 0200-20556 CYLINDER WAFER SUPPORT BLACK QUARTZ 200MM RTP, 3EA/LOT
ledamoiseau Used - $2.57 0 Mar/05/23 Mar/06/23
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
ledamoiseau Used - $20.92 0 Mar/05/23 Mar/05/23
Description: Original AMAT 200mm Ceramic Heater 0010-02342
gesemiconductor Used - $2,650.00 1 Mar/09/23 Mar/15/23
Description: Applied Materials 0040-02538 Shaft Aluminum Consolidated Heater 200MM
gesemiconductor Used - $625.00 3 Mar/09/23 Jun/01/23
Description: Applied Materials 0200-18109 Collar Ring 200mm Ceramic
lokinb Used - $1,150.00 0 Mar/11/23 Apr/27/23
Description: Novellus Heater Chuck 16-156335-00 200mm Assy PVD Chamber
papyrus10 Used - $2.57 0 Mar/12/23 Mar/13/23
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
papyrus10 Used - $20.92 0 Mar/12/23 Mar/13/23
Description: Original AMAT 200mm Ceramic Heater 0010-02342
man7183 Used - $2.57 0 Mar/12/23 Mar/13/23
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
j316gallery Used - $8,999.00 0 Mar/14/23 Jul/27/23
Description: 5140 APPLIED MATERIALS 8" PRECLEAN II 200MM PVD RF MATCH W/O HANDLE 0010-20524
rtxparts Used - $800.00 0 Mar/15/23 Oct/09/23
Description: Applied Materials Quartz Collar 200mm AMAT 0200-10181
rtxparts Used - $500.00 0 Mar/15/23 Jun/22/23
Description: Applied Materials, SHIELD, TAPERED, 200MM, P5000 AMAT 0200-10194
rtxparts Used - $1,500.00 0 Mar/15/23 Jun/22/23
Description: Applied Materials LASED, PEDESTAL, 200MM SNNF SML FLT W/WT AMAT 0040-18219
rtxparts Used - $700.00 0 Mar/15/23 Jun/22/23
Description: AMAT 200MM Screwless BB SUSCEPTOR 8” SCREWLESS, AMAT 0010-03171
rtxparts Used - $300.00 0 Mar/15/23 Oct/09/23
Description: Applied Materials LCD Monitor 0040-48277 Amat 200mm endura/P5000 back monitor.
punki64 Used - $2.57 0 Mar/20/23 Mar/20/23
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
farmoninc Used - $22,500.00 0 Mar/22/23 Jun/14/23
Description: AMAT 0010-77157 MIRRA HCLU 200MM LOADCUP ASSY, 104440
jtechsemi Used - $35,000.00 0 Mar/23/23 Apr/03/23
Description: Applied Materials 0010-09878 ASSY, 200MM DPS CATHODE AMAT
usedeqsales Used - $2,803.23 1 Mar/24/23 Apr/11/24
Description: Lam Research 839-460152-006 200mm Lower Electrode STP Chamber 150mm Working
ghis6969 Used - $2.57 0 Mar/25/23 Mar/26/23
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
en.avant.les.histoires Used - $19,200.00 0 Mar/25/23 Mar/25/23
Description: Original AMAT 200mm Ceramic Heater 0010-02342
maxisemi1349 Used - $875.00 3 Mar/30/23 Jun/02/23
Description: 0021-25079 RING, CENTERING, TEOS 200MM PRODUCER
cosplity Used - $8,500.00 0 Apr/02/23 Jun/01/23
Description: AMAT 0010-23808 4-ROLLER ASSEMBLY BRUSH MODULE 200MM MESA
cosplity Used - $2,000.00 0 Apr/02/23 Jun/01/23
Description: AMAT 0240-06482 KIT, PEEK FINGER, WALKING BEAM, 200MM MESA
bo19531 Used - $1.21 0 Apr/02/23 Apr/03/23
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
bo19531 Used - $1.16 0 Apr/02/23 Apr/03/23
Description: Original AMAT 200mm Ceramic Heater 0010-02342
farmoninc Used - $300.00 0 Apr/10/23 Jun/15/23
Description: AMAT 0040-61189 OBS BRACKET MOUTING CHAMBER DPA PRODUCER 200MM, 104852
gesemiconductor Used - $1,000.00 0 Apr/11/23 Feb/02/24
Description: Applied Materials 0010-92132 Cassette Loader 200mm
jtechsemi Used - $27,000.00 0 Apr/14/23 Aug/08/24
Description: Applied Materials 0010-14875 MAGNET ASSEMBLY, 200MM BUTTERFLY-1.0 AMAT
farmoninc NEW - $2,500.00 0 Apr/14/23 May/11/23
Description: AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 115147
katiil3 Used - $1,499.00 1 Apr/18/23 Jan/01/24
Description: Applied Materials (AMAT) 0040-38659 LID, QUARTZ WINDOW, PRODUCER 200mm
semikorea Used - $300.00 0 Apr/20/23 May/25/23
Description: INSERT RING,SILICON,200MM NOTCH, SI/QTZ O 0200-00639
semikorea Used - $1,000.00 0 Apr/20/23 May/25/23
Description: TOP RING, SILICON, 200MM, OXIDE 0200-36697
cosplity Used - $2,500.00 0 Apr/25/23 Aug/24/23
Description: AMAT 0021-23836 PLATE, LEVELING, 200MM HEATER, HP+ TXZ W/ ID-U1025E x4
avro66 Used - $495.00 0 Apr/25/23 May/24/23
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
rtxparts Used - $950.00 0 Apr/26/23 Oct/09/23
Description: Applied Materials Teos Susceptor 200MM Ace Co. AMAT 0200-10677
avro66 NEW - $825.00 0 Apr/29/23 May/29/23
Description: NEW AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
farmoninc Used - $950.00 0 May/04/23 May/18/23
Description: AMAT 0010-10528 Pedestal Assy, 200mm, NOTC H, IS, NI, LIFT3, ESC Chuck, 115840
usedeqsales Used - $605.23 1 May/09/23 May/10/23
Description: AMAT Applied Materials 0020-21221 Wafer Hoop 200mm Reseller Lot of 7 Working
avro66 Used - $750.00 0 May/09/23 Jun/22/23
Description: AMAT 0200-10073 Insulator Quartz, 200MM, Simple Cathode Applied Materials
farmoninc Used - $650.00 0 May/10/23 Jan/09/24
Description: AMAT 3250-01020 Wafer Carrier 200MM, Teflon Wafer cassette, Wet Etch 115922
farmoninc NEW - $12,500.00 0 May/15/23 Aug/28/23
Description: AMAT 0227-59449 200MM VECTRA IMP TI WI LTBESC, NSO, 0010-01929 RF Match, 117252
farmoninc Used - $1,000.00 0 May/16/23 Aug/31/23
Description: AMAT 0020-30628 RING, CLMP, VESPEL, POLY/WSI, 200MM, 117303
farmoninc NEW - $950.00 0 May/18/23 Aug/13/23
Description: AMAT 0200-01239 INSERT RING, SILICON, 200MM, 117526
farmoninc Used - $18,000.00 0 May/24/23 Jun/14/23
Description: AMAT 0010-77157 Mirra HCLU Loadcup Assy, 200mm, 233553056, 118066
farmoninc Used - $650.00 0 May/25/23 Jun/15/23
Description: AMAT 0020-31147 Insert, Base, Alum, ISO, 200mm, Etch Chamber Cathode, 118177
athomemarket Used - $199.97 0 May/27/23 Jul/28/23
Description: AMAT 0010-03343 WxZ Heater 8" 200mm Assy. w/ AMJ Seasoning P5000 NHT .029 9hrs
ssarah712 Used - $2.57 0 May/28/23 May/28/23
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
ssarah712 Used - $20.92 0 May/28/23 May/29/23
Description: Original AMAT 200mm Ceramic Heater 0010-02342
farmoninc NEW - $90.00 0 Jun/02/23 Sep/05/24
Description: AMAT 0200-10111 WAFER LIFT, METAL HOOP, 200MM, 118776
farmoninc NEW - $850.00 0 Jun/02/23 Aug/28/24
Description: AMAT 0010-36522 ASSY,EDGE RING,TXZ,200MM,SNNF, 118801
generalbonaparte Used - $2.57 0 Jun/04/23 Jun/04/23
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
generalbonaparte Used - $11.70 0 Jun/04/23 Jun/04/23
Description: Original AMAT 200mm Ceramic Heater 0010-02342
hhulo Used - $2.57 0 Jun/11/23 Jun/11/23
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
getspares.com_sparesllc09 Used - $5,035.80 0 Jun/12/23 Dec/12/23
Description: 0200-00516 / EDGE RING,200MM NOTCH WAFER,POLY COATED, / APPLIED MATERIALS
j316gallery Used - $550.00 0 Jun/13/23 Oct/10/23
Description: 29957 APPLIED MATERIALS PCB, 200MM SMIF LLK INTERLOCK PCB 0100-00639
senior-inc Used - $2,500.00 1 Jun/16/23 Jun/15/23
Description: AMAT 0200-02866 RING, SINGLE, LOW PROFILE, 200MM SNNF
farmoninc Used - $1,250.00 1 Jun/19/23 Apr/17/24
Description: AMAT 0020-31503 Chuck, 200mm, ASP ASIS, 119632
rtxparts Used - $500.00 0 Jun/22/23 Oct/09/23
Description: Applied Materials, SHIELD, TAPERED, 200MM, P5000 AMAT 0200-10194
rtxparts Used - $1,450.00 0 Jun/22/23 Oct/09/23
Description: Applied Materials LASED, PEDESTAL, 200MM SNNF SML FLT W/WT AMAT 0040-18219
rtxparts Used - $700.00 0 Jun/22/23 Oct/09/23
Description: Applied Materials 200MM Screwless BB SUSCEPTOR 8” SCREWLESS, AMAT 0010-03171
farmoninc NEW - $1,600.00 0 Jun/22/23 Aug/09/24
Description: AMAT 0021-17725 , SHUTTER DISK, SNNF ESC, 200MM SIP TA(N), KACHINA, 120086
outletmp3 Used - $2.57 0 Jun/24/23 Jun/24/23
Description: AMAT Applied Materials 0040-39619 200mm Centura Slit Valve Door Clamp Lid New
outletmp3 Used - $20.92 0 Jun/24/23 Jun/24/23
Description: Original AMAT 200mm Ceramic Heater 0010-02342
usedeqsales Used - $3,010.22 0 Jul/05/23 Jul/20/23
Description: AMAT Applied Materials 0010-76019 200mm Cassette Handler Assembly P5000 Working