[click to login]
WSEMI


TAGS > line

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
ecomicron
[view on eBay]
NEW 1
in stock
$2,500.00
Description: OUTSOURCED, ASSY, PLASMA CELL, IN LINE EPD DETECTOR, PRODUCER, 0010-06285
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: NEW AMAT 0150-10227 Cable, vacuum line heater chamber D
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0040-08389 Support gas line
mayraytan
[view on eBay]
Used 2
in stock
$525.00
Description: Applied Materials AMAT Gas Line, 0050-40520
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 AMAT 0020-35084 Screen Roughing Line Transfer Chamber, 323610
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0050-07851 line N2 carry raceway CH.D Tantalum
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0050-76997 VENT LINE LOWER, CHAMBER D, CAJON ONLY
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 3620-01188 PUMP FTG UNION CRYO HELIUM LINE FEM/FEM
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0050-09713 GAS LINE B-2 CVD CHAMBER
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0050-39928 Gas Line, Spool, M/F 4.42" STD
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-00091 Elbow Modified Process Rough Line 327061
farmoninc
[view on eBay]
NEW 1
in stock
$165.00
Description: NEW AMAT 0010-05495 Hose Assy, Astron Supply, Line #1
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: 2 AMAT 0040-20342 Rough Line, Centura Etch Chamber, Foreline KF25 Vacuum Line
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0190-11964 Gasline, Heated Delivery Line #2, 200MM T
farmoninc
[view on eBay]
NEW 3
in stock
$75.00
Description: NEW AMAT 0021-36699 CLAMP SIDE FEED 1/4 LINE RTP
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: NEW AMAT 0021-15196 Water line cover swll 300mm endura
farmoninc
[view on eBay]
NEW 2
in stock
$350.00
Description: AMAT 0090-01013 Cable Heater, DPS 300MM CH. B G/P Line #4
farmoninc
[view on eBay]
NEW 1
in stock
$110.00
Description: AMAT 0020-22939 bracket, gage source water line
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0050-20499 Gas Line CH C MFC EXT P/C 7RA FINISH
farmoninc
[view on eBay]
NEW 2
in stock
$300.00
Description: AMAT 0050-41449 Gas Line, AR, 2ND Final, Gas Panel, W
farmoninc
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0150-10259 Cable, Line Heater, Extension, CH C 401579
usedeqsales
[view on eBay]
Used 1
in stock
$157.15
Description: AMAT Applied Materials 0050-75257 Chamber to Cryo Vacuum Line Used Working
auctionrus
[view on eBay]
NEW 1
in stock
$650.00
Description: LAM 839-009888-003 ASSY, WLDMT, 2300 MWV STPR SPI. Weldment, Line, Pipe 407372
auctionrus
[view on eBay]
NEW 1
in stock
$495.00
Description: LAM 839-014705-001 Gas Line, Veriflo 944AOPLPNC4569, 409227
auctionrus
[view on eBay]
NEW 1
in stock
$245.00
Description: AMAT 0050-43751 Gas Line Overpres. CH A RAD TPCC, 409312
auctionrus
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0195-00219 Gas Line, Heater Pallet, POS3, PDCR SE M, 411331
auctionrus
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0010-01004 Line Gas Feed Assembly, 411328
auctionrus
[view on eBay]
NEW 1
in stock
$100.00
Description: 9 AMAT 0020-01003 Gas Distribution, Line Adapter 413285
f2d-express
[view on eBay]
Used 1
in stock
$30.00
Description: Applied Materials Vent Valve Line Top NARR -- 0050-76035 -- New
auctionrus
[view on eBay]
Used 2
in stock
$250.00
Description: AMAT 0150-03578 Cable Assy, CH A TEOS Line MI, 413457
f2d-express
[view on eBay]
Used 1
in stock
$85.00
Description: Applied Materials Gas Line 200mm -- 0050-28553 -- Used
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0140-04449, Cable, Harness Assembly, Gas Line 1/2 ATM Press - SW. 414027
auctionrus
[view on eBay]
NEW 2
in stock
$75.00
Description: AMAT 0040-07275 Adapter, Cooling Line, Shield, 200MM PRE 414059
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0040-07275 Adapter, Cooling Line, Shield, 200MM PRE 414062
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0140-04449 Harness Assembly, Gas Line 1/2 ATM Press SW 414154
auctionrus
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0010-20242 Hot Nitrogen Exhaust Line Assembly 414580
vizko2017
[view on eBay]
NEW 1
in stock
$45.00
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
ecomicron
[view on eBay]
NEW 1
in stock
$400.00
Description: 0050-76592, AMAT, EXHAUSTE LINE
ecomicron
[view on eBay]
NEW 1
in stock
$700.00
Description: 0242-35278, AMAT, KIT, BOTTOM FEED ODD SLD SINGLE LINE
gigabitpartsolutions
[view on eBay]
NEW 3
in stock
$71.50
Description: OEM Part (AMAT) 0150-10225 VACUUM LINE HEATER CH B.
ecomicron
[view on eBay]
NEW 1
in stock
$450.00
Description: 0050-63022, AMAT, GAS LINE, INLET VALVES TO CHAMBER, AXIOM
smartelektronikgmbh
[view on eBay]
Used 1
in stock
$125.00
Description: AMAT 0150-76849 // CABLE, CHB, VACUUM LINE HEATER ASSY
j316gallery
[view on eBay]
NEW 1
in stock
$210.45
Description: 5048 APPLIED MATERIALS GAS LINE ADAPTER, VCM PMD PRODUCER SE (NEW) 0050-62048
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0050-36475 Line, Main Overpressure, 415674
ecomicron
[view on eBay]
Used 2
in stock
$200.00
Description: 0050-00030, AMAT, GAS LINE, WELDMENT
ecomicron
[view on eBay]
NEW 4
in stock
$400.00
Description: 0150-02394, AMAT, CABLE ASSY, SENSOR LIQ IN LINE, 300MM TX
spsglobal
[view on eBay]
NEW 3
in stock
$30.00
Description: 346-0102// AMAT APPLIED 0020-76423 CLAMP, VACUUM LINE, CENTURA CHAMBER NEW
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0021-20219 Rev.001, Buss Bar Line Phase -CK- Frame CB, Main AC. 416242
farmoninc
[view on eBay]
NEW 3
in stock
$235.00
Description: AMAT 0020-28352 Bracket Croy Line Modified, 418214
spsglobal
[view on eBay]
Used 2
in stock
$30.00
Description: 347-0402// AMAT APPLIED 0020-76569 CLAMP, BASE FRNT WATER LINE FAC I/F CENT NEW
farmoninc
[view on eBay]
NEW 1
in stock
$525.00
Description: AMAT 0050-76080 Line, Exhaust Channel C&D Lower 316L SST, 418389
farmoninc
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0040-76370 Tube, Line, Right, 418424
farmoninc
[view on eBay]
NEW 1
in stock
$525.00
Description: AMAT 0050-76080 Rev.A, Line, Exhaust Chamber. C&D Lower 3. 418427
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0010-20242, Hot N2 Exhaust Line Assembly. 418610
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0050-76094 Rev.A, 021, 1028, 17393201, Equalizaton Line. 418662
farmoninc
[view on eBay]
Used 9
in stock
$250.00
Description: Swagelok 6LV-DAFR4-P-BL Valve Manual 3870-02241 gas line shutoff 1/4 VCR 419169
spsglobal
[view on eBay]
Used 2
in stock
$30.00
Description: 347-0403// AMAT APPLIED 0020-76572 CLAMP, PLT REAR WATER LINE FAC I/F CENTU NEW
farmoninc
[view on eBay]
Used 1
in stock
$375.00
Description: AMAT 3400-01108 Hose 10' Flex Line HE Cryo 3/4" DIA FEM, CTI 8081608, 419585
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: LAM 839-019631-001, WLDMT, SRC Gas Line 2800 MW ST. 419682
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: 5 AMAT 0020-22201 Clamp Gas Line 2 Line, 420671
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$38.50
Description: Thermo Couple (TC) Ca HTD Line (LAM) 853-035784-003
farmoninc
[view on eBay]
Used 1
in stock
$125.00
Description: AMAT 0020-21035 Bracket Source Water line, 420809
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0190-14940 Flexible Water Line Hose, Supply Heat Exchanger, 423402
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0190-14940 Flexible Water Line Hose, Supply Heat Exchanger, 423401
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0190-14940 Flexible Water Line Hose, Supply Heat Exchanger, 423400
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0190-14940 Flexible Water Line Hose, Supply Heat Exchanger, 423399
testeqe
[view on eBay]
Used 1
in stock
$399.99
Description: NEW AMAT PN: 0242-48339 Heater/Insulation Jacket Line Kit
testeqe
[view on eBay]
NEW 2
in stock
$299.99
Description: NEW AMAT PN: 0195-00041 110C Zone 2 Heated Gas Line Top Level Assembly
testeqe
[view on eBay]
NEW 2
in stock
$399.99
Description: NEW AMAT PN: 0195-00042 110C Zone 4 Heater Top Level Assy, Producer SE Line
testeqe
[view on eBay]
NEW 2
in stock
$149.99
Description: NEW Lam Research PN: 857-441568-101 SP PC,RGLTR/XCR/V,10 RA Weldment Line Kit
testeqe
[view on eBay]
NEW 1
in stock
$199.99
Description: NEW Lam Research PN: 853-035882-002 Heated Line Elbow Fitting Assy, CA, ELB FTG
spsglobal
[view on eBay]
Used 1
in stock
$140.00
Description: 342-0101// AMAT APPLIED 0040-04211 BRACKET, GAS LINE MTG BLOCK, D NEW
spsglobal
[view on eBay]
Used 1
in stock
$170.00
Description: 342-0101// AMAT APPLIED 0040-00217 TUBE WELDMENT PURGE LINE UPPER NEW
spsglobal
[view on eBay]
Used 4
in stock
$250.00
Description: 144-0401// AMAT APPLIED 3400-01166 HE LINE USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 144-0401// AMAT APPLIED 3400-01069 HOSE 10'FLEX LINE HELIUM SS 1/2 DIA CRY USED
j316gallery
[view on eBay]
NEW 1
in stock
$222.50
Description: 10235 APPLIED MATERIALS HARNESS ASSY T/C LINE HTR JACKET CHC P NEW 0150-05726
j316gallery
[view on eBay]
NEW 1
in stock
$593.30
Description: 967 APPLIED MATERIALS GAS LINE,BPSG,200MM PRODUCER SPL (NEW) 0050-83718
j316gallery
[view on eBay]
NEW 1
in stock
$320.26
Description: 3240 APPLIED MATERIALS HARNESS ASSY, T/C, LINE HTR JACKET,CHB P (NEW) 0150-05725
midwestsemi
[view on eBay]
Used 1
in stock
$342.70
Description: NEW 0051-09149 AR GAS LINE W/VALVES KD4CS-WC-2806 FRONIER NGGP SHIPSAMEDAY
dr.dantom
[view on eBay]
Used 1
in stock
$200.00
Description: Applied Materials / AMAT 0242-24503 KIT POS. D DEDICATED ROUGH LINE (P4)
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: Watlow ES2951 Gas Line Heater Jacket, Novellus 34-261375-00, 407689
spsglobal
[view on eBay]
Used 2
in stock
$600.00
Description: 341-0403// AMAT APPLIED 0020-29294 PANEL, FLEX LINE BRACKET USED
j316gallery
[view on eBay]
NEW 1
in stock
$394.41
Description: 4970 LAM RESEARCH GAS LINE (NEW) 839-057386-003
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0150-05729 Harness Assy, OT Interlock, Line Heater Jacket, 424767
spsglobal
[view on eBay]
Used 3
in stock
$300.00
Description: 343-0102// AMAT APPLIED 0040-40379 LINE,WATER COLLING,IWC NEW
spsglobal
[view on eBay]
Used 6
in stock
$180.00
Description: 144-0401// AMAT APPLIED 3400-01076 CTI 8039348 HOSE FLEX LINE W/ELBOW HE USED
j316gallery
[view on eBay]
Used 3
in stock
$298.36
Description: 11992 APPLIED MATERIALS STAINLESS STEEL, ROUGH LINE, 300MM 0040-80399
j316gallery
[view on eBay]
NEW 1
in stock
$490.28
Description: 11996 APPLIED MATERIALS LINE BOT. EXHST. CH B O2 SENSOR/RPP,RTP (NEW) 0040-38508
j316gallery
[view on eBay]
NEW 2
in stock
$424.61
Description: 11995 APPLIED MATERIALS LINE, EXHAUST, CHAMBER, CENTURA (NEW) 0050-36463
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 142-0302// AMAT APPLIED 0010-39005 HOSE ASSY, APPLICATOR WATER LINE ASP+ NEW
usedeqsales
[view on eBay]
Used 2
in stock
$511.18
Description: AMAT Applied Materials 0050-14645 Supply Line WELDMENT TEOS CH A B New Surplus
dr.dantom
[view on eBay]
Used 1
in stock
$185.00
Description: PALL GLFPF6101VXM4AM in LINE GAS FILTER, AMAT 4020-01061, NOS
bornalliancecom
[view on eBay]
Used 1
in stock
$995.00
Description: Applied Materials 0050-30918 Gas Line, Position A/D, R2 5000 AMAT Etch
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 156-0202// AMAT APPLIED 1410-00016 APM215014 HTR JKT O2 LINE USED
spsglobal
[view on eBay]
Used 4
in stock
$1,300.00
Description: 156-0202// AMAT APPLIED 0195-00222 GAS LINE STL HTR VAPOR OUT FSG PDCR SE NEW
spsglobal
[view on eBay]
Used 2
in stock
$1,000.00
Description: 156-0202// AMAT APPLIED 0195-00220 GAS LINE HTR HE SUPPLY FSG PDCR SE MKII NEW
spsglobal
[view on eBay]
Used 4
in stock
$1,500.00
Description: 156-0202// AMAT APPLIED 0195-00218 GAS LINE, STL HEATER INTERCONNECT LINE P NEW
spsglobal
[view on eBay]
Used 4
in stock
$850.00
Description: 156-0202// AMAT APPLIED 0195-00216 GAS LINE, STL HEATER, FORELN DIVERT 1, P NEW
spsglobal
[view on eBay]
Used 4
in stock
$1,300.00
Description: 156-0202// AMAT APPLIED 0195-00215 GAS LINE, STL HEATER, FORELN DIVERT 2, P NEW
spsglobal
[view on eBay]
Used 4
in stock
$1,800.00
Description: 156-0202// AMAT APPLIED 0195-00214 GAS LINE, STL HEATER, LOWER, PDCR SE MK NEW
spsglobal
[view on eBay]
Used 10
in stock
$900.00
Description: 156-0401// AMAT APPLIED 1410-01330 HTR JACKET ROUGH LINE 208V NEW
spsglobal
[view on eBay]
Used 4
in stock
$1,300.00
Description: 156-0301// AMAT APPLIED 0195-00219 GAS LINE, HEATER PALLET, POS3, PDCR SE M NEW
spsglobal
[view on eBay]
Used 4
in stock
$1,400.00
Description: 156-0301// AMAT APPLIED 0195-00217 GAS LINE, STL HEATER, GPLIS MIDDLE, PDCR NEW
dieseltdiguy
[view on eBay]
NEW 1
in stock
$10.33
Description: Applied Materials 3870-04562 Valve In Line Speed One Touch 4mm Tube
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 344-0301// AMAT APPLIED 0050-70063 GAS LINE, SHORT ONE PIECE USED
j316gallery
[view on eBay]
Used 1
in stock
$766.71
Description: 12847 APPLIED MATERIALS GAS LINE ASSY W/HYTRON PORT CXN 220-34005-000 0050-92863
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 344-0402// AMAT APPLIED 0050-39120 WELDMENT, TEOS LINE ADAPTER, CENTURA DXZ NEW
j316gallery
[view on eBay]
NEW 2
in stock
$239.67
Description: 13465 APPLIED MATERIALS H/A, 300MM PWR SUPPLY AC LINE CORD W/R (NEW) 0140-15468
j316gallery
[view on eBay]
Used 1
in stock
$1,686.75
Description: 10158 APPLIED MATERIALS FLANGE ROUGHING LINE TRANSFER CHAMBER 0020-35083
j316gallery
[view on eBay]
Used 2
in stock
$684.76
Description: 13394 APPLIED MATERIALS LINE, BOT.PURGE W/ 944AOPLPNCSFSFTS VALVE 0050-44715
j316gallery
[view on eBay]
NEW 1
in stock
$285.95
Description: 13750 APPLIED MATERIALS HARNESS ASSY LINE REACTOR TO DRIVER VAR (NEW) 0140-36739
j316gallery
[view on eBay]
Used 1
in stock
$557.60
Description: 14357 APPLIED MATERIALS LINE,HCL VENT,POLY 0050-35923
roundtable1
[view on eBay]
NEW 1
in stock
$39.00
Description: APPLIED MATERIALS 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT
roundtable1
[view on eBay]
Used 2
in stock
$259.00
Description: Applied Materials 0040-40379 LINE,WATER COLLING,IWC NEW
j316gallery
[view on eBay]
Used 1
in stock
$167.46
Description: 15092 APPLIED MATERIALS HARNESS, LINE SPILL, EPLIS, CH. D 0140-35676
j316gallery
[view on eBay]
Used 2
in stock
$69.70
Description: 15093 APPLIED MATERIALS HARNESS, LINE SPILL, EPLIS, CH. B 0140-35674
galacticmarketplace
[view on eBay]
NEW 3
in stock
$19.99
Description: APPLIED MATERIALS AMAT GAS LINE SPOOL SHORT STAINLESS 0050-13095 REV A
roundtable1
[view on eBay]
NEW 2
in stock
$199.00
Description: Applied Materials 0140-07455 HARM ASSY,LINE UT ADAPTE
ntsurplus302
[view on eBay]
Used 1
in stock
$50.00
Description: 3583 Applied Materials 0050-34720 Gas Line
roundtable1
[view on eBay]
NEW 2
in stock
$199.00
Description: Amat 0050-39363 Gas Inlet Vacuum Roughing Line
todd1455
[view on eBay]
Used 3
in stock
$104.00
Description: Applied Materials 0050-20927 Rev PC Weldment Spool Chamber Process Line AMAT Nnb
bntyhunter07
[view on eBay]
NEW 1
in stock
$301.19
Description: LAM 839-011520-001 WELDMENT GAS FEED LINE FLEX 1000342540
j316gallery
[view on eBay]
NEW 1
in stock
$2,016.39
Description: 16621 APPLIED MATERIALS KIT, CH2 WIDE BODY HEATER GAS LINE (NEW) 0240-71233
j316gallery
[view on eBay]
NEW 1
in stock
$672.13
Description: 16633 APPLIED MATERIALS GAS LINE CH 3 WIDE BODY (HTR) MFC 1 V NEW 0050-20942
j316gallery
[view on eBay]
Used 1
in stock
$268.85
Description: 16611 APPLIED MATERIALS LINE, EQUALIZATION CHAM. C 0050-14800
j316gallery
[view on eBay]
NEW 1
in stock
$268.85
Description: 16617 APPLIED MATERIALS VENT LINE CHAMBER 3 UPPER (NEW) 0050-26704
dr.dantom
[view on eBay]
Used 3
in stock
$120.00
Description: Applied Materials 3300-05006 Helium Line FTG Hose
dr.dantom
[view on eBay]
Used 1
in stock
$800.00
Description: Applied Materials / AMAT 0050-48273 VACUUM LINE CHAMBER TO TURBO
dr.dantom
[view on eBay]
Used 1
in stock
$150.00
Description: Applied Materials 0140-15468 H/A 300MM POWER SUPPLY AC LINE CORD W/RING LUGS
roundtable1
[view on eBay]
NEW 1
in stock
$28.99
Description: Applied Materials KIT MOUNTING BRACKETS GAS LINE TO ENCLOSURE FRC 0242-40325
rolx1234
[view on eBay]
Used 1
in stock
$40.00
Description: LAM RESEARCH 685-016427-001 LINE POWERED RS-232/RS-485, Converter
j316gallery
[view on eBay]
NEW 6
in stock
$523.71
Description: 16184 APPLIED MATERIALS LINE, VACUUM EXH PURGE CH. AB, FACILITY (NEW) 0050-61407
j316gallery
[view on eBay]
Used 1
in stock
$198.94
Description: 395 APPLIED MATERIALS LINE, EXH., CH. A, O2 ANALYZER, RTP, CMF 0050-28601
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$350.50
Description: 0020-07062 / CLAMP,GAS LINE,MOD 1 BOTTOM PURGE GAS LI / AMAT
j316gallery
[view on eBay]
Used 2
in stock
$68.08
Description: 16989 APPLIED MATERIALS HOSE ASSEMBLY, 17" WATER LINE, CH. LID 0050-62179
j316gallery
[view on eBay]
NEW 1
in stock
$37.97
Description: 17421 APPLIED MATERIALS CLAMP BASE FRNT WATER LINE 0020-76569
j316gallery
[view on eBay]
NEW 2
in stock
$149.90
Description: 17426 APPLIED MATERIALS CLAMP, HOLD FRNT WATER LINE FAC I/F (NEW) 0020-76570
j316gallery
[view on eBay]
NEW 1
in stock
$712.95
Description: 16620 APPLIED MATERIALS GAS LINE CHAM 3 WIDE BODY (PROCESS) MFC (NEW) 0050-20941
j316gallery
[view on eBay]
NEW 1
in stock
$189.83
Description: 17625 APPLIED MATERIALS H/A LINE REACTOR TO HORIZ. PANEL (NEW) 0140-36719
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 327-0402// AMAT APPLIED 0021-11052 BRACKET, PLATE, CHILLER LINE O [USED]
j316gallery
[view on eBay]
Used 1
in stock
$206.40
Description: 16630 APPLIED MATERIALS WELDMENT, GAS LINE, MICRO-FH 0050-96757
j316gallery
[view on eBay]
Used 1
in stock
$257.98
Description: 18822 APPLIED MATERIALS CABLE ASSY HTR GAS LINE SEG 4.1 CH C 300MM 1M 0090-03154
j316gallery
[view on eBay]
NEW 1
in stock
$266.46
Description: 19023 APPLIED MATERIALS COOLING LINE,ROOF TOP (NEW) 0040-32456
j316gallery
[view on eBay]
Used 2
in stock
$149.90
Description: 19280 APPLIED MATERIALS HOSE ASSY 17" WATER LINE CH. 0050-62179
expertsurplus
[view on eBay]
NEW 5
in stock
$75.00
Description: AMAT, 0021-38747, Tubing 2.6" 1/4 OD, Cooling Line Attachment, New
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-37559, Weldment, DXZ CH. Gas Line Adapter, New
expertsurplus
[view on eBay]
NEW 1
in stock
$600.00
Description: AMAT Gas Line Insulator, CGF, 0050-40316, New
expertsurplus
[view on eBay]
NEW 3
in stock
$600.00
Description: AMAT, 0050-33706, Line, Chamber. A/B N2 Purge & RP VENT W/PSW, New
expertsurplus
[view on eBay]
NEW 2
in stock
$100.00
Description: AMAT, 0050-27975, Adapter, 300MM WXZ Chamber Vent Line, New
expertsurplus
[view on eBay]
NEW 3
in stock
$350.00
Description: AMAT, 0050-31342, Elbow Vacuum Line, New
expertsurplus
[view on eBay]
NEW 5
in stock
$350.00
Description: AMAT, 0040-35462, Reducer Line, New
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-76063, Exhaust Line, CH D, Upper, New
expertsurplus
[view on eBay]
NEW 4
in stock
$350.00
Description: AMAT, 0050-37208, Weldment, Elbow CHMB Pump Line, New
expertsurplus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT, 0040-20112, Roghing Line Turbo and Cooldown #2, New
expertsurplus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT, 0050-36475, Line, Main Overpressure, New
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-14804, Line, Exhaust Diverter Cham B AIM, New
expertsurplus
[view on eBay]
NEW 3
in stock
$250.00
Description: AMAT, 0040-09902, Bypass Line, Metch MXP Centura, New
expertsurplus
[view on eBay]
NEW 3
in stock
$150.00
Description: AMAT, 0050-76065, Exhaust Line, CH. B, Upper, New
expertsurplus
[view on eBay]
NEW 9
in stock
$350.00
Description: AMAT, 0050-76080, Line, Exhaust CH. C&D Lower 316L SST, New
expertsurplus
[view on eBay]
NEW 2
in stock
$275.00
Description: AMAT, Exhaust Line 0050-76709, New
expertsurplus
[view on eBay]
NEW 16
in stock
$150.00
Description: AMAT, 0050-75002, "S" Exhaust Line, New
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-75055, Exhaust Line with Mounts, New
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0227-06204, 90 Deg Exhaust Line, New
expertsurplus
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT, 0040-20500, Stainless Steel Elbow Vacuum Line, New
expertsurplus
[view on eBay]
NEW 2
in stock
$200.00
Description: AMAT, 0050-34024, Stainless Steel Elbow Vacuum Line with 2 Ports, New
expertsurplus
[view on eBay]
NEW 2
in stock
$300.00
Description: AMAT, 0050-32025, Elbow Vacuum Line with 5 Ports, New
expertsurplus
[view on eBay]
NEW 2
in stock
$300.00
Description: AMAT, 0050-75623, Elbow Vacuum Line 90 Deg Port, New
expertsurplus
[view on eBay]
NEW 3
in stock
$300.00
Description: AMAT, 0050-37554, Elbow Vacuum Line with 4 Ports, New
expertsurplus
[view on eBay]
NEW 6
in stock
$200.00
Description: AMAT, 0050-76061, Elbow Vacuum Line with Single Port, New
expertsurplus
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT, 0050-31693, Elbow Vacuum Line, New
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-39026, Exhaust Line with 2 Ports, New
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-75022, Stainless Steel Exhaust Line, New
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-75055, Stainless Steel Exhaust Line, New
expertsurplus
[view on eBay]
NEW 2
in stock
$150.00
Description: AMAT, 0040-20712, Stainless Steel Elbow Vacuum Line, New
expertsurplus
[view on eBay]
Used 2
in stock
$150.00
Description: AMAT, 0050-39363, Gas Inlet Vacuum Roughing Line, Used
expertsurplus
[view on eBay]
Used 1
in stock
$315.00
Description: AMAT, 0050-01072, Weldment, Teos Line Spacer, DXZ Centura, New, Lot of 2
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-13142, Gas Line Top Vent 31L 5RA 3/8 Support, New, Lot of 2
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-43518, Line O2 Purge #2, 200MM Radiance, New, Lot of 2
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-43517, Line O2 Purge #1, 200MM Radiance, New
expertsurplus
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT, 0050-13027, TBG LLC Modified Vac Line DMY WAF INDXR, New
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-20475, Vacuum Line Lockload B, New
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-39473, Line, Vent #1 WXP Chamber POS A, B C, D, New
expertsurplus
[view on eBay]
NEW 1
in stock
$275.00
Description: AMAT, 0050-38486, Line, Dual Seal Mag Rotation, New, Lot of 2
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-76115, Gas Line, Top Vent, Wide Body, New
expertsurplus
[view on eBay]
NEW 17
in stock
$150.00
Description: AMAT, 0050-26778, Gasline, Top Vent Feed, Line 3, New
expertsurplus
[view on eBay]
NEW 5
in stock
$175.00
Description: AMAT, 0040-09689, Elbow Roughing Line, New
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0040-40379, Water Cooling Line IWC, New
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 350-0302// AMAT APPLIED 0900-01104 FLTREMI POWER LINE 250VAC 30A [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 350-0302// AMAT APPLIED 0900-01017 FLTR RFI POWER LINE 10 AMP [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 350-0302// AMAT APPLIED 0900-01015 (#2) FLTR RFI POWER LINE 6 AMP SERIES S [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 350-0302// AMAT APPLIED 0900-01015 (#1) FLTR RFI POWER LINE 6 AMP SERIES S [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 350-0302// AMAT APPLIED 0090-01015 FLTR RFI POWER LINE 6 AMP SERIES S [USED]
expertsurplus
[view on eBay]
NEW 3
in stock
$250.00
Description: AMAT, 0040-40387, Line No.2, Chamber Exhaust, Ch.A, New
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-76404, Line, Bottom, XFER Chamber Exhaust, New
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0040-00181, Loader Rough Line, New
expertsurplus
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT, 0225-40380, Line No. 2, Chamber A Exhaust, New
expertsurplus
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT, 0226-01704, Vac Line KF16 by KF16 OEM, New
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-03273, NBLL A-SIDE VENT LINE EP, New
expertsurplus
[view on eBay]
NEW 5
in stock
$75.00
Description: AMAT, 0050-75358, Gas Line Extension Cajon, New
expertsurplus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT, 0050-70091, Gas Line #1 CH C PCII, New
expertsurplus
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT, 0050-75230, Line N2 Purge From Valves To XFER Chamber 5RA-CMF, New
gophersales
[view on eBay]
Used 1
in stock
$205.00
Description: AMAT Applied Materials 0050-80180 Gas Line CH. D Foreline Ex Port Seg III 200MM
j316gallery
[view on eBay]
NEW 1
in stock
$152.84
Description: 19682 APPLIED MATERIALS HARN INDC SWITCH LINE LED BD (LOT OF 2) (NEW) 0140-09739
j316gallery
[view on eBay]
NEW 1
in stock
$403.02
Description: 19752 APPLIED MATERIALS WELDMENT GAS LINE HELIUM FILTER TO NU (NEW) 0050-30513
expertsurplus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT, 0050-33172, Reducer Line, New
expertsurplus
[view on eBay]
NEW 3
in stock
$250.00
Description: AMAT, 0050-37912, Reducer Line, New
expertsurplus
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT, 0050-26319, Gas Line NO. 8 Left Side AR Ch.2 TXZ, New
expertsurplus
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT, 0050-26320, Gas Line NO7 Left Side N2 Ch.2 TXZ, New
spsglobal
[view on eBay]
Used 6
in stock
$230.00
Description: 351-0202// AMAT APPLIED 0050-37815 TUBING, COOLANT LINE [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$210.00
Description: 176-0403// AMAT APPLIED 0050-36680 LINE IHC MANIFOLD,POS A & C [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$120.00
Description: 176-0403// AMAT APPLIED 0050-30757 GAS,LINE,N2 PURGE [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 176-0403// AMAT APPLIED 0050-20072 GAS LINE CHAMBER 3 (HEATER) MFC 1 VCR [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$680.00
Description: 176-0403// AMAT APPLIED 0050-20067 GAS LINE CHAMBER 2 (PROCESS)MFC 8&9 VCR [NEW]
spsglobal
[view on eBay]
Used 6
in stock
$210.00
Description: 176-0403// AMAT APPLIED 0050-10314 VENT LINE, ETCH CHAMBER [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 176-0403// AMAT APPLIED 0040-00568 HE SUPPLY LINE [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$170.00
Description: 176-0403// AMAT APPLIED 0050-76703 VENT LINE, ARGON BULKHEAD, LEFT, CAJON [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$720.00
Description: 177-0401// AMAT APPLIED 0050-76965 HE LINE, TTF REGULATED CENTURA CMF [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 177-0401// AMAT APPLIED 0050-76963 N2/AR LINE, TTF REGULATED CENTURA CMF [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 177-0401// AMAT APPLIED 0050-76450 GAS LINE HTR BYPS WB/SHUTTER, MIXED [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$260.00
Description: 177-0401// AMAT APPLIED 0050-36678 LINE HE SUPPLY 2 OF 3 [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 176-0404// AMAT APPLIED 0050-30919 GAS LINE, POSITION B-C, R2, 5000 [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$90.00
Description: 177-0402// AMAT APPLIED 0050-76990 GAS LINE, CH.2&3, MFC, COH TI/TIN [USED]
spsglobal
[view on eBay]
Used 1
in stock
$140.00
Description: 177-0402// AMAT APPLIED 0050-24881 GAS LINE,CH 4,MFC 5&6,O-T-BACK [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 177-0402// AMAT APPLIED 0040-00540 HE SUPPLY LINE, CHAMBER B [USED]
spsglobal
[view on eBay]
Used 2
in stock
$240.00
Description: 177-0501// AMAT APPLIED 0050-08536 29 SLOT STOR ELEV PURGE LINE WELDMENT [USED]
spsglobal
[view on eBay]
Used 4
in stock
$570.00
Description: 177-0501// AMAT APPLIED 0040-36245 HE SUPPLY LINE, CHAMBER C [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$370.00
Description: 177-0501// AMAT APPLIED 0050-43626 SPOOL AR LINE BASIC GAS PLATTER WXZ [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$560.00
Description: 177-0501// AMAT APPLIED 0050-31167 GAS LINE, CHAMBER B/C, P5000 XT [NEW]
capitolareatech
[view on eBay]
NEW 1
in stock
$2,187.95
Description: AMAT 1410-00976 GAS LINE HEATER JACKET
capitolareatech
[view on eBay]
NEW 1
in stock
$295.95
Description: Applied Materials (AMAT) 0050-45784 LINE, EXHAUST POST-VALVE OVERPRESSURE, 3
capitolareatech
[view on eBay]
NEW 3
in stock
$275.95
Description: Applied Materials (AMAT) 0050-76592 WELDMENT EXHAUST LINE
capitolareatech
[view on eBay]
Used 1
in stock
$395.95
Description: Applied Materials (AMAT) 0050-37208 WELDMENT,ELBOW CHMB PUMP LINE
capitolareatech
[view on eBay]
NEW 3
in stock
$295.95
Description: Applied Materials (AMAT) 0050-44912 GAS LINE, Y, CHAMBER A
capitolareatech
[view on eBay]
NEW 6
in stock
$95.95
Description: Applied Materials (AMAT) 0040-20620 Weldment Gas Line Assy
capitolareatech
[view on eBay]
NEW 10
in stock
$125.95
Description: Applied Materials (AMAT) 0020-35084 SCREEN, ROUGHING LINE TRANSFER CHAMBER
capitolareatech
[view on eBay]
NEW 1
in stock
$440.95
Description: Applied Materials (AMAT) 0040-22858 BRACKET, LEFT GAMMA 2 PROCESS GAS LINE
capitolareatech
[view on eBay]
NEW 8
in stock
$611.95
Description: Applied Materials (AMAT) 0040-00089 PROCESS ROUGH LINE LOWER
capitolareatech
[view on eBay]
NEW 5
in stock
$674.95
Description: Applied Materials (AMAT) 0140-09986 HARNESS,INTERLOCK SIGNAL LINE,LIQ. INJ.
capitolareatech
[view on eBay]
NEW 9
in stock
$59.95
Description: Applied Materials (AMAT) 0050-28199 Gas Line
capitolareatech
[view on eBay]
NEW 1
in stock
$145.95
Description: Applied Materials (AMAT) 0050-26994 LINE, EXH. ELBOW, GATE VALVE/MAC ICP
capitolareatech
[view on eBay]
NEW 1
in stock
$95.95
Description: Applied Materials (AMAT) 0050-24684 VACUUM LINE LLA UPPER
capitolareatech
[view on eBay]
NEW 1
in stock
$250.95
Description: Applied Materials (AMAT) 0020-21034 BRACKET SOURCE WATER LINE
capitolareatech
[view on eBay]
NEW 12
in stock
$95.95
Description: Applied Materials (AMAT) 0050-71724 Gas Line
capitolareatech
[view on eBay]
Used 2
in stock
$404.95
Description: Applied Materials (AMAT) 0050-30919 GAS LINE, POSITION B-C, R2, 5000
capitolareatech
[view on eBay]
Used 1
in stock
$134.95
Description: Applied Materials (AMAT) 0040-00539 Weldment, Gas Line
capitolareatech
[view on eBay]
Used 1
in stock
$256.95
Description: Applied Materials (AMAT) 0040-00540 Weldment, Gas Line
capitolareatech
[view on eBay]
NEW 1
in stock
$95.95
Description: NOVELLUS 10-137031-00 GAS LINE TUBE ASSY, INLET CO2
capitolareatech
[view on eBay]
NEW 1
in stock
$76.95
Description: NOVELLUS 10-137030-00 GAS LINE TUBE ASSY,SPOOL,M-M,L=3.40
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 176-0402// AMAT APPLIED 0050-30514 WELDMENT, WATER LINE [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 176-0402// AMAT APPLIED 0050-21669 GAS LINE VENT NEEDLE VALVE [USED]
capitolareatech
[view on eBay]
Used 2
in stock
$75.95
Description: Lam Research (LAM) 688-098571-001 FLTR,LINE,MWAVE,STPR
j316gallery
[view on eBay]
Used 1
in stock
$152.84
Description: 20250 APPLIED MATERIALS LINE, LIFT PURGE IN A/B RTP 0050-06431
spsglobal
[view on eBay]
Used 1
in stock
$280.00
Description: 115-0401// AMAT APPLIED 0050-38105 WELDMENT, RIGHT H2O RETURN LINE SHO ETC [NEW]
capitolareatech
[view on eBay]
Used 1
in stock
$169.95
Description: LAM RESEARCH (LAM) 839-000865-001 NUPRO Flange Gas Line Assembly
capitolareatech
[view on eBay]
NEW 2
in stock
$15.95
Description: Lam Research LAM 839-031455-001 WELDMENT GAS LINE
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 176-0502// AMAT APPLIED 0010-70618 ASSY, COOLING LINE, TURBO PUMP,PH-II [NEW]
capitolareatech
[view on eBay]
Used 1
in stock
$607.95
Description: Applied Materials (AMAT) 0224-00465 WELDMENT, GAS LINE
spsglobal
[view on eBay]
Used 1
in stock
$650.00
Description: 137-0401// AMAT APPLIED 0050-76066 EXHAUST LINE SPOOL PIECE [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$170.00
Description: 137-0404// AMAT APPLIED 0050-34896 EXHAUST LINE,ZM CHBR,POS C, UPPER [USED]
spsglobal
[view on eBay]
Used 1
in stock
$170.00
Description: 137-0404// AMAT APPLIED 0050-09022 EXTENSION,SHORT ARM,VAC LINE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$160.00
Description: 137-0301// AMAT APPLIED 0050-09021 MANIFOLD VACUUM LINE [USED]
spsglobal
[view on eBay]
Used 2
in stock
$160.00
Description: 137-0301// AMAT APPLIED 0050-09020 MANIFOLD VACUUM LINE [USED]
capitolareatech
[view on eBay]
Used 1
in stock
$99.95
Description: Applied Materials (AMAT) 0050-75327 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 1
in stock
$129.95
Description: Applied Materials (AMAT) 0050-43672 LINE PROCESS EXHAUST
capitolareatech
[view on eBay]
Used 3
in stock
$69.95
Description: Applied Materials (AMAT) 0050-76884 EXHAUST LINE, NBLL, CENTURA COMMON M/F
capitolareatech
[view on eBay]
Used 2
in stock
$159.95
Description: Applied Materials (AMAT) 0050-76065 EXHAUST LINE, CH. B, UPPER
capitolareatech
[view on eBay]
Used 1
in stock
$319.95
Description: Applied Materials (AMAT) 0050-76061 EXHAUST LINE, CH. A & B LOWER
capitolareatech
[view on eBay]
Used 2
in stock
$159.95
Description: Applied Materials (AMAT) 0050-48273 CHAMBER TO TURBO VACUUM LINE
capitolareatech
[view on eBay]
Used 1
in stock
$329.95
Description: Applied Materials (AMAT) 0050-75257 VACUUM LINE, CHAMBER TO CRYO
capitolareatech
[view on eBay]
Used 1
in stock
$89.95
Description: Applied Materials (AMAT) 0050-54548 GAS LINE WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$129.95
Description: Applied Materials (AMAT) 0040-40190 LINE, WATER COOLING, IWC
capitolareatech
[view on eBay]
Used 5
in stock
$159.95
Description: Applied Materials (AMAT) 0050-21244 WELDMENT, GAS LINE
capitolareatech
[view on eBay]
Used 1
in stock
$429.95
Description: Applied Materials (AMAT) 0050-21123 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 1
in stock
$149.95
Description: Applied Materials (AMAT) 0050-18789 FITTING, GAS LINE
capitolareatech
[view on eBay]
Used 5
in stock
$199.95
Description: Applied Materials (AMAT) 0050-26826 WELDMENT GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 2
in stock
$119.95
Description: Applied Materials (AMAT) 0040-38615 LINE TOP EXHST. CH B O2 SENSOR/RPP,RTP
capitolareatech
[view on eBay]
Used 1
in stock
$119.95
Description: Applied Materials (AMAT) 0050-26985 LINE, RP. EXH. DIVERTER CHAM. A, MAC ICP
capitolareatech
[view on eBay]
Used 2
in stock
$139.95
Description: Applied Materials (AMAT) 0050-75994 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 1
in stock
$129.95
Description: Applied Materials (AMAT) 0050-35801 GAS LINE WELDMENT
spsglobal
[view on eBay]
Used 1
in stock
$450.00
Description: 176-0104// AMAT APPLIED 0050-09391 WELDMENT MANIFOLD SINGLE-VALVE 7 LINE [ASIS]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 176-0104// AMAT APPLIED 0050-18655 SILANE, TOP LINE, HDPCVD, ULTIMA [ASIS]
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 176-0104// AMAT APPLIED 0050-34787 LINE, 1/4 MANIFOLD AR-WF6 [ASIS]
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 176-0104// AMAT APPLIED 0050-46077 GAS LINE, RIGHT ANGLE VALVE, CHAMBER [ASIS]
capitolareatech
[view on eBay]
Used 1
in stock
$337.95
Description: Applied Materials (AMAT) 0050-36354 LINE, NUPRO DSC ISO
spsglobal
[view on eBay]
Used 1
in stock
$160.00
Description: 176-0104// AMAT APPLIED 0050-36639 LINE,DOPANT INJECT (INTEL SPEC.) [NEW]
spsglobal
[view on eBay]
Used 4
in stock
$200.00
Description: 176-0104// AMAT APPLIED 0050-46077 GAS LINE, RIGHT ANGLE VALVE, CHAMBER [NEW]
capitolareatech
[view on eBay]
Used 7
in stock
$109.95
Description: Applied Materials (AMAT) 0050-76735 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 17
in stock
$109.95
Description: Applied Materials (AMAT) 0050-41081 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 2
in stock
$109.95
Description: Applied Materials (AMAT) 0050-42860 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$89.95
Description: Applied Materials (AMAT) 0227-42395 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 1
in stock
$109.95
Description: Applied Materials (AMAT) 0050-43205 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$99.95
Description: Applied Materials (AMAT) 0050-43116 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 2
in stock
$139.95
Description: Applied Materials (AMAT) 0050-47328 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$109.95
Description: Applied Materials (AMAT) 0050-53456 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$79.95
Description: Applied Materials (AMAT) 0050-60067 GAS LINE, FITTING
capitolareatech
[view on eBay]
NEW 1
in stock
$79.95
Description: Applied Materials (AMAT) 0050-48298 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 2
in stock
$99.95
Description: Applied Materials (AMAT) 0227-38151 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 2
in stock
$79.95
Description: Applied Materials (AMAT) 0227-34523 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 4
in stock
$99.95
Description: Applied Materials (AMAT) 0050-53366 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 2
in stock
$99.95
Description: Applied Materials (AMAT) 0050-41216 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$99.95
Description: Applied Materials (AMAT) 0050-43115 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$129.95
Description: Applied Materials (AMAT) 0050-83618 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 2
in stock
$99.95
Description: Applied Materials (AMAT) 0050-43748 GAS LINE, MAINFRAME TO CHAMBER 300SL
capitolareatech
[view on eBay]
Used 1
in stock
$159.95
Description: Applied Materials (AMAT) 0050-33837 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 1
in stock
$99.95
Description: Applied Materials (AMAT) 0050-39944 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 5
in stock
$139.95
Description: Applied Materials (AMAT) 0050-00262 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 1
in stock
$109.95
Description: Applied Materials (AMAT) 0050-32177 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 2
in stock
$129.95
Description: Applied Materials (AMAT) 0050-18558 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 3
in stock
$179.95
Description: Applied Materials (AMAT) 0050-41025 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$149.95
Description: Applied Materials (AMAT) 0050-18717 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$169.95
Description: Applied Materials (AMAT) 0050-10096 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 6
in stock
$129.95
Description: AMAT 0050-33595 LINE, LINK, 1/4, DROP M/F RTP
capitolareatech
[view on eBay]
Used 1
in stock
$119.95
Description: Applied Materials (AMAT) 0050-39813 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$109.95
Description: Applied Materials (AMAT) 0050-36206 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$109.95
Description: Applied Materials (AMAT) 0050-34782 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
NEW 1
in stock
$99.95
Description: Applied Materials (AMAT) 0050-21358 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 2
in stock
$59.95
Description: Applied Materials (AMAT) 0050-28804 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 2
in stock
$119.95
Description: Applied Materials (AMAT) 0050-04304 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
NEW 2
in stock
$119.95
Description: Applied Materials (AMAT) 0050-30919 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 14
in stock
$69.95
Description: Applied Materials (AMAT) 0050-26831 GAS LINE
capitolareatech
[view on eBay]
Used 3
in stock
$149.95
Description: Applied Materials (AMAT) 0050-40887 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 4
in stock
$119.95
Description: Applied Materials (AMAT) 0050-28644 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$159.95
Description: Applied Materials (AMAT) 0050-34664 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 2
in stock
$499.95
Description: Applied Materials (AMAT) 0050-24773 GAS LINE, INLET, HE, POS 1
capitolareatech
[view on eBay]
Used 2
in stock
$109.95
Description: Applied Materials (AMAT) 0050-33706 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 4
in stock
$109.95
Description: Applied Materials (AMAT) 0050-32177 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$109.95
Description: Applied Materials (AMAT) 0050-04026 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$109.95
Description: Applied Materials (AMAT) 0050-45149 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$99.95
Description: Applied Materials (AMAT) 0050-45370 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 2
in stock
$109.95
Description: Applied Materials (AMAT) 0050-02784 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$79.95
Description: Applied Materials (AMAT) 0050-32281 GAS LINE
capitolareatech
[view on eBay]
Used 1
in stock
$139.95
Description: Applied Materials (AMAT) 0050-37080 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 3
in stock
$109.95
Description: Applied Materials (AMAT) 0050-02206 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$99.95
Description: Applied Materials (AMAT) 0050-76642 WELDMENT, GAS LINE
capitolareatech
[view on eBay]
Used 1
in stock
$119.95
Description: Applied Materials (AMAT) 0050-07089 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 8
in stock
$139.95
Description: Applied Materials (AMAT) 0050-21304 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 3
in stock
$119.95
Description: Applied Materials (AMAT) 0050-27294 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 12
in stock
$149.95
Description: Applied Materials (AMAT) 0050-28880 WELDMENT, GAS LINE
capitolareatech
[view on eBay]
Used 5
in stock
$109.95
Description: Applied Materials (AMAT) 0050-27396 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 94
in stock
$99.95
Description: Applied Materials (AMAT) 0050-31373 GAS LINE,FHD BASIC
capitolareatech
[view on eBay]
Used 18
in stock
$139.95
Description: Applied Materials (AMAT) 0050-20722 GAS LINE ARGON VENT M/F
capitolareatech
[view on eBay]
Used 1
in stock
$89.95
Description: Applied Materials (AMAT) 0050-36475 LINE, MAIN OVERPRESSURE
capitolareatech
[view on eBay]
Used 4
in stock
$149.95
Description: Applied Materials (AMAT) 0050-47044 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 2
in stock
$219.95
Description: Applied Materials (AMAT) 0050-26363 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 4
in stock
$109.95
Description: Applied Materials (AMAT) 0050-46661 GAS LINE, WELDMENT
j316gallery
[view on eBay]
NEW 1
in stock
$1,673.72
Description: 20936 APPLIED MATERIALS GAS LINE IVL TO FORELINE BPSG 200MM P NEW 0050-83719
j316gallery
[view on eBay]
NEW 3
in stock
$3,836.63
Description: 21238 APPLIED MATERIALS LINE, MANIFOLD, SILICON W/4 VALVES (NEW) 0050-05034
expertsurplus
[view on eBay]
Used 7
in stock
$250.00
Description: AMAT, Line, Clean EXH, CH.A/B, SIN, CMF, 0050-27933, Used
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, Line Bypass Manifold, CH D, 0050-08326, Used
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, Gas Line Spool 3.80" M/F, 0050-44250, Used
expertsurplus
[view on eBay]
Used 8
in stock
$250.00
Description: AMAT, Line N2 Check Valve and Filter ICP, 0050-00740, Used
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, Right Angle Gas Line, 0050-44411, Used
expertsurplus
[view on eBay]
Used 4
in stock
$250.00
Description: AMAT, Gas Line, Tee, Ampule Helium/Vacuum, Tantalum, 0050-07523, Used
expertsurplus
[view on eBay]
Used 4
in stock
$150.00
Description: AMAT, Line Overpressure Port, A/B, ICP, 0050-07681, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Gas Line, 0050-42920, Used
expertsurplus
[view on eBay]
Used 6
in stock
$250.00
Description: AMAT, Gas Line, Purge Man. Dual Vaporizer, 300MM Tanox, 0050-53949, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Line, 1/4" PP Downstream Nitride, 0050-02287, Used
expertsurplus
[view on eBay]
Used 2
in stock
$250.00
Description: AMAT, Gas Line Tee Vacuum Tantalum, 0050-07527, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Gas Line, Weldment, 0050-42911, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Gas Line, Weldment, 0050-32177, Used
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, Water Line, Lower Chamber, DPS, 0050-31457, Used
expertsurplus
[view on eBay]
Used 4
in stock
$150.00
Description: AMAT, Line ICP CDA Restrictor, 0050-07913, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Weldment, He Line, IHC To Dump 5RA, POS B, 0050-00450, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, GAS LINE 3WAY F/F/M, 0050-75773, Used
expertsurplus
[view on eBay]
Used 2
in stock
$150.00
Description: AMAT, Gas Line Connector, 0050-21791, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Line, 1/4" Manifold PP Upstream Silicon Sih4/DCS, 0050-02283, Used
expertsurplus
[view on eBay]
Used 11
in stock
$250.00
Description: AMAT, Gas Line Tee Vacuum, Tantalum, 0050-07527, Used
expertsurplus
[view on eBay]
Used 4
in stock
$250.00
Description: AMAT, Gas Line, 1/2" Line 2, Dual Vaporizer, 300MM Tanox, 0050-53948, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Line, Delivery O2 Analyzer Calibration, 0050-28617, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Line, 900 Torr Overpressure Switch, RTP, 0050-08228, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, LINE MANIFOLD NF3 ICP, 0050-00742, Used
expertsurplus
[view on eBay]
Used 2
in stock
$150.00
Description: AMAT, Tubing Coolant Line, 0050-37815, Used, Lot of 2
expertsurplus
[view on eBay]
Used 2
in stock
$75.00
Description: AMAT, Gas Line Assy, 0227-33380, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Gas Line, Final Line, GPLIS, Prod Split Fra, 0050-43493, Used
expertsurplus
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT, Gas Line Weldment, Helium, 0050-30513, Used
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, Three Port Gas Line, 0050-25949, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Gas Line Assy (Insulated) 0240-98127, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, WELDMENT,MANIFOLD,SINGLE VALVE, 4 LINE, 0050-09419, New
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, LINE, SLOW PUMP, DLL, 0050-40015, New
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, WELDMENT, Y-LINE, N2 PURGE, GAS LINE, PR, 0050-08521, New
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, Gas Line, Tee, Ampule Helium/Vacuum, Tantalum, 0050-07523, New
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, Gas Line Weldment with Manual Valve, 0050-02389, Used
expertsurplus
[view on eBay]
Used 6
in stock
$50.00
Description: AMAT, Connector Line, 0050-50788, New
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, BALLAST LINE CH. POSITION A,B, 0050-39575, New
expertsurplus
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT, Gas Line Adaptor Elbow, 0050-02938, New
expertsurplus
[view on eBay]
Used 2
in stock
$100.00
Description: AMAT, Gas Line N2 Purge, 0050-30757, New
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, GAS LINE, TOP VENT W/FLOW RSTR, 1/4 SUPP, 0050-76481, New
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, LINE, OUTSIDE/CENTER EPI INJECT, DIST, 0050-02435, New
expertsurplus
[view on eBay]
Used 4
in stock
$250.00
Description: AMAT, GAS LINE, 0050-00474, New
expertsurplus
[view on eBay]
Used 19
in stock
$125.00
Description: AMAT, LINE,SPOOL,SINGLE FEED,RTP, 0050-39399, New
expertsurplus
[view on eBay]
Used 5
in stock
$125.00
Description: AMAT, GAS LINE, 0050-10126, New
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, GAS LINE, HIGH FLOW ELBOW, 0050-28179, New
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, GAS LINE, TOP VENT W/FLOW RSTR, 1/4 SUPP, 0050-76481, New
expertsurplus
[view on eBay]
Used 4
in stock
$150.00
Description: AMAT, 0015-20279, LINE,VALVE APTECH, New
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, LINE,VALVE APTECH, 0015-20279, New
expertsurplus
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT, GAS LINE, WELDMENT, 0050-27331, New
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, GAS LINE, 0050-43711, New
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, GAS LINE, N2 PURGE, 0050-30757, New
expertsurplus
[view on eBay]
Used 3
in stock
$150.00
Description: AMAT, GAS LINE, 0050-04418, New
expertsurplus
[view on eBay]
Used 7
in stock
$150.00
Description: AMAT, GAS LINE, 0050-41038, New
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, GAS LINE, 0050-43096, New
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, LINE,OVER PRESSURE MOUNT, 0050-06447, New
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, GAS LINE, 0050-09667, New
j316gallery
[view on eBay]
NEW 7
in stock
$250.21
Description: 21541 APPLIED MATERIALS LINE, EXHAUST HTF CHAM. A/B, MF (NEW) 0050-14805
j316gallery
[view on eBay]
Used 2
in stock
$220.16
Description: 21542 APPLIED MATERIALS LINE, EXHAUST HTF CHAM. A/B, MF 0050-14805
capitolareatech
[view on eBay]
Used 1
in stock
$99.95
Description: Applied Materials (AMAT) 0050-24684 VACUUM LINE LLA UPPER
capitolareatech
[view on eBay]
NEW 1
in stock
$145.95
Description: Applied Materials (AMAT) 0050-41602 Gas Line
capitolareatech
[view on eBay]
Used 1
in stock
$119.95
Description: Applied Materials (AMAT) 0050-43465 GAS LINE FITTING
capitolareatech
[view on eBay]
NEW 6
in stock
$245.95
Description: Applied Materials AMAT 0050-21097 VACUUM LINE 2 MANUAL VALVE LOADLOCK B
capitolareatech
[view on eBay]
Used 1
in stock
$139.95
Description: Applied Materials (AMAT) 0050-33614 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$179.95
Description: Applied Materials (AMAT) 0050-41604 WELDMT,LINE 4,BASIC PANEL FUJIKIN
capitolareatech
[view on eBay]
Used 2
in stock
$99.95
Description: Applied Materials (AMAT) 0050-06282 WELDMENT, GAS LINE
capitolareatech
[view on eBay]
Used 2
in stock
$89.95
Description: Applied Materials (AMAT) 0050-05505 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$99.95
Description: Applied Materials (AMAT) 839-024334-900 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 2
in stock
$99.95
Description: Applied Materials (AMAT) 0050-06280 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 1
in stock
$189.95
Description: Applied Materials (AMAT) 0050-43271 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 1
in stock
$189.95
Description: Applied Materials (AMAT) 0050-03273 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 3
in stock
$199.95
Description: Applied Materials (AMAT) 0050-26956 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$159.95
Description: Applied Materials (AMAT) 0050-31527 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 2
in stock
$149.95
Description: Applied Materials (AMAT) 839-460854-001 WELDMENT, GAS LINE
capitolareatech
[view on eBay]
Used 1
in stock
$99.95
Description: Applied Materials (AMAT) 0227-09219 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 3
in stock
$149.95
Description: Applied Materials (AMAT) 0050-02056 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 1
in stock
$99.95
Description: Applied Materials (AMAT) 0050-42170 WELDMENT, GAS LINE
capitolareatech
[view on eBay]
Used 1
in stock
$169.95
Description: LAM RESEARCH (LAM) 839-024405-100 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 1
in stock
$169.95
Description: LAM RESEARCH (LAM) 10-124709-00 GAS LINE
smartelektronikgmbh
[view on eBay]
Used 1
in stock
$550.00
Description: 0190-20015 GAS LINE NO.3 PRECLEAN CHMBR (REACTIVE P
capitolareatech
[view on eBay]
Used 11
in stock
$179.95
Description: Applied Materials (AMAT) 0050-27433 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 11
in stock
$179.95
Description: Applied Materials (AMAT) 0050-27670 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 20
in stock
$139.95
Description: Applied Materials (AMAT) 0050-06624 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 21
in stock
$149.95
Description: Applied Materials (AMAT) 0050-00086 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 38
in stock
$149.95
Description: Applied Materials (AMAT) 0227-29297 GAS LINE, SEMI CONDUCTOR PART
capitolareatech
[view on eBay]
Used 12
in stock
$119.95
Description: Applied Materials (AMAT) 0050-37270 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 15
in stock
$129.95
Description: Applied Materials (AMAT) 0050-28502 TEE FITTING GAS LINE, WELDMENT
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 344-0301// AMAT APPLIED 0020-21035 BRACKET SOURCE WATER LINE [USED]
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$691.95
Description: 0050-39959 / GAS LINE CHMBR-D MXP HE,SUPPLY T CH PH II MNFM / APPLIED MATERIALS
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$661.32
Description: 3400-01109 / HOSE 20' FLEX LINE CRYO HE 3/4" DIA MALE, CTI 8081608 / AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$467.61
Description: 0140-09986 / HARNESS,INTERLOCK SIGNAL LINE,LIQ. INJ. / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$354.11
Description: 0040-49775 / GAS LINE ASSEMBLY 6G / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$327.65
Description: 0010-70618 / ASSY, COOLING LINE, TURBO PUMP,PH-II FAC / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$280.68
Description: 0050-88507 / GAS LINE CH FEED THRU OUTER TO 3-WAY VALVE DPS / APPLIED MATERIALS
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$257.39
Description: 0050-92814 / ROUGH COOLDOWN KF50 VACUUM LINE NW/KF-50 / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$350.68
Description: 0050-88510 / GAS LINE FLOW 2 INLET TO INNER VALVE / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$350.68
Description: 0050-88508 / GAS LINE, PURGE MANIFOLD BLOCKS / APPLIED MATERIALS AMAT
visionsemi
[view on eBay]
Used 1
in stock
$150.00
Description: APPLIED MATERIALS AMAT WB HTR AR GAS LINE, 0050-76030, NEW
logansemi
[view on eBay]
NEW 1
in stock
$335.00
Description: Applied Materials AMAT 0040-00350 MANOMETER VACUUM LINE WELDMENT, NEW
farmoninc
[view on eBay]
Used 1
in stock
$175.00
Description: 2 AMAT 0050-34811 VCR Gas Line Tee Connector, 100194
farmoninc
[view on eBay]
Used 6
in stock
$95.00
Description: AMAT 0050-34811 VCR Gas Line Tee Connector, 326372
j316gallery
[view on eBay]
NEW 9
in stock
$481.20
Description: 23501 APPLIED MATERIALS LINE, PROCESS CHAM. EXHAUST, EXH. CAP (NEW) 0050-14801
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$180.68
Description: 0020-63714 /CLAMP HEATED RIGHT INTRG GAS LINE 300MM DPS2/ APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$420.68
Description: 0050-88506 GAS LINE CH FEED THRU INNER TO 3-WAY VALVE DPS / APPLIED MATERIALS
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$360.68
Description: 0050-87467 / GAS LINE FLOW 1 INLET TO OUTER MANIFOLD / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$350.68
Description: 0050-80586 / WELDMENT PURGE LINE LEFT DG 300MM DPS2 / APPLIED MATERIALS AMAT
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 177-0503// LAM GAS LINE 715-250176-003 [USED]
spsglobal
[view on eBay]
Used 3
in stock
$100.00
Description: 177-0503// LAM GAS LINE 715-250174-004 [USED]
spsglobal
[view on eBay]
Used 5
in stock
$100.00
Description: 177-0503// LAM GAS LINE 715-250173-003 [USED]
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 177-0503// LAM GAS LINE 715-250172-003 [USED]
ace_stellar_seller
[view on eBay]
NEW 1
in stock
$4,500.00
Description: LAM RESEARCH 853-347066-001 ASSY, DLVY LINE, HTD, WVDS , 853-034482-001
socotek1
[view on eBay]
NEW 1
in stock
$57.00
Description: Applied Materials AMAT Weldment Chamber Process Line, 0050-39231
socotek1
[view on eBay]
NEW 1
in stock
$48.00
Description: Applied Materials AMAT Weldment Spool ASP Chamber Process Line, 0050-37424 REV A
socotek1
[view on eBay]
NEW 1
in stock
$51.00
Description: Applied Materials AMAT Weldment Chamber Process Line, 0050-37406
socotek1
[view on eBay]
NEW 1
in stock
$51.00
Description: Applied Materials AMAT Weldment Chamber Process Line, 0050-37282
socotek1
[view on eBay]
NEW 1
in stock
$54.00
Description: Applied Materials AMAT Weldment CHMB D, Process Chamber Line 0050-30493
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-76085, Exhaust Line, New
socotek1
[view on eBay]
NEW 1
in stock
$51.00
Description: Applied Materials AMAT Weldment Process Chamber Line 0050-39192 Rev-001
j316gallery
[view on eBay]
NEW 2
in stock
$5,158.47
Description: 24201 APPLIED MATERIALS LINE, 1/4-3/8VENT/DEPOSIT, DIELECTRIC (NEW) 0050-26836
senior-inc
[view on eBay]
NEW 2
in stock
$50.00
Description: AMAT 0021-89433 GASKET AIR SEAL WATER LINE
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, 0050-18569, Gas Line Assy, Used
banyanteam
[view on eBay]
Used 3
in stock
$40.00
Description: Applied Materials Type 0020-00246 Line Mounting Gate Block
gbuilt
[view on eBay]
Used 1
in stock
$99.00
Description: Applied Materials (AMAT) 0050-91047 Rev:001 FITTING, PURE GAS LINE
gbuilt
[view on eBay]
Used 1
in stock
$149.99
Description: Applied Materials (AMAT) 0051-16174 Rev:003 FITTING, PURE GAS LINE
expertsurplus
[view on eBay]
Used 1
in stock
$325.00
Description: AMAT, 90 Degree Gas Line, 0227-96682, Used, Lot of 3
capitolareatech
[view on eBay]
Used 3
in stock
$489.95
Description: Applied Materials (AMAT) 0140-09986 HARN. INTERLOCK SIGNAL LINE, LIQ. INJ.
capitolareatech
[view on eBay]
Used 2
in stock
$349.95
Description: Applied Materials (AMAT) 0040-20554 ADAPTER ELBOW ROUGHING LINE
capitolareatech
[view on eBay]
Used 1
in stock
$279.95
Description: Applied Materials (AMAT) 0050-21119 GAS LINE ARGON MANIFOLD EXTENSION
capitolareatech
[view on eBay]
Used 16
in stock
$299.95
Description: Applied Materials (AMAT) 0050-42148 LINE 3/8" XDCR TEE
capitolareatech
[view on eBay]
Used 1
in stock
$79.95
Description: Applied Materials (AMAT) 0040-39483 BRACKET GAS LINE UPPER CH. A/B/C, PRODUC
farmoninc
[view on eBay]
Used 1
in stock
$395.00
Description: AMAT 0020-21035 Bracket Source Water Line Assy w/ Hose, 102099
j316gallery
[view on eBay]
Used 1
in stock
$405.69
Description: 9722 APPLIED MATERIALS KIT, COOLING LINE, TURBO PUMP, PH-II FAC 0242-37256
usedeqsales
[view on eBay]
Used 6
in stock
$1,008.21
Description: AMAT Applied Materials 0050-31381 Gas Line 6LV-BNVBW4-C Reseller Lot of 6 New
capitolareatech
[view on eBay]
Used 7
in stock
$931.95
Description: Applied Materials (AMAT) 0050-35989 LINE 1 1/4 MANUAL VALVE
capitolareatech
[view on eBay]
Used 1
in stock
$7.95
Description: Applied Materials (AMAT) 0020-76423 CLAMP, VACUUM LINE, CENTURA CHAMBER
roundtable1
[view on eBay]
NEW 1
in stock
$399.99
Description: Applied Materials 0050-91699 LINE, VAC EXH STRAIGHT WITHC
myriadindustrial
[view on eBay]
NEW 9
in stock
$139.00
Description: Applied Materials Coolant Line Tubing AMAT 0050-37815
myriadindustrial
[view on eBay]
NEW 5
in stock
$39.00
Description: Applied Materials Internal Helium Line Weldment TEOS / DOPANT 0050-09473
j316gallery
[view on eBay]
Used 3
in stock
$481.66
Description: 11247 APPLIED MATERIALS VLV, GAS LINE #3 PRECLEAN CHAMBER 0190-20015
magnumpihonhi
[view on eBay]
Used 1
in stock
$242.25
Description: NEW WELDMENT 0050-80586 PURGE LINE LEFT DG 300MM DPS2 FREE SHIPPING
capitolareatech
[view on eBay]
Used 1
in stock
$329.95
Description: Applied Materials (AMAT) 0150-10150 CABLE VACUUM LINE HEATER CH.B/D
capitolareatech
[view on eBay]
Used 1
in stock
$29.95
Description: Applied Materials (AMAT) 3790-01177 GAS LINE, FITTING (PACK OF 6)
capitolareatech
[view on eBay]
NEW 1
in stock
$999.95
Description: Applied Materials (AMAT) 0050-33895 GAS LINE, CLEAN WF6/NF3 FINAL, DCSXZ
capitolareatech
[view on eBay]
Used 1
in stock
$299.95
Description: Applied Materials (AMAT) 0050-42238 WELDMENT, GAS LINE
capitolareatech
[view on eBay]
Used 8
in stock
$699.95
Description: Applied Materials (AMAT) 0050-05423 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 2
in stock
$299.95
Description: Applied Materials (AMAT) 0050-42164 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$299.95
Description: Applied Materials (AMAT) 0050-05036 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 2
in stock
$1,299.95
Description: Applied Materials (AMAT) 0050-39083 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 3
in stock
$299.95
Description: Applied Materials (AMAT) 0050-43163 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$699.95
Description: Applied Materials (AMAT) 0050-36678 LINE HE SUPPLY 2 OF 3,CENTURA METAL ETCH
capitolareatech
[view on eBay]
Used 3
in stock
$299.95
Description: Applied Materials (AMAT) 0050-05046 GAS LINE, REGULATOR
capitolareatech
[view on eBay]
Used 4
in stock
$299.95
Description: Applied Materials (AMAT) 0050-34891 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$199.95
Description: Applied Materials (AMAT) 0050-42828 WELDMENT, GAS LINE
capitolareatech
[view on eBay]
Used 1
in stock
$199.95
Description: Applied Materials (AMAT) 0226-48664 GAS LINE
capitolareatech
[view on eBay]
Used 1
in stock
$199.95
Description: Applied Materials (AMAT) 0226-48662 GAS LINE
capitolareatech
[view on eBay]
Used 9
in stock
$349.95
Description: Applied Materials (AMAT) 0226-48665 GAS LINE
capitolareatech
[view on eBay]
Used 2
in stock
$699.95
Description: Applied Materials (AMAT) 0050-43480 GAS LINE, CH2, PROCESS W/ SUB ZERO BESC,
capitolareatech
[view on eBay]
Used 3
in stock
$899.95
Description: Applied Materials (AMAT) 0050-05418 WELDMENT, GAS LINE
capitolareatech
[view on eBay]
Used 4
in stock
$799.95
Description: Applied Materials (AMAT) 0050-31978 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$899.95
Description: Applied Materials (AMAT) 0050-32178 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 4
in stock
$799.95
Description: Applied Materials (AMAT) 0050-25568 GAS LINE, N2 CONTAINMENT VENT NO. 1
capitolareatech
[view on eBay]
Used 2
in stock
$199.95
Description: Applied Materials (AMAT) 0050-04941 WELDMENT, GAS LINE
capitolareatech
[view on eBay]
Used 6
in stock
$199.95
Description: Applied Materials (AMAT) 839-470089-001 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$199.95
Description: Applied Materials (AMAT) 0050-28410 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 2
in stock
$199.95
Description: Applied Materials (AMAT) 0050-08521 WELDMENT, Y-LINE, N2 PURGE, GAS LINE, PR
capitolareatech
[view on eBay]
Used 3
in stock
$299.95
Description: Applied Materials (AMAT) 0050-43394 WELDMENT, GAS LINE
capitolareatech
[view on eBay]
Used 7
in stock
$299.95
Description: Applied Materials (AMAT) 0050-31599 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 3
in stock
$299.95
Description: Applied Materials (AMAT) 0050-42927 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 2
in stock
$299.95
Description: Applied Materials (AMAT) 0050-35533 GAS LINE, WLEDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$299.95
Description: Applied Materials (AMAT) 0050-45043 GAS LINE, WELDMENT
capitolareatech
[view on eBay]
Used 5
in stock
$129.95
Description: Applied Materials (AMAT) 0050-70039 GAS LINE, FITTING
capitolareatech
[view on eBay]
Used 1
in stock
$249.95
Description: Applied Materials (AMAT) 0050-36255 LINE HCL
capitolareatech
[view on eBay]
NEW 2
in stock
$89.95
Description: Applied Materials (AMAT) 0720-12817 MODULE IN LINE COUPLER
katiil3
[view on eBay]
Used 1
in stock
$104.30
Description: Applied materials AMAT 0040-34563 BRACKET UPPER TEOS LINE CH A/B
katiil3
[view on eBay]
Used 1
in stock
$83.30
Description: Applied materials 0050-46868 Gas Line O2 Top Feed AL Ultima HDPCVD
allforsale555
[view on eBay]
Used 1
in stock
$299.00
Description: Applied Materials AMAT 0150-43931 CABLE ASSY SLURRY LINE 3/4 RETROFIT , AN
roundtable1
[view on eBay]
Used 1
in stock
$199.99
Description: Applied Materials 0040-88611 Chamber Misc Bracket, Gas Line, MF Chamber, NGGP
expertsurplus
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT, Line, Process Cham. Exh. Mag-ICP, Exh, Cap, 0050-26984, New
expertsurplus
[view on eBay]
NEW 8
in stock
$125.00
Description: AMAT, Magnetron Head Water Line, 0050-14544, New
j316gallery
[view on eBay]
Used 1
in stock
$1,507.95
Description: 27039 APPLIED MATERIALS 7 LINE MANIFOLD SINGLE VALVE ASSY 0050-09391
sgcequipment
[view on eBay]
NEW 25
in stock
$110.00
Description: Applied Materials (AMAT) 0050-62179 hose assembly, 17" water line ch. lid,
katiil3
[view on eBay]
Used 2
in stock
$279.30
Description: Applied Materials AMAT 0140-75475 HARNESS ASSY. SLURRY LINE 3/4 RETROFIT
katiil3
[view on eBay]
Used 2
in stock
$209.30
Description: Applied Materials AMAT 0150-43931 CABLE ASSY SLURRY LINE 3/4 RETROFIT , AN
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$342.98
Description: 0050-64931 / GAS LINE LOWER END POINT DETECTOR PRODUCER / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-38508 LINE BOT EXHAUST CH B 02 SENSOR/ RPP, RTP, 103928
j316gallery
[view on eBay]
Used 2
in stock
$429.26
Description: 28087 APPLIED MATERIALS GAS LINE, ANNEAL, DELIVERY VALVE 0050-75341
j316gallery
[view on eBay]
Used 2
in stock
$167.55
Description: 27703 NOVELLUS GAS LINE WELDMENT 10-159972-00
j316gallery
[view on eBay]
Used 1
in stock
$343.34
Description: 27702 NOVELLUS GAS LINE WELDMENT 10-159489-00
j316gallery
[view on eBay]
Used 1
in stock
$110.38
Description: 27701 NOVELLUS GAS LINE WELDMENT 10-116222-00
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0040-20524 Vacuum Fore line Pipe , KF25, Exhaust, 10430100, 606425B, 105174
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: 3 NOVELLUS 34-284347-00 gas line heated line , BF10537B 05/05, 105222
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0190-02339 Watlow I.063183500D 0106A-3 Vacuum Line Flange, 105283
j316gallery
[view on eBay]
Used 10
in stock
$1,340.40
Description: 28157 APPLIED MATERIALS DUAL HELIUM GAS LINE #1, HDP-CVD, ULTIMA 0050-18943
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0040-20524 Vacuum Fore line Pipe , KF25, Exhaust, 10430100, 606906B, 105843
socotek1
[view on eBay]
NEW 1
in stock
$84.00
Description: Applied Materials AMAT Weldment Chamber Process Line, 0050-39232 REV A
40-30sourcing
[view on eBay]
Used 1
in stock
$1,270.00
Description: CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE Supply and Return Line 30 ft
40-30sourcing
[view on eBay]
Used 12
in stock
$829.00
Description: CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE Supply and Return Line 10 ft
40-30sourcing
[view on eBay]
Used 1
in stock
$499.00
Description: SET OF CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE
40-30sourcing
[view on eBay]
Used 5
in stock
$250.00
Description: SET of 2 CRYO LINE PRESSURIZED Stainless Steel Braid Hose 2FT
40-30sourcing
[view on eBay]
Used 4
in stock
$350.00
Description: CRYO LINE PRESSURIZED STAINLESS STEEL SET OF RETURN & SUPPLY
40-30sourcing
[view on eBay]
Used 1
in stock
$375.00
Description: CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE
40-30sourcing
[view on eBay]
Used 1
in stock
$312.00
Description: CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE
40-30sourcing
[view on eBay]
Used 1
in stock
$200.00
Description: CRYO LINE PRESSURIZED STAINLESS STEEL SET OF RETURN & SUPPLY
x-pressmicro
[view on eBay]
NEW 3
in stock
$349.95
Description: NEW APPLIED MATERIALS 0050-50911 200MM ULTIMA X HDPCVD, ROUGH LINE 2
j316gallery
[view on eBay]
Used 4
in stock
$1,349.11
Description: 28419 APPLIED MATERIALS 5-WAY MANIFOLD VALVE, CLEAN LINE #1 0050-41494
farmoninc
[view on eBay]
Used 2
in stock
$200.00
Description: AMAT 0010-05500 Hose Assembly, HTR Base Supply, Line #6, CH, 107254
farmoninc
[view on eBay]
NEW 2
in stock
$350.00
Description: AMAT 0190-11933 ESC COOLING LINE, 300MM OXIDE, 107643
farmoninc
[view on eBay]
Used 2
in stock
$350.00
Description: AMAT 0190-11933 ESC COOLING LINE, 300MM OXIDE, 107819
visionsemi
[view on eBay]
NEW 2
in stock
$75.00
Description: APPLIED MATERIALS AMAT 0900-01017 FLTR RIF POWER LINE 10 AMP
visionsemi
[view on eBay]
NEW 2
in stock
$76.34
Description: APPLIED MATERIALS AMAT 0900-01017 FLTR RIF POWER LINE 10 AMP
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0050-35659 LINE EXHAUST CHAMBER B, Insync systems, 108739
farmoninc
[view on eBay]
NEW 1
in stock
$165.00
Description: AMAT 0050-36334 LINE PROCESS CHAMBER EXHAUST, 108959
j316gallery
[view on eBay]
Used 1
in stock
$159.28
Description: 29052 APPLIED MATERIALS GAS LINE, GAS BOX INLET, POS 2 TO 7 0050-24774
adelrick123
[view on eBay]
Used 3
in stock
$80.00
Description: AMAT 0021-47232 Bracket support pump purge line
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0140-10010 HARNESS,DI EXTENSION LINE, 109414
farmoninc
[view on eBay]
NEW 1
in stock
$165.00
Description: AMAT 0050-36334 LINE PROCESS CHAMBER EXHAUST, 109486
j316gallery
[view on eBay]
Used 1
in stock
$1,050.00
Description: 29137 APPLIED MATERIALS GAS LINE, STL HEATER, WCSH-0240-0000 0195-00218
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0050-61539 Rough Line Weldment HT S, 109579
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0050-61539 Rough Line Weldment HT S, 109578
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0050-61539 Rough Line Weldment HT S, 109577
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT 0040-09689 Elbow Roughing Line, 109635
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0050-76061 Elbow Vacuum Line with Single Port, 109659
semiconusa
[view on eBay]
Used 1
in stock
$500.00
Description: 0040-40382, gas line roughing line
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0140-09986 HARNESS,INTERLOCK SIGNAL LINE,LIQ. INJ, 109958
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0140-09986 HARNESS,INTERLOCK SIGNAL LINE,LIQ. INJ, 109957
grandbirdnet
[view on eBay]
NEW 4
in stock
$500.00
Description: AMAT 0050-80587 WELDMENT PURGE LINE RIGHT DG 300MM DPS2, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$500.00
Description: AMAT 0050-88506 GAS LINE CH FEED THRU INNER TO 3-WAY VALVE DPS, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$500.00
Description: AMAT 0050-87467 GAS LINE FLOW 1 INLET TO OUTER MANIFOLD, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$500.00
Description: AMAT 0050-88507 GAS LINE CH FEED THRU OUTER TO 3-WAY VALVE DPS, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$500.00
Description: AMAT 0050-88508 GAS LINE, PURGE MANIFOLD BLOCKS, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$500.00
Description: AMAT 0050-88509 GAS LINE, N2 CHAMBER INTERFACE TO MANIFOLD, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$500.00
Description: AMAT 0050-80586 WELDMENT PURGE LINE LEFT DG 300MM DPS2, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$500.00
Description: AMAT 0050-88510 GAS LINE FLOW 2 INLET TO INNER VALVE, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$169.00
Description: AMAT 0050-76668 LINE,UPPER EXHAUST WXP CHAMBER "B", 110200
grandbirdnet
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0050-03617 LINE, NEW
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0050-47741 Gas Line, Side 2, Chamber, Ultima+, HDPCVD, 110566
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0050-28026 GAS LINE, M/F TO CH TRAY AR VENT, ENDURA, 110613
liquiditech
[view on eBay]
Used 2
in stock
$144.00
Description: AMAT Applied 0140-25857 REV.02 H/A 300MM PSU AC Line Cord Cable W/R
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0140-00383 PNEUMATIC AIR LINE, K*TEC ELECTRONICS 0150-00383-SPOT, 110928
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: 2 AMAT 0050-37815 Tubing Coolant Line, 111146
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0010-06285 OUTSOURCED, ASSY, PLASMA CELL, IN LINE EPD DETECTOR, 111185
vizko2017
[view on eBay]
NEW 1
in stock
$90.00
Description: APPLIED MATERIALS 0050-50646 GAS LINE N2 PURGE K1S LIQ PANEL TXZ G
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0050-40523 LINE MIX DOPANT 1 VENT 300MM EPI, VERIFLO 42600726, 111347
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 3400-01113 HOSE 20' F/F CRYO HE FLEX LINE 3/4" DIA, 111635
farmoninc
[view on eBay]
NEW 6
in stock
$350.00
Description: AMAT 4020-01024 MILLIPORE WGFG06WR1 Wafergard In Line Gas Filter 1/4", 111893
farmoninc
[view on eBay]
NEW 1
in stock
$600.00
Description: APPLIED MATERIALS 0242-35277 KIT, BOTTOM FEED EVEN SLD SINLE LINE, 111923
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0050-40015 LINE, SLOW PUMP, DLL, 111926
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0050-09419 WELDMENT, MANIFOLD, SINGLE VALVE, 4 LINE, 111930
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: AMAT 0226-97012 WELDMENT, CHAMBER B TEOS, HE LINE TOP SE, 112005
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: AMAT 0226-02445 WLDMNT,CHAMBER D,PORT 2,GP D2 PROCESS LINE, 112004
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0050-31374 GAS LINE, FHD POS. A/D, 112235
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0050-75204 WLDMNT AUX FINAL CH B UPPER LINE LIQ INJ, 112234
gbuilt
[view on eBay]
Used 1
in stock
$67.23
Description: 0051-16219 GAS LINE ASSEMBLY / APPLIED MATERIALS AMAT
gbuilt
[view on eBay]
Used 1
in stock
$148.15
Description: 0050-61329 GAS LINE ASSEMBLY / APPLIED MATERIALS AMAT -30 Day Warranty 👍
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,893.33
Description: 0050-21302 /GAS LINE TOP ENTRY VENT LL 2 0F 4 / APPLIED MATERIALS AMAT
j316gallery
[view on eBay]
Used 1
in stock
$613.23
Description: 27899 APPLIED MATERIALS 160C ZONE 5 HEATED LINE ASSY/THERMO, PRO 0195-01192
j316gallery
[view on eBay]
Used 1
in stock
$438.15
Description: 15481 APPLIED MATERIALS LINE, MANIFOLD, 3/8 SOURCE 0050-36267
j316gallery
[view on eBay]
Used 1
in stock
$1,314.46
Description: 15154 APPLIED MATERIALS WELDMENT MANF SINGLE VLV 2 LINE 6LV-BNBW4-C 0050-09639
j316gallery
[view on eBay]
Used 1
in stock
$210.83
Description: 15360 APPLIED MATERIALS PROCESS ROUGH LINE LOWER 0040-00089
j316gallery
[view on eBay]
Used 67
in stock
$732.07
Description: 1344 APPLIED MATERIALS GAS LINE REDUCED FTG AMPULE 0050-25123
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0050-27543 WLDMT FINAL PALLET POS 5 CH LINE POS 5, 113109
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 3420-01152 INSULATION BPSG INLET LINE TO FIRST INLET INJ, 113308
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$5,998.56
Description: 8033235 /CRYO TORR CTI 8R ANSI ROUGH LINE AND DIODE CONN/ CTI CRYOGENICS 8R PUMP
ntsurplus302
[view on eBay]
Used 1
in stock
$250.00
Description: 6195 Applied Materials 0050-03614 Weldment Process Chamber Line
ntsurplus302
[view on eBay]
Used 1
in stock
$350.00
Description: 6193 Applied Materials 0050-50596 Weldment Process Chamber Line
i-s-m-e
[view on eBay]
Used 3
in stock
$87.23
Description: APPLIED MATERIALS AMAT 0050-94281 GAS LINE ASSEMBLY (CLEAN)
i-s-m-e
[view on eBay]
Used 4
in stock
$178.12
Description: APPLIED MATERIALS AMAT 0050-94824 GAS LINE ASSEMBLY (clean)
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$229.56
Description: 8043275G001 / HELIUM LINE F2F / CTI CRYOGENICS
part-soulution
[view on eBay]
Used 1
in stock
$592.03
Description: Lam Research He Line Valve 6LVV-DPB111P-CM-30373, 839-078004-003 (As-Is)
gordonca
[view on eBay]
Used 2
in stock
$150.00
Description: Radius Power RPC1007-45 / 1601046-A EMI Line Filter for ADVANCED ENERGY PINNACLE
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: 3 AMAT 0050-26667 DPS GAS LINE SET, 115021
roundtable1
[view on eBay]
NEW 1
in stock
$49.00
Description: Applied Materials AMAT 0020-44581 Clamp 2 Gas Line Universal, Upper FI GP
j316gallery
[view on eBay]
Used 1
in stock
$466.78
Description: 32402 APPLIED MATERIALS RF LINE FILTER 0040-79465
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 3400-01942 Equalization Valve Line, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0051-83449 LINE REV. 2, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0051-83448 LINE REV. 2, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0051-83447 LINE REV. 2, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0051-83452 LINE REV. 2, NEW
j316gallery
[view on eBay]
Used 1
in stock
$540.28
Description: 31994 APPLIED MATERIALS GAS LINE VDS, 2VLV, PRCS 5RA, VERIFLO 0050-00497
j316gallery
[view on eBay]
Used 1
in stock
$1,417.50
Description: 31999 APPLIED MATERIALS WLDMNT,GAS LINE,CH. C MIDDLE ,CENTURA, 5 VLV 0050-32278
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0050-31373 GAS LINE,FHD BASIC, 104632
farmoninc
[view on eBay]
Used 3
in stock
$430.00
Description: AMAT 0010-01004 Line Gas Feed Assembly REV E, 104690
astrade2012
[view on eBay]
Used 1
in stock
$249.00
Description: AMAT 0050-37556 EXHAUST LINE CH A/B GOOSEN
surplustechmart
[view on eBay]
Used 1
in stock
$198.00
Description: AMAT, 0050-96726, Vacuum Line
part-soulution
[view on eBay]
Used 2
in stock
$978.99
Description: Lam Research Gas Feed Line 839-031197-004 (As-Is)
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,012.03
Description: 839-024453-100 / WELDMENT VAC LINE CLAMP G/COOLING / LAM RESEARCH
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$205.56
Description: 8043295G001 / HELIUM LINE / CTI CRYOGENICS
farmoninc
[view on eBay]
NEW 2
in stock
$495.00
Description: AMAT 0040-00314 Tube Gas Line DISTR #III-VCR, 115737
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,654.00
Description: 839-480111-001 / WELDMENT, INLET, GAS LINE, 24-IN / LAM RESEARCH CORPORATION
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0040-00313 Tube Gas Line DISTR #II-VCR, 117779
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, WELDMENT, WATER LINE, 0050-30514, New
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0040-20524 Vacuum Fore line Pipe , KF25, Exhaust, 10430100, 606906B, 117742
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0040-20524 Vacuum Fore line Pipe , KF25, Exhaust, 10130100, 604992E, 117778
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0050-61539 Rough Line Weldment HT S, 118189
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0050-61539 Rough Line Weldment HT S, 118188
farmoninc
[view on eBay]
NEW 2
in stock
$50.00
Description: AMAT 0020-97105 Insert Gas Line, 118111
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0140-09986 HARNESS,INTERLOCK SIGNAL LINE,LIQ. INJ, 118487
scs6688
[view on eBay]
NEW 1
in stock
$225.00
Description: Applied Materials 0050-94288 GAS LINE,TEOS GOAL POST,PRODUCER GT, New
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 3420-01152 Insulation BPSG Inlet Line To First Inlet INJ, Watlow, 118847
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT 0150-02391 CABLE ASSY, LINE TC, TST K TYPE, 300MM T, 118505
dch2023
[view on eBay]
NEW 1
in stock
$220.00
Description: Applied Materials 0050-94288 GAS LINE,TEOS GOAL POST,PRODUCER GT
farmoninc
[view on eBay]
Used 1
in stock
$95.00
Description: AMAT 0050-20073 Gas Line, Chamber 3 Heater, 116204
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-29026 REV A, 2900 10176500, BUSS BARS, K1 LINE, LEFT, 600A, 116296
abcatlegacyparts
[view on eBay]
Used 1
in stock
$29.99
Description: LAM RESEARCH 685-016427-001 LINE POWERED RS-232/RS-485, Converter
farmoninc
[view on eBay]
Used 1
in stock
$400.00
Description: CTI-Cryogenics 8043086G240 Cryo-Flex Hose Line, G240, 8043086, 260PSI, 119728
farmoninc
[view on eBay]
Used 1
in stock
$400.00
Description: CTI-Cryogenics 8043086G240 Cryo-Flex Hose Line, G240, 8043086, 260PSI, 119727
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: Lot of 2 CTI-Cryogenics 8043086G120 Cryo-Flex Hose Line, G120, 8043086, 119726
farmoninc
[view on eBay]
Used 1
in stock
$400.00
Description: CTI-Cryogenics 8043086 Cryo-Flex Hose Line, 119725
semikorea
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0010-13939 EXHAAUST LINE HEATING 208V 121W
onsiteindustrial
[view on eBay]
Used 1
in stock
$5,999.99
Description: Advanced Energy Line Conditioner 3155143-001.
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0010-70412 ASSY CH AN2 EXHAUST LINE, 119853
semikorea
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0010-13939 EXHAAUST LINE HEATING 208V 121W
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0040-00540 HE SUPPLY LINE, CHAMBER B, Weldment, Gas Line, 119905
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: CTI-Cryogenics 8043086G120 Cryo-Flex Hose Line, G120, 8043086, 260PSI, 119972
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: CTI-Cryogenics 8043086G120 Cryo-Flex Hose Line, G120, 8043086, 260PSI, 119971
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0020-76620 Vacuum Line, 120026
cosplity
[view on eBay]
NEW 1
in stock
$3,900.00
Description: AMAT 0042-16967 VALVE LINE ASSEMBLY
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0050-20950 UPPER GAS LINE CH 3 MFC 12 VCR, 120006
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0050-32928 Gas Line, 121183
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,415.91
Description: 0240-71236 / KIT, CH3 STD BODY HEATER GAS LINE / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 AMAT 0020-76569 CLAMP, BASE FRNT WATER LINE FAC I/F CENT, 121387
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 AMAT 0020-76570 CLAMP, HOLD FRNT WATER LINE FAC I/F CENTURA 5200ST, 121386
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT APPLIED 3400-01069 HOSE 10'FLEX LINE 1/2 DIA CTI CRYOGENICS 8081271, 121664

This tag has been viewed 4 times

Most recent views:

Singapore Saturday, Sep/07/2024 at 8:39 pm CST
Korea (Republic of) Saturday, Sep/07/2024 at 8:39 pm CST
Malaysia Saturday, Sep/07/2024 at 8:37 pm CST
Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
jtmtech NEW - $250.00 0 Aug/22/12 Sep/01/12
Description: LAM Weldment He Line Manometer Adapter 839-029227-100
jtmtech NEW - $250.00 0 Sep/01/12 Sep/11/12
Description: LAM Weldment He Line Manometer Adapter 839-029227-100
jtmtech NEW - $220.00 0 Sep/21/12 Oct/01/12
Description: LAM Weldment He Line Manometer Adapter 839-029227-100
redlinemerch NEW - $195.00 1 Nov/16/12 Nov/07/13
Description: Applied Materials interlock signal line liquid inject Wire Harness 0140-09986
svcstore Used - $1,999.99 0 Dec/14/12 Dec/17/12
Description: Nutek NTM4410UM Advance Platform Line Unloader/Loader Multi-Magazine PCB
capitolareatech NEW - $40.00 0 Oct/22/12 Sep/17/13
Description: LAM RESEARCH 835-007173-001 GASFEED LINE 2
bobsgoodies Used - $110.00 0 Jan/02/13 Feb/01/13
Description: Applied Materials 0050-10314 Vent Line, Etch Chamber AMAT
capitolareatech NEW - $93.75 1 Jan/04/13 May/30/14
Description: LAM 839-007173-001 WLDMNT, GAS FEED LINE, FLEX
tdindustrial NEW - $149.50 1 Jan/09/13 Jan/10/13
Description: AMAT Part No: 0190-20015 Gas Line No. 3 Preclean Chamber (Reactive Preclean)
bobsgoodies NEW - $18.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0140-09739 (2) Harness Indicator Switch Line LED Bd.
sisco-deals Used - $1,095.00 1 Sep/28/12 Jan/14/13
Description: ADVANCED ENERGY 3150301-002 CONTROLLER W LINE CORD ~ GFC-1000-U
svcstore Used - $1,999.99 0 Jan/20/13 Jan/23/13
Description: Nutek NTM4410UM Advance Platform Line Unloader/Loader Multi-Magazine PCB
svcstore Used - $169.99 0 Jan/18/13 Jan/21/13
Description: EMB CHW050-AA01-70 BUS Driver for Serial RS485 Line w/ EMB-Papst Motors
smtechkoreacom NEW - $300.00 0 Oct/23/12 Sep/18/13
Description: Applied Materials 0050-42629 LINE V2, VAP OUT VAPORIZER TANOX CHAMBER AMAT
sparepartssolution Used - $149.99 1 Jan/30/13 Feb/22/13
Description: (126-0103) AMAT APPLIED MATERIALS 0050-21983 GAS LINE USED WORKING
gesemiconductor NEW - $150.00 0 Jan/31/13 Dec/19/17
Description: New ASM 16-196830-01 Retainer Reactor Gas Line
gesemiconductor NEW - $160.00 0 Jan/31/13 Dec/19/17
Description: New ASM 16-196828-01 Retainer Reactor Gas Line
gesemiconductor NEW - $65.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1012-272-01 Line Gas OW Adapter Gasline Rev.A
gesemiconductor NEW - $565.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1012-264-01 Line Gas OW PCSR Inlet 1-MXG Manifold ALDG
bobsgoodies Used - $110.00 0 Feb/01/13 Mar/03/13
Description: Applied Materials 0050-10314 Vent Line, Etch Chamber AMAT
esolutions1 NEW - $89.10 0 Feb/02/13 Dec/21/14
Description: APPLIED MATERIALS AMAT 0242-34634 KIT, MOUNTING BRACKET GAS LINE TO FI LEFT,300
esolutions1 NEW - $269.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0050-40653 BY-PASS ROUGHING LINE NEW
esolutions1 NEW - $40.29 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0242-40320 KIT BRACKT FINL LINE W/ FRC CH A OR B 300M KI
capitolareatech NEW - $104.00 0 Feb/08/13 Jun/08/13
Description: APPLIED MATERIALS 0050-39399 LINE,SPOOL,SINGLE FEED,RTP
bobsgoodies NEW - $18.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0140-09739 (2) Harness Indicator Switch Line LED Bd.
farmoninc NEW - $125.00 0 Feb/13/13 Apr/11/18
Description: NEW Watlow ES2951 Gas line heater jacket, Novellus 34-261375-00
bobsgoodies Used - $110.00 0 Mar/04/13 Apr/03/13
Description: Applied Materials 0050-10314 Vent Line, Etch Chamber AMAT
tdindustrial Refurbished - $150.00 0 Mar/06/13 Aug/23/20
Description: ORBA TECH Recert, Gas Line w/Filter P/N: LAM 839-332254-004 Sealed
bobsgoodies NEW - $97.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-13042 Cable Heater Cham. "A/B" TEOS Line B
bobsgoodies NEW - $87.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-13043 Cable Heater Cham. "C" TEOS Line BP
bobsgoodies NEW - $87.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-97392 Cable Assembly, TEOS Line, Chamber C, Producer
bobsgoodies NEW - $87.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-97562 Cable Assembly, Cham. C TEOS Line Middle 2
bobsgoodies NEW - $87.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-10227 Cable Vacuum Line Heater Chamber D.
bobsgoodies NEW - $175.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-09373 Cable Heated Gas Line Chamber B
bobsgoodies NEW - $79.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0040-40137 Line Water Cooling Rooftop 3/8"
capitolareatech NEW - $125.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0050-09400 WELDMENT,LINE 1,CHAMBER B
capitolareatech NEW - $337.50 0 Mar/18/13 Oct/09/14
Description: AMAT 0050-16439 LINE SIH4 CHMBR CHMBR B
capitolareatech NEW - $50.00 0 Mar/18/13 Sep/14/13
Description: AMAT 0050-38181 WELDMENT CHAMBER A VENT LINE A2 (MxP+)
capitolareatech NEW - $28.13 0 Mar/18/13 Oct/09/14
Description: AMAT 0050-34084 EXHAUST LINE,UPPER,POS C/D,MxP+/RPS
capitolareatech NEW - $9.37 0 Mar/19/13 Sep/10/14
Description: AMAT 0150-35712 CABLE HEATER, CH. A/C, LINE 1, DCVD, CENTU
capitolareatech NEW - $46.87 0 Mar/19/13 Sep/10/14
Description: AMAT 0050-31597 CH A MXP,PROCESS #3 PH II CMN MNFRM,CHAMBER A GAS LINE TO FRAME
bonezwear NEW - $225.00 0 Mar/30/13 Apr/18/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
bobsgoodies Used - $110.00 0 Apr/03/13 May/03/13
Description: Applied Materials 0050-10314 Vent Line, Etch Chamber AMAT
smartelektronikgmbh NEW - $117.00 0 Apr/10/13 Jun/23/16
Description: AMAT 0040-39482 // BRACKET GAS LINE MIDDLE CH. A/B/C
mayraytan Used - $9.25 2 Apr/14/13 May/24/18
Description: Applied Materials AMAT Gas Line, 0050-14441
bobsgoodies NEW - $85.00 0 Apr/18/13 May/17/13
Description: AMAT 0190-18394 Thermal Fluid Return Line Parker 1/2" CPI Quick Connect +90 ss
bonezwear NEW - $225.00 0 Apr/18/13 May/18/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
brewskibabe-2008 NEW - $200.00 0 Apr/20/13 Apr/23/13
Description: Applied Materials 0050-37815 TUBING, COOLANT LINE AMAT
brewskibabe-2008 NEW - $200.00 0 Apr/23/13 Apr/26/13
Description: Applied Materials 0050-37815 TUBING, COOLANT LINE AMAT
brewskibabe-2008 NEW - $200.00 0 Apr/26/13 Apr/29/13
Description: Applied Materials 0050-37815 TUBING, COOLANT LINE AMAT
brewskibabe-2008 NEW - $200.00 0 May/06/13 May/09/13
Description: Applied Materials 0050-37815 TUBING, COOLANT LINE AMAT
bobsgoodies Used - $110.00 0 May/07/13 May/17/13
Description: Applied Materials 0050-10314 Vent Line, Etch Chamber AMAT
brewskibabe-2008 NEW - $125.00 0 May/10/13 May/13/13
Description: Applied Materials 0050-37815 TUBING, COOLANT LINE AMAT
bobsgoodies Used - $110.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0050-10314 Vent Line, Etch Chamber AMAT
bonezwear NEW - $225.00 0 May/23/13 May/31/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
bonezwear NEW - $195.00 0 May/31/13 Jun/30/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
bobsgoodies NEW - $145.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 3620-01188 PUMP FTG UNION CRYO HELIUM LINE FEM/FEM AMAT
bobsgoodies NEW - $67.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0040-40137 Line Water Cooling Rooftop 3/8" 15.5"
capitolareatech NEW - $535.00 0 Jun/10/13 Sep/08/13
Description: APPLIED MATERIALS (AMAT) 0050-09399 WELDMENT,LINE 2, CHAMBER B
capitolareatech NEW - $37.50 0 Jun/19/13 Sep/12/14
Description: AMAT 0050-09022 EXTENSION,SHORT ARM,VAC LINE
capitolareatech NEW - $85.39 0 Jun/19/13 Sep/17/13
Description: LAM 775-008331-001 FILTER VENT GAS LINE LOAD LOCK WGFG01RH2
capitolareatech NEW - $168.00 1 Jun/19/13 Nov/05/13
Description: LAM 839-014757-057 WELDMT GAS FEED LINE FLEX 57"
capitolareatech NEW - $46.87 0 Jun/28/13 Sep/21/14
Description: APPLIED MATERIALS (AMAT) 0050-04725 WELDMENT, LINE 2, CHAMBER D
bonezwear NEW - $195.00 0 Jun/30/13 Jul/12/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
capitolareatech NEW - $250.00 0 Jul/02/13 Sep/06/13
Description: AMAT 3400-01109 HOSE FLEX 20' LINE HELIUM SST 3/4"DIA MALE/FEM
bonezwear NEW - $175.00 0 Jul/12/13 Jul/31/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
farmoninc NEW - $100.00 0 Jul/20/13 May/04/23
Description: 2 New, AMAT 0050-00004 tube weldment remote final line
farmoninc NEW - $90.00 0 Jul/30/13 May/18/23
Description: AMAT 0021-15369 cover main CB line side, GEN rack 300mm E
bonezwear NEW - $175.00 1 Jul/31/13 Aug/08/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
farmoninc NEW - $300.00 0 Aug/05/13 May/18/23
Description: 2 new AMAT 0040-97414 Gas line pigtail, SDS BF3 fujikin U/C
farmoninc NEW - $750.00 0 Aug/14/13 Mar/06/15
Description: 3 new AMAT 0050-40928 gas line IHC DUMP 2 ULTIMA HDPCVD
farmoninc Used - $300.00 0 Sep/10/13 Dec/19/19
Description: AMAT 0240-22345 Kit, Vent Line, PCII, Cham C, Pre clean, 0050-20845
athomemarket NEW - $149.99 0 Oct/17/13 Oct/24/13
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $135.99 0 Oct/25/13 Nov/01/13
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
yayais2012 NEW - $45.00 0 Oct/29/13 Nov/28/13
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
athomemarket NEW - $135.99 0 Nov/04/13 Dec/04/13
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
capitolareatech NEW - $16.87 0 Nov/26/13 Sep/22/14
Description: AMAT 0140-15468 Cable POWER SUPPLY AC LINE CORD W/RING LUGS H/A 300MM ; COMPATI
yayais2012 NEW - $45.00 0 Nov/28/13 Dec/28/13
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
athomemarket NEW - $135.99 0 Dec/04/13 Jan/03/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0041-00202 bottom panel final line
capitolareatech NEW - $22.59 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 0020-01002 Housing, Gas Feed Line
yayais2012 NEW - $45.00 0 Dec/28/13 Jan/27/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
athomemarket NEW - $137.99 0 Jan/03/14 Feb/02/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
farmoninc Used - $1,100.00 1 Jan/05/14 Dec/01/14
Description: 6 AMAT 3820-02221 gas line manifold valve, with purge, Fujikin 316L-P, 043926
athomemarket NEW - $90.99 0 Jan/17/14 Jan/24/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
farmoninc NEW - $250.00 0 Jan/21/14 Feb/18/14
Description: NEW AMAT 0190-20015 GAS LINE NO.3 PRECLEAN CHAMBER, Veriflo 43700513
yayais2012 NEW - $45.00 0 Jan/28/14 Feb/27/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
athomemarket NEW - $90.99 0 Jan/28/14 Feb/27/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $137.99 0 Feb/03/14 Mar/05/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $69.99 0 Feb/11/14 Feb/18/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $63.99 0 Feb/19/14 Feb/26/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
farmoninc Used - $50.00 0 Feb/19/14 Jan/12/15
Description: AMAT 0900-01017 FLTR RFI Power Line 10A
farmoninc Used - $3,900.00 0 Feb/26/14 Apr/02/15
Description: 25 AMAT 3820-02221 gas line manifold valve, with purge, Fujikin 316L-P, DCS400
ecomicron Used - $1,000.00 0 Feb/26/14 Apr/25/14
Description: AMAT GAS LINE, DUAL HELIUM, ULTIMA HDPCVD, 0050-40927
yayais2012 NEW - $45.00 0 Feb/27/14 Mar/29/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
athomemarket NEW - $63.99 0 Feb/27/14 Mar/29/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $90.99 0 Feb/27/14 Mar/29/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
wpvbekins NEW - $75.00 0 Jul/14/11 Aug/20/16
Description: AMAT Applied Materials Gas Line P/N 0050-14943 Rev A
testeqe NEW - $249.99 0 Mar/13/14 Jun/30/16
Description: NEW Lam Research PN: 853-035882-002 Heated Line Elbow Fitting Assy, CA, ELB FTG
athomemarket NEW - $90.99 0 Mar/30/14 Apr/29/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $63.99 0 Mar/30/14 Apr/29/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
yayais2012 NEW - $45.00 0 Mar/31/14 Apr/30/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
testeqe NEW - $399.99 0 Apr/01/14 Jun/19/16
Description: NEW AMAT PN: 0195-00041 110C Zone 2 Heated Gas Line Top Level Assembly
athomemarket NEW - $137.99 0 Apr/04/14 May/04/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
systasemi NEW - $570.00 0 Apr/06/14 Jun/01/23
Description: AMAT 0050-92816 GAS LINE, POS 1 NF3
athomemarket NEW - $63.99 0 Apr/29/14 May/29/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $90.99 0 Apr/29/14 May/29/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
yayais2012 NEW - $45.00 0 Apr/30/14 May/30/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
farmoninc NEW - $250.00 1 May/19/14 Sep/05/16
Description: AMAT 0040-35852 Elbow, Roughing Line, Ebara, pos C/D
xl-t_com NEW - $1,350.00 1 May/27/14 Jan/19/15
Description: APPLIED MATERIALS - 0190-00008 - PEDESTAL SUPPLY LINE, FLEXIBLE, CHMB MOU
athomemarket NEW - $90.99 0 May/29/14 Jun/28/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $63.99 0 May/29/14 Jun/28/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
yayais2012 NEW - $45.00 0 May/30/14 Jun/29/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
athomemarket NEW - $63.99 0 Jul/28/14 Aug/27/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $90.99 0 Jul/28/14 Aug/27/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
yayais2012 NEW - $45.00 0 Aug/01/14 Aug/31/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
waste-not-recycling NEW - $69.99 0 Aug/01/14 Aug/31/14
Description: Lot of 4 AMAT Cambridge 0040-96353 Gas Line Assemblies, New in sealed packaged.
athomemarket NEW - $137.99 0 Aug/03/14 Sep/02/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
farmoninc NEW - $50.00 0 Aug/15/14 Jun/01/23
Description: AMAT 0020-97105 Insert Gas Line
farmoninc NEW - $150.00 0 Aug/20/14 Jun/01/23
Description: AMAT 0021-15196 Water Line Cover SWLL 300MM Endura
exper-tech NEW - $80.00 1 Aug/21/14 Jan/16/18
Description: AMAT Applied Materials 0050-36923 Exhaust Line, DLL NW40 ISO-KF, New
exper-tech NEW - $75.00 0 Aug/26/14 Oct/29/18
Description: AMAT Applied Materials 0050-36171 Line Process Chamber EXH Baratron Mount, New
athomemarket NEW - $90.99 0 Aug/27/14 Sep/26/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $63.99 0 Aug/27/14 Sep/26/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
farmoninc NEW - $200.00 0 Aug/27/14 Jun/01/23
Description: AMAT 0010-05500 Hose Assembly, HTR Base Supply, Line #6, CH.
farmoninc NEW - $250.00 0 Aug/27/14 Jun/01/23
Description: AMAT 0010-05496 Hose Assembly, ASTRON TWR., Line #2, CH. C,
farmoninc NEW - $150.00 0 Aug/29/14 Jun/01/23
Description: AMAT 0050-03729 LINE, RP EXH W/GATEVALVE CHAM. B
yayais2012 NEW - $45.00 0 Sep/02/14 Oct/02/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
athomemarket NEW - $137.99 0 Sep/02/14 Oct/02/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
waste-not-recycling NEW - $59.99 0 Sep/02/14 Oct/02/14
Description: Lot of 4 AMAT Cambridge 0040-96353 Gas Line Assemblies, New in sealed packaged.
farmoninc NEW - $450.00 0 Sep/03/14 Jun/01/23
Description: AMAT 0040-35487 Reducer Line, SS316L, Metch R2 Seiko 301
athomemarket NEW - $137.99 0 Oct/02/14 Nov/01/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
bobsgoodies NEW - $145.00 2 Aug/27/14 Dec/03/14
Description: Applied Materials 3620-01188 PUMP FTG UNION CRYOGENIC HELIUM LINE FEM/FEM
athomemarket NEW - $359.99 0 Dec/17/14 Dec/24/14
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket Used - $59.99 0 Dec/17/14 Dec/24/14
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket NEW - $314.99 0 Dec/18/14 Dec/25/14
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $92.99 0 Dec/26/14 Jan/25/15
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $65.99 0 Dec/26/14 Jan/25/15
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
capitolareatech NEW - $34.02 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-09476 Clamp, TC, Vapor Line, H20 VDSII
capitolareatech NEW - $251.63 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-22858 BRACKET, LEFT GAMMA 2 PROCESS GAS LINE
capitolareatech NEW - $349.19 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-00089 PROCESS ROUGH LINE LOWER
capitolareatech NEW - $60.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-06224 CONN POWER LINE RCPT 6A 115/230VAC SNAP-
capitolareatech NEW - $595.96 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-28170 GAS LINE,SLD,TF5,A2,B2,D2,CAJON
capitolareatech NEW - $633.48 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-32817 GAS LINE,A3,UHP,SEGMENT 1,CENTURA CMF,5J
capitolareatech NEW - $175.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-01306 MOTOR DC BRUSHLESS 1000 LINE ENCODER VIT
capitolareatech NEW - $18.32 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 0900-01012 SAE STB-20 Filter EMI Power Line 20AMP 50/6
capitolareatech NEW - $19.42 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0900-01019 DELTA 20DRGS5 FLTRRFI/EMI POWER LINE 20A 50
capitolareatech NEW - $67.74 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 0900-01133 FLTR EMI POWER LINE 250VAC 40A 1PHASE
capitolareatech NEW - $30.80 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0900-01143 FLTR NOISE POWER LINE 10A 250VAC SCR-TER
capitolareatech NEW - $18.74 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0900-90006 CORCOM 10VR1 RFI Power Line Filter10A Two-s
capitolareatech NEW - $56.41 0 Dec/27/14 Jan/21/16
Description: NOVELLUS 10-137031-00 GAS LINE TUBE ASSY, INLET CO2
capitolareatech NEW - $45.20 0 Dec/27/14 Jan/21/16
Description: NOVELLUS 10-137030-00 GAS LINE TUBE ASSY,SPOOL,M-M,L=3.40
capitolareatech Refurbished - $44.63 0 Dec/27/14 Feb/24/16
Description: LAM RESEARCH (LAM) 688-098571-001 FLTR,LINE,MWAVE,STPR
capitolareatech NEW - $125.00 0 Dec/28/14 Feb/24/16
Description: LAM RESEARCH (LAM) 835-007173-001 GASFEED LINE 2
capitolareatech Used - $63.75 0 Dec/28/14 Dec/23/15
Description: LAM RESEARCH (LAM) 839-014757-057 WELDMT GAS FEED LINE FLEX 57"
capitolareatech NEW - $106.25 0 Dec/28/14 Feb/24/16
Description: LAM RESEARCH (LAM) 839-024561-100 LAM WELDMENT ENTRANCE AND VENTILATION LINE
capitolareatech NEW - $9.04 0 Dec/28/14 Jul/30/15
Description: LAM RESEARCH (LAM) 839-031455-001 WELDMENT, GAS LINE
xl-t_com NEW - $450.00 0 Dec/28/14 Aug/31/16
Description: APPLIED MATERIALS - 0020-01003 - ADAPTER GAS DIST LINE
capitolareatech NEW - $21.68 1 Sep/26/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 0050-75204 ASSY, LINE
imca00 NEW - $449.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
athomemarket Used - $61.99 0 Dec/29/14 Jan/28/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket NEW - $362.99 0 Dec/29/14 Jan/28/15
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $316.99 0 Dec/29/14 Jan/28/15
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $140.99 0 Dec/31/14 Jan/30/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
yayais2012 NEW - $45.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
farmoninc NEW - $50.00 1 Jan/03/15 Jan/16/20
Description: AMAT 0040-13996 ELBOW ROUGHING LINE
imca00 NEW - $449.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
esolutions1 NEW - $99.00 0 Jan/06/15 Feb/03/15
Description: APPLIED MATERIALS AMAT 0242-34634 KIT, MOUNTING BRACKET GAS LINE TO FI LEFT,300
esolutions1 NEW - $299.00 0 Jan/06/15 Feb/03/15
Description: Applied Materials AMAT 0050-40653 BY-PASS ROUGHING LINE NEW
bornalliancecom Used - $750.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0040-09149 P-5000 Mark II 28 Line Gas Panel Enclosure (Used)
imca00 NEW - $449.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
mpd6996 Used - $100.00 0 Jan/13/15 Jan/26/15
Description: APPLIED MATERIALS (AMAT) 3400-01113 HOSE 20' F/F CRYO HE FLEX LINE 3/4" DIA
mpd6996 Used - $100.00 1 Jan/13/15 Jan/22/15
Description: APPLIED MATERIALS (AMAT) 3400-01109 Cryo HOSE FLEX 20' LINE HELIUM SST 3/4"DI
tdindustrial NEW - $89.50 2 Dec/30/14 Jan/19/15
Description: Cajon Flexible Gas Line 321-4-X-12DFR FVCR 1/2" Both Ends, New
athomemarket NEW - $81.99 0 Jan/25/15 Feb/24/15
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $54.99 0 Jan/25/15 Feb/24/15
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
imca00 NEW - $449.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
athomemarket Used - $49.99 0 Jan/28/15 Feb/27/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket NEW - $346.99 0 Jan/28/15 Feb/27/15
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $285.99 0 Jan/28/15 Feb/27/15
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $126.99 0 Jan/30/15 Mar/01/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
yayais2012 NEW - $45.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
imca00 NEW - $449.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
usedeqsales NEW - $502.15 0 Feb/04/15 May/05/15
Description: Cryotech 0190-01487 Jacketed Main Vacuum Fluid Hose Line 44 Feet AMAT New
imca00 NEW - $449.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
dr.fantom NEW - $150.00 0 Feb/12/15 Apr/13/15
Description: Applied Materials / AMAT 0242-24503 KIT POS. D DEDICATED ROUGH LINE
dr.fantom NEW - $800.00 0 Feb/12/15 Apr/13/15
Description: Applied Materials / AMAT 0050-48273 VACUUM LINE CHAMBER TO TURBO
dr.fantom NEW - $150.00 0 Feb/12/15 Apr/13/15
Description: Applied Materials 0140-15468 H/A 300MM POWER SUPPLY AC LINE CORD W/RING LUGS
mpd6996 Used - $75.00 2 Feb/16/15 Feb/19/15
Description: APPLIED MATERIALS (AMAT) 3400-01113 HOSE 20' F/F CRYO HE FLEX LINE 3/4" DIA
prism_electronics11 Used - $49.95 0 Feb/17/15 Feb/23/15
Description: Swagelok WELD, GAS FD LINE, 8" Lam Research p/n 839-140250-001
athomemarket NEW - $81.99 0 Feb/24/15 Mar/26/15
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $54.99 0 Feb/24/15 Mar/26/15
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
imca00 NEW - $449.00 0 Feb/26/15 Mar/05/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
athomemarket Used - $49.99 0 Feb/27/15 Mar/29/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket NEW - $346.99 0 Feb/27/15 Mar/29/15
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $285.99 0 Feb/27/15 Mar/29/15
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $126.99 0 Mar/01/15 Mar/31/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
yayais2012 NEW - $45.00 0 Mar/08/15 Apr/07/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
imca00 NEW - $449.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
austieiscute Used - $149.99 1 Mar/20/15 Mar/21/15
Description: High Purity Valve AMAT 0010-13593 Rev. A 10430100 Gas Line Chamber
athomemarket NEW - $81.99 0 Mar/26/15 Apr/25/15
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $54.99 0 Mar/26/15 Apr/25/15
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket Used - $49.99 0 Mar/30/15 Apr/29/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket NEW - $285.99 0 Mar/30/15 Apr/29/15
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $346.99 0 Mar/30/15 Apr/29/15
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
yayais2012 NEW - $45.00 0 Apr/08/15 May/08/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
xl-t_com NEW - $175.00 0 Apr/09/15 Dec/05/15
Description: APPLIED MATERIALS - 0050-20472 - VACUUM LINE LOADLOCK A
bobsgoodies NEW - $50.00 0 Apr/09/15 Oct/19/17
Description: AMAT 0010-37625 Chamber B Supply Line 3/8" Braided Stainless Steel Hose 18 1/2"
bobsgoodies2 Used - $30.00 1 Apr/09/15 Mar/31/22
Description: AMAT 0010-37621 Chamber A Supply Line 3/8" Braided Stainless Steel Hose 22 1/2"
athomemarket NEW - $81.99 0 Apr/25/15 May/25/15
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $54.99 0 Apr/25/15 May/25/15
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket Used - $49.99 0 Apr/29/15 May/29/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket NEW - $346.99 0 Apr/29/15 May/29/15
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $285.99 0 Apr/29/15 May/29/15
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
farmoninc Used - $495.00 0 Apr/29/15 Mar/02/23
Description: AMAT 0195-00275 WATLOW 160C ZONE 12 TOP LEVEL ASSY, HEATED GAS LINE 401596
athomemarket NEW - $126.99 0 May/01/15 May/31/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
yayais2012 NEW - $45.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
athomemarket NEW - $54.99 0 May/26/15 Jun/25/15
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $81.99 0 May/26/15 Jun/25/15
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket Used - $49.99 0 May/29/15 Jun/28/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket NEW - $285.99 0 May/29/15 Jun/28/15
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $346.99 0 May/29/15 Jun/28/15
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $126.99 0 May/31/15 Jun/30/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
yhcet1 Used - $79.99 0 Jun/01/15 Jul/01/15
Description: Novellus 02-265208-00 TEOS Gas Box N2 Line Clean
yhcet1 Used - $299.99 0 Jun/01/15 Jul/01/15
Description: Novellus 02-140507-00 Ampule With Opticle Line In TEOS GAS Box
yayais2012 NEW - $45.00 0 Jun/09/15 Jul/09/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
vipermn NEW - $400.00 0 Jun/20/15 Jul/13/15
Description: AMAT APPLIED MATERIALS 0050-07469 LINE, TUNGSTEN MANIFOLD
athomemarket NEW - $54.99 0 Jun/25/15 Jun/13/17
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $81.99 0 Jun/25/15 Jun/13/17
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $346.99 0 Jun/28/15 Jun/16/17
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $285.99 0 Jun/28/15 Jun/16/17
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket Used - $49.99 0 Jun/28/15 Jun/16/17
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
auctionrus Used - $225.00 0 Jun/30/15 Oct/20/23
Description: AMAT 0010-37340 Water Manifold, Line Valve, 406527
auctionrus Used - $225.00 0 Jun/30/15 Oct/20/23
Description: AMAT 0010-37340 Water Manifold, Line Valve, 406526
auctionrus Used - $225.00 0 Jun/30/15 Oct/20/23
Description: AMAT 0010-37340 Water Manifold, Line Valve, 406525
athomemarket NEW - $101.59 0 Jun/30/15 Nov/27/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
yayais2012 NEW - $45.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
auctionrus NEW - $187.50 1 Jul/09/15 Jan/18/21
Description: AMAT 0040-00564 HGE DELIVERY LINE CH A - INITIAL IHC 406636
usedeqsales NEW - $207.15 0 Jul/13/15 Nov/10/15
Description: AMAT Applied Materials 3300-05006 Helium Line FTG Hose Lot of 2 New
yayais2012 NEW - $45.00 0 Aug/11/15 Sep/10/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
etechsolution NEW - $1,000.00 1 Sep/03/15 Sep/03/15
Description: AMAT 0010-13939 EXHAAUST LINE HEATING 208V 121W
yayais2012 NEW - $45.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
auctionrus NEW - $250.00 1 Sep/11/15 May/10/21
Description: AMAT 0190-01047 Heater Jacket, Ampoule Line Element, TXZ, C, 409374
semikorea Used - $1,500.00 0 Sep/16/15 Apr/09/23
Description: AMAT 0010-13939 EXHAAUST LINE HEATING 208V 121W
catalystparts NEW - $350.00 0 Sep/21/15 Aug/17/16
Description: Applied Materials 0010-01004 Gas Line Feed Assembly
semikorea Used - $1,000.00 0 Sep/21/15 Apr/09/23
Description: AMAT 0010-13939 EXHAAUST LINE HEATING 208V 121W
yayais2012 NEW - $45.00 0 Oct/11/15 Nov/10/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
supertechshop NEW - $495.00 0 Oct/12/15 Nov/11/15
Description: NEW AMAT 0190-17833 Chamber P5/RPG CTI Cryo Helium Supply 0190-17834 Return Line
gigabitpartsolutions NEW - $71.50 0 Nov/10/15 Jun/07/16
Description: Cable Applied Materails (AMAT) 0150-10225 VACUUM LINE HEATER CH B.
yayais2012 NEW - $45.00 0 Nov/10/15 Dec/10/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
supertechshop NEW - $495.00 0 Nov/17/15 Dec/17/15
Description: NEW AMAT 0190-17833 Chamber P5/RPG CTI Cryo Helium Supply 0190-17834 Return Line
svcstore Used - $92.99 0 Jan/03/16 Feb/02/16
Description: PPC Pacific Power Remote Control Station for KLA Tencor KLA21XX Line Conditioner
srctech NEW - $1,700.00 0 Jan/08/16 Jan/11/16
Description: 0050-40927 Gas Line, Dual Helium, IHC Assy, Ultima
yayais2012 NEW - $45.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
srctech NEW - $1,950.00 1 Jan/12/16 Feb/08/17
Description: AMAT 0050-40927 Gas Line, Dual Helium, IHC Assy, Ultima
auctionrus NEW - $150.00 0 Jan/22/16 Dec/22/21
Description: AMAT 0150-10226 Cable, Vacuum Line Heater Ch C. 413705
capitolareatech NEW - $25.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3420-01152 INSULATION BPSG INLET LINE TO FIRS
capitolareatech NEW - $412.95 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00356 FLEX LINE, 1/2 FST X MST 32' HP
capitolareatech NEW - $1,250.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00976 GAS LINE HEATER JACKET
capitolareatech NEW - $175.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-01306 MOTOR DC BRUSHLESS 1000 LINE ENCODER VIT
capitolareatech NEW - $56.41 0 Jan/24/16 Feb/24/16
Description: NOVELLUS 10-137031-00 GAS LINE TUBE ASSY, INLET CO2
capitolareatech NEW - $45.20 0 Jan/24/16 Feb/24/16
Description: NOVELLUS 10-137030-00 GAS LINE TUBE ASSY,SPOOL,M-M,L=3.40
capitolareatech NEW - $964.57 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-22345 KIT, VENT LINE, PCII, CH C
capitolareatech NEW - $106.49 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-97768 GAS LINE, SPOOL, CAJON, M/F 6.1L
capitolareatech NEW - $106.49 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-97767 GAS LINE, SPOOL, CAJON, M/M 2.78 L
capitolareatech NEW - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-46414 WELDMENT, CHAMBER LINE B, PORT 2
capitolareatech NEW - $657.76 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-46412 Weldment, Chamber Line A, Port 2
capitolareatech NEW - $633.48 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-32817 GAS LINE,A3,UHP,SEGMENT 1,CENTURA CMF,5J
capitolareatech NEW - $595.96 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-28170 GAS LINE,SLD,TF5,A2,B2,D2,CAJON
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01873 WESCO 1B01889-G-01 CBASSY W/ LINE FLTR ZERO
capitolareatech NEW - $251.63 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-22858 BRACKET, LEFT GAMMA 2 PROCESS GAS LINE
capitolareatech NEW - $34.02 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09476 Clamp, TC, Vapor Line, H20 VDSII
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-12758 CLAMP GAS LINE 200MM CWXZ
capitolareatech NEW - $233.53 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10608 COVER,TEMP SENSOR VDS LINE
capitolareatech NEW - $113.45 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10606 Clamp,Temperature Sensor VDS LINE
supertechshop NEW - $495.00 0 Jan/25/16 Feb/24/16
Description: NEW AMAT 0190-17833 Chamber P5/RPG CTI Cryo Helium Supply 0190-17834 Return Line
auctionrus NEW - $350.00 0 Jan/27/16 Aug/07/18
Description: AMAT 0150-05729 Harness Assembly, OT Interlock, Line HTR Jacket 413899
auctionrus NEW - $250.00 0 Jan/28/16 Aug/08/18
Description: AMAT 0150-05728, Harness Assembly, OT Interlink, Line Heater Jacket. 414090
auctionrus NEW - $250.00 0 Jan/28/16 Aug/08/18
Description: AMAT 0150-05728, Harness Assembly, OT Interlink, Line Heater Jacket. 414089
farmoninc Used - $650.00 1 Jan/29/16 Nov/16/17
Description: CTI Cryogenics Cryopump, Flex Hose, Cryo Line, AMAT 3400-01375, 414105
farmoninc Used - $650.00 1 Jan/29/16 Nov/16/17
Description: CTI Cryogenics 8043155G600 Cryopump Flex Hose Cryo Line, AMAT 3400-01374, 414106
gosemicat NEW - $675.00 0 Feb/02/16 Sep/30/19
Description: 0050-31102 Applied Materials Etch, HE Feed Thru Line, Long
capitolareatech NEW - $58.66 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-05500 HOSE ASSY, HTR BASE SUPPLY, LINE #6, CH.
capitolareatech NEW - $55.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-05496 HOSE ASSY, ASTRON RET., LINE #2, CH. C,
capitolareatech NEW - $80.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-05495 Hose Assembly, ASTRON Supply, Line 1, CH. C, Push-Lok 801-6 WP
capitolareatech NEW - $125.04 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-35084 SCREEN, ROUGHING LINE TRANSFER CHAMBER
capitolareatech NEW - $192.33 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-83227 Argon Gas Line Assembly
capitolareatech NEW - $88.40 0 Feb/24/16 Jun/24/16
Description: APPLIED MATERIALS 0050-39399 Line ,Spool ,Single Feed, RTP
capitolareatech NEW - $150.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-20180 CABLE ASSEMBLY +/-15 AND SENSE LINE
capitolareatech NEW - $45.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-15468 Cable POWER SUPPLY AC LINE CORD W/RING LUGS H/A 300MM ; COMPATIB
capitolareatech NEW - $225.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-09986 HARNESS,INTERLOCK SIGNAL LINE,LIQ. INJ.
capitolareatech NEW - $1,250.00 0 Feb/25/16 Jun/24/16
Description: AMAT 1410-00976 GAS LINE HEATER JACKET
capitolareatech NEW - $56.41 0 Feb/25/16 Jun/24/16
Description: NOVELLUS 10-137031-00 GAS LINE TUBE ASSY, INLET CO2
capitolareatech NEW - $45.20 0 Feb/25/16 Jun/24/16
Description: NOVELLUS 10-137030-00 GAS LINE TUBE ASSY,SPOOL,M-M,L=3.40
capitolareatech NEW - $253.68 0 Feb/25/16 Jun/24/16
Description: AMAT 4020-90020 FILTER WAFERGUARD IN LINE GAS / 3/8 VCO
capitolareatech NEW - $125.00 0 Feb/25/16 Jun/24/16
Description: LAM RESEARCH 839-007173-001 GASFEED LINE 2
capitolareatech NEW - $106.25 0 Feb/25/16 May/25/16
Description: LAM 839-024561-100 LAM WELDMENT ENTRANCE AND VENTILATION LINE
capitolareatech NEW - $324.91 0 Feb/25/16 Jun/24/16
Description: AMAT 0020-01170 GAS DISTR 5 & 6" LINE & PLUG
gesemiconductor Used - $500.00 0 Feb/25/16 Sep/23/19
Description: Applied Materials 0100-90655 Beam Line Instrumentation M/BQ
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-64735 Tube, Replenish Line into Union Tee, 200
capitolareatech NEW - $250.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0680-01873 CBASSY W/ LINE FLTR ZERO FOOTPRINT ENCLR
capitolareatech NEW - $470.62 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-44708 LINE, MFLD 2POS. & PP, 300MM CENTURA LPC
capitolareatech NEW - $427.32 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-02202 LINE N2 PURGE, CLDWN POS D/F & XFER CHAM
capitolareatech NEW - $206.93 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-06748 Weldment 5RA Supply SLD 3 CHMBR Line B&C
capitolareatech NEW - $206.93 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-06747 WELDMENT 5RA SUPPLY SLD 3 CHMBR LINE A&B
capitolareatech Used - $525.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-36604 LINE,LOWER EXHAUST,RTP CHMBR; ISO-KF 25 (side), ISO-KF 40 (TOP),
capitolareatech Refurbished - $44.63 0 Feb/29/16 Jun/28/16
Description: LAM 688-098571-001 FLTR,LINE,MWAVE,STPR
yericomfg NEW - $550.00 0 Feb/29/16 Aug/08/18
Description: PALL GAS LINE FILTER; MLOX 028-225-1/4GS-MF; P22207111, Novellus 22-115763-00,
capitolareatech NEW - $50.00 0 Mar/16/16 Mar/16/16
Description: AMAT 0050-38181 WELDMENT CHAMBER A VENT LINE A2 (MxP+)
capitolareatech NEW - $50.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0050-38181 WELDMENT CHAMBER A VENT LINE A2 (MxP+)
capitolareatech NEW - $50.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0050-07513 GAS LINE,TA CHAMBER,TANTALUM,200MM
capitolareatech NEW - $50.00 0 Mar/16/16 Mar/16/16
Description: AMAT 0050-07513 GAS LINE,TA CHAMBER,TANTALUM,200MM
capitolareatech NEW - $50.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0020-22518 Buss Bar 400 Amp CB Line #3
capitolareatech NEW - $50.00 0 Mar/16/16 Mar/16/16
Description: AMAT 0020-22518 Buss Bar 400 Amp CB Line #3
supertechshop NEW - $495.00 0 Mar/26/16 Apr/25/16
Description: NEW AMAT 0190-17833 Chamber P5/RPG CTI Cryo Helium Supply 0190-17834 Return Line
nissiglobal NEW - $44.99 1 Mar/28/16 Mar/01/17
Description: APPLIED MATERIALS 0195-02768 SPECIFICATION, H/A 300MM POWER SUPPLY AC LINE COR
bobsgoodies2 Used - $225.00 0 Mar/30/16 Mar/31/22
Description: AMAT 0150-76849 CABLE, CHB, VACUUM LINE HEATER ASSY Applied Materials
f2d-express Used - $295.00 0 Mar/30/16 Jun/22/23
Description: Applied Materials Line V4 Carrier Inlet Vaporizer Tanox Chamber 0050-42630 - New
capitolareatech NEW - $27.97 0 Apr/05/16 Jul/04/16
Description: AMAT 0900-90006 RFI Power Line Filter10A Two-stage General Purpose; FILTER EMI 1
socotek1 NEW - $170.00 0 Apr/05/16 May/25/22
Description: Applied Materials AMAT Weldment Chamber Process Line, 0050-39232 REV A
socotek1 NEW - $80.00 0 Apr/05/16 Apr/06/21
Description: Applied Materials AMAT Weldment Spool ASP Chamber Process Line, 0050-37424 REV A
socotek1 NEW - $112.00 0 Apr/07/16 Apr/07/21
Description: Applied Materials AMAT Weldment Chamber Process Line, 0050-37282
socotek1 NEW - $112.00 0 Apr/07/16 Apr/07/21
Description: Applied Materials AMAT Weldment CHMB D, Process Chamber Line 0050-30493
socotek1 NEW - $84.00 0 Apr/14/16 Apr/15/21
Description: Applied Materials AMAT Weldment Process Chamber Line 0050-39192 Rev-001
capitolareatech NEW - $15.00 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-37563 Clamp, Top, WF6/SIH4 1/4 gas line
capitolareatech NEW - $30.00 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-14107 BASE, WATER LINE, LAMP MODULE
capitolareatech NEW - $19.42 0 Apr/20/16 Sep/15/16
Description: AMAT 0900-01019 FLTRRFI/EMI POWER LINE 20A 50/60HZ 115/250VAC
capitolareatech NEW - $18.32 0 Apr/20/16 Sep/15/16
Description: AMAT 0900-01012 Filter EMI Power Line 20AMP 50/60HZ 115/250VAC
supertechshop NEW - $495.00 0 Apr/25/16 May/19/16
Description: NEW AMAT 0190-17833 Chamber P5/RPG CTI Cryo Helium Supply 0190-17834 Return Line
bobsgoodies2 NEW - $85.00 0 Apr/26/16 Mar/31/22
Description: AMAT 0150-10227 Cable, vacuum line heater chamber D Applied Materials
megawavz Used - $295.00 0 Apr/27/16 May/27/16
Description: Applied Materials AMAT 0120-90809 Beam Line Interface 0100-90224
svcstore Used - $299.99 0 Apr/27/16 May/27/16
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
bobsgoodies2 Used - $225.00 0 May/31/16 Mar/31/22
Description: AMAT 0225-97970 LINE HEATER ASSY Applied Materials Watlow 0106C-02 120V 18.1W
bobsgoodies NEW - $90.30 1 Jun/01/16 Oct/19/17
Description: AMAT 0050-37557 EXHAUST LINE FLEXIBLE EXTENSION
svcstore Used - $299.99 0 Jun/05/16 Jul/05/16
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
megawavz Used - $495.00 0 Jun/06/16 Jul/06/16
Description: Applied Materials AMAT 0120-90809 Beam Line Interface 0100-90224
supertechshop NEW - $425.00 0 Jun/12/16 Jul/12/16
Description: NEW AMAT 0190-17833 Chamber P5/RPG CTI Cryo Helium Supply 0190-17834 Return Line
testeqe NEW - $749.99 1 Jun/15/16 Nov/02/16
Description: NEW Lam Research PN: 839-024453-100 Wldmt Weldment VAC Line Clamp G/Cooling
testeqe NEW - $399.99 0 Jun/22/16 Jul/17/17
Description: NEW AMAT PN: 0195-00041 110C Zone 2 Heated Gas Line Top Level Assembly
smartelektronikgmbh NEW - $98.00 4 Jun/29/16 Jan/27/20
Description: AMAT AMAT 0050-35963 // LINE PROCESS CHAMBER NITRIDE
ecomicron Used - $220.00 0 Jun/29/16 Nov/15/21
Description: 0140-76107, AMAT, HARNESS, 5 kVA XFMR, LINE SIDE
testeqe NEW - $149.99 0 Jun/29/16 Jul/24/17
Description: NEW Lam Research PN: 857-441568-101 SP PC,RGLTR/XCR/V,10 RA Weldment Line Kit
gesemiconductor NEW - $32.00 0 Jun/30/16 Dec/19/17
Description: APPLIED MATERIALS 0020-96078 Tube Gas Line
testeqe NEW - $249.99 0 Jun/30/16 Jul/25/17
Description: NEW Lam Research PN: 853-035882-002 Heated Line Elbow Fitting Assy, CA, ELB FTG
svcstore Used - $299.99 0 Jul/05/16 Aug/04/16
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
ecomicron NEW - $900.00 0 Jul/07/16 Jul/26/21
Description: 3400-01109, AMAT, HOSE FLEX 20' LINE HELIUM SST 3/4" DIA MALE/FEM
capitolareatech NEW - $192.33 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-83227 Argon Gas Line Assembly
capitolareatech NEW - $88.40 0 Jul/08/16 Sep/15/16
Description: APPLIED MATERIALS 0050-39399 Line ,Spool ,Single Feed, RTP
capitolareatech NEW - $171.72 0 Jul/08/16 Sep/15/16
Description: AMAT 0090-36308 HTR ASSY., LINE PRE-HTR G-PLIS MCVD
capitolareatech NEW - $651.14 0 Jul/08/16 Sep/15/16
Description: AMAT 0225-33216 WLDMNT SIH4 LINE TUBE CHAM A
capitolareatech NEW - $27.97 0 Jul/08/16 Sep/15/16
Description: AMAT 0900-90006 RFI Power Line Filter10A Two-stage General Purpose; FILTER EMI 1
capitolareatech NEW - $67.74 0 Jul/08/16 Sep/15/16
Description: AMAT 0900-01133 FLTR EMI POWER LINE 250VAC 40A 1PHASE
capitolareatech NEW - $60.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0720-06224 Corcom PSLSXSS60 CONN POWER LINE RCPT 6A 115/230VAC SNAP-
capitolareatech NEW - $56.41 0 Jul/08/16 Sep/15/16
Description: NOVELLUS 10-137031-00 GAS LINE TUBE ASSY, INLET CO2
capitolareatech NEW - $45.20 0 Jul/08/16 Sep/15/16
Description: NOVELLUS 10-137030-00 GAS LINE TUBE ASSY,SPOOL,M-M,L=3.40
capitolareatech NEW - $1,250.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-00976 GAS LINE HEATER JACKET
capitolareatech NEW - $253.68 0 Jul/09/16 Sep/15/16
Description: AMAT 4020-90020 FILTER WAFERGUARD IN LINE GAS / 3/8 VCO
capitolareatech NEW - $125.00 0 Jul/09/16 Sep/15/16
Description: LAM RESEARCH 839-007173-001 GASFEED LINE 2
capitolareatech NEW - $106.25 0 Jul/09/16 Sep/15/16
Description: LAM 839-024561-100 LAM WELDMENT ENTRANCE AND VENTILATION LINE
capitolareatech Used - $525.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0050-36604 LINE,LOWER EXHAUST,RTP CHMBR; ISO-KF 25 (side), ISO-KF 40 (TOP),
capitolareatech Refurbished - $44.63 0 Jul/09/16 Sep/15/16
Description: LAM 688-098571-001 FLTR,LINE,MWAVE,STPR
ntsurplus302 Used - $50.00 0 Jul/12/16 Apr/12/18
Description: 3583 Applied Materials 0050-34720 Rev. A Gas Line
farmoninc Used - $250.00 0 Jul/14/16 Jan/17/17
Description: Fujikin 316L-P Gas Line Manifold Valve w/ Purge, AMAT 3820-02221, 329875
farmoninc Used - $250.00 0 Jul/14/16 Jan/17/17
Description: Fujikin 316L-P Gas Line Manifold Valve w/ Purge, AMAT 3820-02221, 329874
farmoninc Used - $250.00 0 Jul/14/16 Jan/17/17
Description: Fujikin 316L-P Gas Line Manifold Valve w/ Purge, AMAT 3820-02221, 329873
ecomicron NEW - $300.00 0 Jul/21/16 Oct/02/18
Description: 0140-00570, AMAT, POLY LINE HARNESS
bornalliancecom Used - $995.00 0 Jul/25/16 Jul/20/20
Description: Applied Materials 0040-09149 P-5000 Mark II 28 Line Gas Panel Enclosure
smartelektronikgmbh NEW - $290.00 0 Jul/27/16 Feb/16/18
Description: AMAT 0150-10150 // CABLE VACUUM LINE HEATER CH.B/D
smartelektronikgmbh NEW - $117.00 0 Jul/26/16 Jan/01/18
Description: AMAT 0040-39482 // BRACKET GAS LINE MIDDLE CH. A/B/C
sammy_etek NEW - $1,200.00 1 Jul/31/16 Jan/20/21
Description: 0050-40309, APPLIED MATERIALS, GAS LINE MANIFOLD
autoquip7 NEW - $900.00 0 Jul/31/16 Jul/25/22
Description: 0050-14498, APPLIED MATERIALS, LINE, 1/4" MNFLD 3-PORT CLF3 W/PP
pohyh NEW - $500.00 0 Aug/02/16 Jul/19/18
Description: 4970 LAM RESEARCH GAS LINE (NEW) 839-057386-003 REV A
benta09 NEW - $35.00 0 Aug/03/16 Sep/02/16
Description: NEW AMAT APPLIED MATERIALS 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT
benta09 NEW - $35.00 0 Aug/03/16 Sep/02/16
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
surplusseller13 NEW - $56.45 0 Aug/03/16 Dec/06/17
Description: LAM Research 839-011520-001 Weldment Flex Gas Feed Line New
testeqe NEW - $399.99 0 Aug/05/16 Jul/01/17
Description: NEW AMAT PN: 0195-00042 110C Zone 4 Heater Top Level Assy, Producer SE Line
testeqe NEW - $399.99 0 Aug/05/16 Jul/01/17
Description: NEW AMAT PN: 0242-48339 Heater/Insulation Jacket Line Kit
svcstore Used - $299.99 0 Aug/05/16 Sep/04/16
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
autoquip7 NEW - $1,000.00 0 Aug/10/16 Jul/25/22
Description: 0200-36018, APPLIED MATERIALS, INTEL,GAS LINE,DTCU,DPS 300MM
autoquip7 NEW - $4,000.00 0 Aug/11/16 Jul/25/22
Description: 0040-23168, APPLIED MATERIALS, MANIFOLD, 300MM CHAMBER WATER LINE
ecomicron NEW - $540.00 2 Aug/19/16 Aug/03/21
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR
benta09 NEW - $35.00 0 Sep/03/16 Oct/03/16
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $35.00 0 Sep/03/16 Oct/03/16
Description: NEW AMAT APPLIED MATERIALS 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT
autoquip7 NEW - $2,500.00 0 Sep/06/16 Jul/25/22
Description: 0050-34790, Applied Materials, LINE, 1/4" MANIFOLD 4-PORT SILICON/WF6
sammy_etek NEW - $400.00 2 Sep/12/16 Aug/16/18
Description: 0050-36639, APPLIED MATERIALS, LINE, DOPANT INJECT (INTEL SPEC.)
autoquip7 NEW - $500.00 0 Sep/12/16 Jul/25/22
Description: 0050-44715, APPLIED MATERIALS, LINE, BOT. PURGE, 300MM CENTURA LPCVD GP
autoquip7 NEW - $3,500.00 0 Sep/12/16 Jul/25/22
Description: 0050-37178, APPLIED MATERIALS, LINE MAIN SIH4/DCS
sammy_etek NEW - $500.00 1 Sep/12/16 Jan/25/17
Description: 0040-61295, APPLIED MATERIALS, LINE, TUBE, RF FEEDTHRU, R1' DTCU, DPS POLY
autoquip7 NEW - $2,300.00 0 Sep/12/16 Jul/25/22
Description: 0050-07469, APPLIED MATERIALS, LINE, TUNGSTEN MANIFOLD NGP TUNGSTEN
autoquip7 NEW - $1,500.00 0 Sep/12/16 Jul/25/22
Description: 0050-06360, APPLIED MATERIALS, MANIFOLD, 2 LINE, FUJIKIN, CVD TI GPLIS,
svcstore Used - $299.99 0 Sep/15/16 Oct/15/16
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
capitolareatech NEW - $207.23 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-13213 LINE, EXTENSION, 2.5L AMPOULE, 300MM TXZ
capitolareatech NEW - $41.28 0 Sep/19/16 Nov/18/16
Description: AMAT 0620-00367 ADO Line Power Input Cable Assembly 6" Long
capitolareatech NEW - $1,250.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-00976 GAS LINE HEATER JACKET
capitolareatech NEW - $125.00 0 Sep/20/16 Nov/19/16
Description: LAM RESEARCH 839-007173-001 GASFEED LINE 2
capitolareatech Refurbished - $44.63 0 Sep/20/16 Nov/19/16
Description: LAM 688-098571-001 FLTR,LINE,MWAVE,STPR
capitolareatech NEW - $65.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0680-01201 CB K-FRAME PLUG NUT LINE & SIDE
benta09 NEW - $35.00 0 Oct/06/16 Nov/05/16
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $35.00 0 Oct/06/16 Nov/05/16
Description: NEW AMAT APPLIED MATERIALS 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT
svcstore Used - $299.99 0 Oct/19/16 Nov/17/16
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
catalystparts NEW - $350.00 0 Oct/19/16 Jul/06/18
Description: Applied Materials 0010-01004 Gas Line Feed Assy
spsglobal NEW - $50.00 1 Oct/24/16 Mar/08/17
Description: AMAT APPLIED MATERIALS 0020-21035 BRACKET SOURCE WATER LINE 2ND SOURCE NEW
farmoninc NEW - $3,200.00 0 Oct/24/16 Mar/16/23
Description: AMAT 0010-30025 Assy Lower Gas Line 417504
farmoninc Used - $95.00 0 Oct/24/16 Mar/16/23
Description: AMAT 0021-20219 Buss Bar Line, Phase-C, K-Farme, CB Main AC, 417523
excess-solutions NEW - $500.00 1 Oct/30/16 Nov/04/16
Description: Applied Materials 0010-76555 heated line chamber assembly NEW AMAT
ypspare NEW - $150.00 0 Nov/02/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0050-86833 LINE OP INTERCONNECT CH-Y
benta09 NEW - $35.00 0 Nov/09/16 Dec/09/16
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $35.00 0 Nov/09/16 Dec/09/16
Description: NEW AMAT APPLIED MATERIALS 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT
ypspare Refurbished - $100.00 0 Nov/12/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0050-86833 REV 02 LINE OP INTERCONNECT
ypspare Refurbished - $300.00 0 Nov/12/16 Nov/29/18
Description: AMAT APPLIED MATERIALS 0050-86832 REV 02 1266 CLEANED LINE VAC EX W/LK CK PRT CH
spsglobal Used - $10.00 0 Nov/26/16 Oct/27/22
Description: 346-0102// AMAT APPLIED 0020-76423 CLAMP, VACUUM LINE, CENTURA CHAMBER USED
allforsale555 Used - $249.00 0 Nov/29/16 Jul/29/21
Description: APPLIED MATERIALS 0040-34563 BRACKET UPPER TEOS LINE CH A/B
farmoninc Used - $375.00 0 Dec/02/16 Oct/10/17
Description: AMAT 3400-01108 Hose 10' Flex Line HE Cryo 3/4" DIA FEM, CTI 8081608, 419586
svcstore Used - $239.99 0 Dec/07/16 Jan/06/17
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
gesemiconductor NEW - $250.00 0 Dec/09/16 Dec/19/17
Description: APPLIED MATERIALS 0050-14645 WELDMENT TEOS SUPPLY LINE
capitolareatech NEW - $106.45 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-39516 BRACKET, SINGLE GAS LINE, TOP, UGP, #10
capitolareatech NEW - $106.45 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-39515 BRACKET, SINGLE GAS LINE, BOTTOM, UGP, #
capitolareatech NEW - $23.92 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-38747 TUBING,2.6" 1/4OD,COOLING LINE ATTACHMEN
capitolareatech NEW - $90.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-80778 LINE, N2, CH. B, DPN, TPCC
capitolareatech NEW - $225.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-80452 GAS LINE, MANIFOLD, AMPOULE, 200MM AXZ
capitolareatech NEW - $178.42 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-76799 EXHAUST LINE, CH D, UPPER 316L SST
capitolareatech NEW - $527.53 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-76233 GAS LINE CHAM 2 WIDE BODY (HEATER)
capitolareatech NEW - $21.68 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-75204 ASSY, LINE
capitolareatech NEW - $32.23 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-70090 GAS LINE #2 CH A PCII
capitolareatech NEW - $50.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-64735 Tube, Replenish Line into Union Tee, 200
capitolareatech NEW - $53.58 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-62647 LINE, MANOMETER SUPPORT, RP 300MM EPI
capitolareatech NEW - $150.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-60475 ROUGH LINE, POSITION 5 MONOLITH
capitolareatech NEW - $312.29 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-48098 LINE, RP MANIFOLD TO PUMP TEE, 300MM RAD
capitolareatech NEW - $470.62 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-44708 LINE, MFLD 2POS. & PP, 300MM CENTURA LPC
capitolareatech NEW - $605.30 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-44331 CRYO RETURN LINE, MAINFRAME TO CHAMBER,
capitolareatech NEW - $317.29 0 Dec/19/16 Nov/10/18
Description: AMAT 0050-43752 Gas Line OVERPRES. CH B RAD TPCC
capitolareatech NEW - $204.20 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-43748 GAS LINE, MAINFRAME TO CHAMBER 300SL
capitolareatech NEW - $421.58 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-42630 LINE V4, CARRIER INLET, VAPORIZER TANOX
capitolareatech NEW - $2,134.69 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-42324 LINE, MANIFOLD DOWNSTREAM, NO P/P, SINER
capitolareatech NEW - $46.13 1 Dec/19/16 Feb/07/19
Description: AMAT 0050-41442 GAS LINE, BP W/ MFC, GAS MODULE, WXZ
capitolareatech NEW - $120.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-41180 GAS LINE, 1/8" TEOS LFM TO INJEC. VALVE
capitolareatech NEW - $122.71 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-41174 Line, N2 Vent CH. C, Final Valve, Singen
capitolareatech NEW - $587.13 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-41066 Gas Line SLD LIQ Source TEB GPLIS III PR
capitolareatech NEW - $523.12 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-41065 GAS LINE SLD LIQ SOURCE TEPO GPLIS III P
capitolareatech NEW - $125.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-41064 Gas Line SLD LIQ SOURCE TEOS GPLIS III P
capitolareatech NEW - $291.71 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-40899 LINE, MNFLD 14 CHAM. A/C OR B/D, 300MM
capitolareatech NEW - $88.40 0 Dec/19/16 Jun/19/20
Description: APPLIED MATERIALS 0050-39399 Line ,Spool ,Single Feed, RTP
capitolareatech NEW - $206.01 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-39196 LINE, UPPER EXHAUST WXP CHAMBER "B"
capitolareatech NEW - $282.09 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-38499 LINE,N2 PURGE CHAM. B
capitolareatech NEW - $710.73 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-38207 WELDMENT CHAMBER B VENT LINE B2 (MXP+)
capitolareatech NEW - $50.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-38181 WELDMENT CHAMBER A VENT LINE A2 (MxP+)
capitolareatech NEW - $140.71 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-37905 LINE,DOPANT VENT CAAM. A MF
capitolareatech NEW - $476.77 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-37396 LINE,SINGLE VERIFLO VAVLE,W/EXTENTION
capitolareatech NEW - $988.85 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-37378 Line, Manifold, Veriflo Valves with Bypass, 4-
capitolareatech NEW - $307.17 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36698 LINE, WF6/NF3 WDCS CHAM. FBKT
capitolareatech NEW - $55.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36635 LINE, N2 INJECT, CHMBR C FBRKT
capitolareatech NEW - $852.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36624 Line, SIH4 MNFR, Chamber B (XZ)
capitolareatech NEW - $226.40 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36585 LINE, OUTSIDE/CENTER INJECT
capitolareatech NEW - $342.12 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36584 Line, Center Dep. Inject Cap 1/4"T
capitolareatech NEW - $130.59 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36583 LINE, OUTSIDE DEP. INJECT CAP 1/4T
capitolareatech NEW - $123.24 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36582 LINE, BOTTOM DEP. INJECT CAP 1/4T
capitolareatech NEW - $203.51 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36439 LINE, N2 VALVE/LLC
capitolareatech NEW - $169.68 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36420 LINE, BOTTOM PURGE CHAM. C, GAS PNL
capitolareatech NEW - $378.32 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36415 Line, Slit Valve Purge, CHAM. C, Gas PNL
capitolareatech NEW - $565.06 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36402 LINE, WF6/NF3 WCHAM. C, RCWY
capitolareatech NEW - $549.61 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36396 Line, S1H4, WCHAM. C, RCWY
capitolareatech NEW - $463.52 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36395 Line, D1H4, WCHAM. C, Manifold
capitolareatech NEW - $157.38 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36255 Gas LINE HCL
capitolareatech NEW - $144.85 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-36208 LINE DOPANT CHAM B GAS PANEL
capitolareatech NEW - $1,002.09 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-35995 Line 3 NUPRO Chamber A
capitolareatech NEW - $150.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-20180 CABLE ASSEMBLY +/-15 AND SENSE LINE
capitolareatech NEW - $45.00 0 Dec/19/16 Aug/03/17
Description: AMAT 0140-15468 Cable POWER SUPPLY AC LINE CORD W/RING LUGS H/A 300MM ; COMPATIB
capitolareatech NEW - $225.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-09986 HARNESS,INTERLOCK SIGNAL LINE,LIQ. INJ.
capitolareatech NEW - $651.14 0 Dec/19/16 Jun/19/20
Description: AMAT 0225-33216 WLDMNT SIH4 LINE TUBE CHAM A
capitolareatech NEW - $556.23 0 Dec/19/16 Jun/19/20
Description: AMAT 0224-43951 BKT,TEOS UPPER LINE SUPPORT,INTEL 0223
capitolareatech NEW - $673.21 0 Dec/19/16 Jun/19/20
Description: AMAT 0224-40059 1043011, WLDMNT, UPPER PURGE, 2/8 PALLET, APTECH, GAS, LINE
capitolareatech NEW - $41.28 0 Dec/19/16 Aug/09/19
Description: AMAT 0620-00367 ADO Line Power Input Cable Assembly 6" Long
capitolareatech NEW - $27.97 0 Dec/19/16 Jun/19/20
Description: AMAT 0900-90006 RFI Power Line Filter10A Two-stage General Purpose; FILTER EMI 1
capitolareatech NEW - $67.74 0 Dec/19/16 Jun/19/20
Description: AMAT 0900-01133 FLTR EMI POWER LINE 250VAC 40A 1PHASE
capitolareatech NEW - $19.42 0 Dec/19/16 Jun/19/20
Description: AMAT 0900-01019 FLTRRFI/EMI POWER LINE 20A 50/60HZ 115/250VAC
capitolareatech NEW - $18.32 0 Dec/19/16 Jun/19/20
Description: AMAT 0900-01012 Filter EMI Power Line 20AMP 50/60HZ 115/250VAC
capitolareatech NEW - $56.41 0 Dec/19/16 Jun/19/20
Description: NOVELLUS 10-137031-00 GAS LINE TUBE ASSY, INLET CO2
capitolareatech NEW - $45.20 0 Dec/19/16 Jun/19/20
Description: NOVELLUS 10-137030-00 GAS LINE TUBE ASSY,SPOOL,M-M,L=3.40
capitolareatech NEW - $1,250.00 0 Dec/19/16 Jun/19/20
Description: AMAT 1410-00976 GAS LINE HEATER JACKET
capitolareatech NEW - $125.00 0 Dec/20/16 Jan/31/17
Description: LAM RESEARCH 839-007173-001 GASFEED LINE 2
capitolareatech Used - $525.00 0 Dec/20/16 Jun/06/17
Description: AMAT 0050-36604 LINE,LOWER EXHAUST,RTP CHMBR; ISO-KF 25 (side), ISO-KF 40 (TOP),
capitolareatech NEW - $65.00 6 Dec/20/16 Nov/01/18
Description: AMAT 0680-01201 CB K-FRAME PLUG NUT LINE & SIDE
benta09 NEW - $35.00 0 Dec/28/16 Jan/27/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $35.00 0 Dec/28/16 Jan/27/17
Description: NEW AMAT APPLIED MATERIALS 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT
svcstore Used - $214.99 0 Jan/11/17 Feb/10/17
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
allforsale555 NEW - $249.00 1 Jan/16/17 Oct/19/17
Description: APPLIED MATERIALS 0050-26361 GAS LINE AR MFLD MFC 1-10 REC MIX
farmoninc Used - $450.00 0 Jan/17/17 Dec/09/17
Description: Fujikin 316L-P Gas Line Manifold Valve w/ Purge, AMAT 3820-02221, 329874
farmoninc Used - $450.00 0 Jan/17/17 Dec/09/17
Description: Fujikin 316L-P Gas Line Manifold Valve w/ Purge, AMAT 3820-02221, 329875
farmoninc Used - $450.00 0 Jan/17/17 Dec/09/17
Description: Fujikin 316L-P Gas Line Manifold Valve w/ Purge, AMAT 3820-02221, 329873
ssplasma NEW - $150.00 3 Jan/21/17 Jan/22/17
Description: AMAT 0050-36064 Line 1 Nupro One Chamber
ssplasma NEW - $175.00 0 Jan/22/17 Feb/21/17
Description: Applied Materials 0050-35553 DESCRIPTION: LINE, XDCR, H2 INCOM
csi.usa NEW - $750.00 0 Jan/26/17 Jan/21/18
Description: LAM 839-014757-057 WELDMT GAS FEED LINE FLEX
vizko2017 Used - $100.00 0 Jan/30/17 Dec/09/18
Description: AMAT APPLIED MATERIALS 0050-85030 LINE VACUUM EXHAUST ELBOW CH B
allforsale555 Used - $399.00 0 Feb/03/17 Jul/29/21
Description: APPLIED MATERIALS 0050-46867 GAS LINE, SILANE TOP FEED, AL, ULTIMA HD
benta09 NEW - $35.00 0 Feb/08/17 Mar/10/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $35.00 0 Feb/08/17 Mar/10/17
Description: NEW AMAT APPLIED MATERIALS 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT
svcstore Used - $192.99 0 Feb/14/17 Mar/17/17
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
cubit001 Used - $800.00 0 Feb/17/17 Mar/19/17
Description: AMAT 0050-18703, 0050-32175, 0050-32178, 0050-32177 WELDMENT, Gas Line
ssplasma NEW - $175.00 0 Feb/21/17 Mar/01/17
Description: Applied Materials 0050-35553 DESCRIPTION: LINE, XDCR, H2 INCOM
cubit001 Used - $4,000.00 0 Feb/21/17 Mar/23/17
Description: APPLIED MATERIALS 0010-06002 300MM NON-ENP REV 3 SLIT VALVE ASSY EQ LINE
allforsale555 NEW - $1,500.00 1 Mar/01/17 Jun/20/17
Description: APPLIED MATERIALS 0190-00010 MAIN VACUUM JACKETED FLUID LINE
starbillias Used - $23,500.00 0 Mar/09/17 Mar/16/17
Description: MPM Accuflex Stencil Printer Fully Automatic In Line SMT PCB Speedline PC Board
benta09 NEW - $35.00 0 Mar/11/17 Apr/10/17
Description: NEW AMAT APPLIED MATERIALS 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT
benta09 NEW - $35.00 0 Mar/11/17 Apr/10/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
svcstore Used - $192.99 0 Mar/17/17 Apr/16/17
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
cubit001 NEW - $149.00 0 Mar/20/17 Apr/19/17
Description: NEW Lam Research PN: 857-441568-101 SP PC,RGLTR/XCR/V,10 RA Weldment Line Kit
bobsgoodies Used - $1,400.00 1 Apr/03/17 Jun/20/17
Description: AMAT 0190-00010 MAIN VACUUM JACKETED FLUID LINE CVI 310760-5000-001
catalystparts Used - $50.00 1 Apr/11/17 Oct/02/17
Description: LAM Cajon 839-140250-001 FD Line Gas Weldment
farmoninc NEW - $275.00 0 Apr/19/17 Aug/17/17
Description: AMAT 0050-25197 LA1 Line, Reduced FTG Ampule Out CVD, Parker, 423132
benta09 NEW - $35.00 0 Apr/29/17 May/29/17
Description: NEW AMAT APPLIED MATERIALS 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT
benta09 NEW - $35.00 0 Apr/29/17 May/29/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
svcstore Used - $172.99 0 May/19/17 Jun/18/17
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
srctech Used - $1,200.00 0 Jun/06/17 Sep/03/24
Description: AMAT Applied Materials Dual Helium Gas Line #1 HP-CVD Ultima 0050-18943
benta09 NEW - $35.00 0 Jun/08/17 Jul/08/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $35.00 0 Jun/08/17 Jul/08/17
Description: NEW AMAT APPLIED MATERIALS 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT
athomemarket NEW - $65.59 0 Jun/13/17 Oct/05/18
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $43.99 0 Jun/13/17 Oct/05/18
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
katiil3 Used - $399.00 1 Jun/16/17 Oct/23/21
Description: AMAT 3400-01109, HOSE FLEX 20' LINE HELIUM SST 3/4" DIA MALE/FEM
athomemarket Used - $39.99 0 Jun/16/17 Oct/08/18
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket NEW - $159.99 0 Jun/16/17 Oct/08/18
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $179.99 0 Jun/16/17 Oct/08/18
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $88.89 0 Jun/18/17 Oct/10/18
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
svcstore Used - $154.99 0 Jun/21/17 Jul/21/17
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
testeqe NEW - $399.99 0 Jun/28/17 Aug/27/17
Description: NEW AMAT PN: 0195-00041 110C Zone 2 Heated Gas Line Top Level Assembly
testeqe NEW - $249.99 0 Jun/28/17 Aug/27/17
Description: NEW Lam Research PN: 853-035882-002 Heated Line Elbow Fitting Assy, CA, ELB FTG
testeqe NEW - $399.99 0 Jun/28/17 Aug/27/17
Description: NEW AMAT PN: 0242-48339 Heater/Insulation Jacket Line Kit
testeqe NEW - $399.99 0 Jun/28/17 Aug/27/17
Description: NEW AMAT PN: 0195-00042 110C Zone 4 Heater Top Level Assy, Producer SE Line
testeqe NEW - $149.99 0 Jun/28/17 Aug/27/17
Description: NEW Lam Research PN: 857-441568-101 SP PC,RGLTR/XCR/V,10 RA Weldment Line Kit
exper-tech NEW - $125.00 0 Jul/19/17 Oct/25/18
Description: Applied Materials AMAT Gas Line 0227-29296, 1/4" SS Tube, Elbow, FVCR Fittings
svcstore Used - $138.99 0 Jul/24/17 Aug/23/17
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
benta09 NEW - $35.00 0 Jul/26/17 Aug/25/17
Description: NEW AMAT APPLIED MATERIALS 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT
benta09 NEW - $35.00 0 Jul/26/17 Aug/25/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
howard9996 NEW - $250.00 0 Jul/31/17 Aug/30/17
Description: LAM 839-043661-002 rev. A WLDMT, TG2 CTR , SWITCH BOX , DSO, ST Gas Line
katiil3 Used - $599.00 1 Aug/21/17 Dec/19/17
Description: Applied materials 0190-00009 pedestal return line ( lot of 2 )
svcstore Used - $138.99 0 Aug/24/17 Sep/23/17
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
howard9996 NEW - $250.00 0 Aug/31/17 Sep/30/17
Description: LAM 839-043661-002 rev. A WLDMT, TG2 CTR , SWITCH BOX , DSO, ST Gas Line
benta09 NEW - $35.00 0 Sep/08/17 Oct/08/17
Description: NEW AMAT APPLIED MATERIALS 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT
benta09 NEW - $35.00 0 Sep/08/17 Oct/08/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
svcstore Used - $138.99 0 Sep/24/17 Oct/24/17
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
j316gallery Used - $288.35 0 Oct/02/17 Aug/09/21
Description: 9722 APPLIED MATERIALS KIT, COOLING LINE, TURBO PUMP, PH-II FAC 0242-37256
howard9996 NEW - $250.00 0 Oct/06/17 Jan/14/18
Description: LAM 839-043661-002 rev. A WLDMT, TG2 CTR , SWITCH BOX , DSO, ST Gas Line
asmtk NEW - $370.00 0 Oct/10/17 Oct/01/21
Description: Applied Materials 0021-21864 HOUSING, WATER LINE CONNECTION, HT BESC AMAT
allforsale555 Used - $349.00 0 Oct/16/17 Jul/29/21
Description: AMAT/APPLIED MATERIALS 0050-46867 GAS LINE, SILANE TOP FEED, AL, ULTIMA HD
bobsgoodies NEW - $50.00 0 Oct/19/17 Oct/19/19
Description: AMAT 0010-37628 Chamber B Return Line Insulated Stainless Steel Hose 31"
bobsgoodies2 Used - $50.00 0 Oct/19/17 Mar/31/22
Description: AMAT 0010-37625 Chamber B Supply Line Insulated Stainless Steel Hose 18 1/2"
svcstore Used - $138.99 0 Oct/24/17 Nov/23/17
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
benta09 NEW - $35.00 0 Nov/06/17 Dec/06/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $35.00 0 Nov/06/17 Dec/06/17
Description: NEW AMAT APPLIED MATERIALS 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT
intek22 Used - $50.00 0 Nov/07/17 Oct/26/18
Description: AMAT Applied Materials 0050-53515 Rev 001 Vacuum Pump Line Manifold w/ Bellows
j316gallery NEW - $500.00 0 Nov/08/17 Jun/06/18
Description: 10048 APPLIED MATERIAL VALVE, GAS LINE #3 PRECLEAN CHAMBER (NEW) 0190-20015
farmoninc Used - $375.00 0 Nov/09/17 Mar/03/22
Description: CTI Cryogenics 8081608 Hose 10' Flex Line HE Cryo 3/4", AMAT 3400-01108, 423916
j316gallery Used - $1,100.00 0 Nov/14/17 Apr/17/18
Description: 10158 APPLIED MATERIAL FLANGE ROUGHING LINE TRANSFER CHAMBER 0020-35083
catalystparts Used - $875.00 1 Nov/20/17 Nov/21/17
Description: AMAT Applied Materials 0050-25245 Gas Line Assembly PRCS Dump CH2-TXZ Endura
usedeqsales NEW - $601.18 0 Dec/20/17 Jul/03/18
Description: APPLIED MATERIALS 0050-14645 WELDMENT TEOS SUPPLY LINE
usedeqsales NEW - $32.00 0 Dec/20/17 Jan/02/18
Description: APPLIED MATERIALS 0020-96078 Tube Gas Line
svcstore Used - $125.99 0 Dec/30/17 Jan/29/18
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
semi-spares NEW - $285.00 0 Jan/09/18 Mar/20/18
Description: AMAT Applied Materials 0090-09055 Rev. A - Vessel MFC Line Temp
vizvik16 NEW - $550.00 0 Jan/12/18 Oct/28/19
Description: AMAT APPLIED MATERIALS 0051-06315 LINE CHAMBER EQUALIZATION WITH MV QTY. 2
sparesllc09 Used - $8,000.00 0 Jan/11/18 Jan/23/18
Description: 571-065780-701 / KIYO ALL IN ONE GAS BOX 16 LINE IGS / LAM
asmtk Used - $1,800.00 0 Jan/15/18 Oct/01/21
Description: Applied Materials 0050-00083 EXHAUST LINE, XFER CRYO TOP, 1/2 OD SST AMAT
exper-tech NEW - $80.00 2 Jan/16/18 Jan/19/18
Description: AMAT Applied Materials 0050-36923 Exhaust Line, DLL NW40 ISO-KF, New
capitolareatech NEW - $425.00 0 Jan/19/18 Nov/01/18
Description: Applied Materials (AMAT) 0050-76114 GAS LINE, TOP VENT, 3/8 SUPP.
capitolareatech NEW - $27.25 0 Jan/26/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-39344 CLAMP, TOP, SINGLE GAS LINE & PALLET
sparesllc09 Used - $17,000.00 0 Jan/31/18 Oct/01/19
Description: 571-033051-003 ,571-065780-45858E / 16 LINE IGS GAS BOX / LAM
sparesllc09 Used - $17,000.00 0 Jan/31/18 Jul/30/19
Description: 571-033051-003 ,571-065780-45858E / 16 LINE IGS GAS BOX / LAM
svcstore Used - $125.99 0 Jan/31/18 Mar/02/18
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
capitolareatech NEW - $250.00 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-21034 BRACKET SOURCE WATER LINE
capitolareatech NEW - $95.00 0 Feb/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-24684 VACUUM LINE LLA UPPER
j316gallery NEW - $197.62 0 Feb/05/18 Jul/01/21
Description: 10233 APPLIED MATERIALS HARNESS ASSY, OT INTLK, LINE HTR JACKET (NEW) 0150-05727
capitolareatech NEW - $125.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0050-20782 GAS LINE VENT WIDEBODY CHAM B
capitolareatech NEW - $295.00 0 Feb/24/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-43572 LINE, EXHAUST KF40-KF50 200MM RADIANCE
capitolareatech NEW - $795.00 0 Feb/24/18 Aug/09/19
Description: Applied Materials (AMAT) 0050-41614 WELDMT,LINE 2,BASIC PANEL VERIFLO
capitolareatech NEW - $795.00 0 Feb/24/18 Feb/24/18
Description: Applied Materials (AMAT) 0050-41614 WELDMT,LINE 2,BASIC PANEL VERIFLO
capitolareatech NEW - $95.00 0 Mar/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-71724 Gas Line
svcstore Used - $125.99 0 Mar/04/18 Apr/03/18
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
bobsgoodies NEW - $95.00 0 Mar/13/18 Nov/05/20
Description: AMAT 3620-01188 PUMP FTG UNION CRYO HELIUM LINE FEM/FEM
capitolareatech NEW - $95.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-20620 Weldment Gas Line Assy
capitolareatech NEW - $395.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-35487 Reducer Line, SS316L, Metch R2 Seiko 301
capitolareatech NEW - $395.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-09901 REDUCER LINE,ETCH MXP CENTURA
capitolareatech NEW - $69.95 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-25198 GAS LINE, VENT CH D W/B UPPER W/ OD CH
capitolareatech NEW - $59.95 0 Mar/13/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-44902 LINE, 1/4 EXTENSION, 200MM RADIANCE
capitolareatech NEW - $39.95 0 Mar/14/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-39345 CLAMP,BOTTOM,SINGLE GAS LINE & PALLET
ok24surplus NEW - $35.00 0 Mar/16/18 Mar/26/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
bobsgoodies2 Used - $85.00 0 Mar/16/18 Mar/31/22
Description: AMAT 1/4 VCR MFC Metal Tube Assembly 0225-96068 Gas line long spool n2 purge pr
capitolareatech NEW - $195.00 0 Mar/20/18 Mar/20/20
Description: Applied Materials (AMAT) 0040-35462 REDUCER LINE, METCH R2,SEIKO 301CVB
capitolareatech NEW - $49.95 0 Mar/24/18 Mar/09/19
Description: Applied Materials (AMAT) 0021-38106 BRKT, TEOS LINE, 300MM, DCVD
capitolareatech NEW - $69.95 0 Mar/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0040-20648 Adapter Vent Line Centura ALL
capitolareatech NEW - $95.95 0 Mar/24/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-43436 DUMP LINE #1 POS A BASIC PHASE II MF, WX
capitolareatech NEW - $125.00 0 Mar/24/18 Mar/24/20
Description: Applied Materials (AMAT) 0050-35533 GAS LINE, EQUAL. TRANSFER-PROCESS CHAMBER
capitolareatech NEW - $395.00 0 Mar/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-21455 WELDMENT GAS LINE N2 COH TIN
capitolareatech NEW - $275.00 0 Mar/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-45413 GAS LINE, UPPER ELBOW, CO-LOCATED EXH EN
capitolareatech NEW - $79.95 1 Mar/29/18 Sep/11/18
Description: Applied Materials (AMAT) 0040-09689 ELBOW, ROUGHING LINE
capitolareatech NEW - $105.00 0 Mar/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-27975 ADAPTER, 300MM WXZ CHAMBER VENT LINE
capitolareatech NEW - $195.00 0 Mar/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-28549 GAS LINE, O2 SENSOR, SAMPLE INPUT, CH B,
capitolareatech NEW - $275.00 0 Mar/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-38508 LINE BOT. EXHST. CH B O2 SENSOR/RPP,RTP
capitolareatech NEW - $145.00 0 Apr/03/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-39575 BALLAST LINE CH. POSITION AB
capitolareatech NEW - $169.95 0 Apr/03/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-26346 GAS LINE, INLET N2 HEATER CVD GAS BOX
capitolareatech NEW - $95.00 0 Apr/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-22315 Gas Line
capitolareatech NEW - $39.95 0 Apr/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-49704 Gas Line
capitolareatech NEW - $225.00 0 Apr/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0150-13063 CBL HEATER,CH."A" GPLIS UPPER TEOS LINE
capitolareatech NEW - $475.00 0 Apr/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0150-00549 CBL HEATER,CH."D" GPLIS LOWER TEOS LINE
capitolareatech NEW - $295.00 0 Apr/03/18 Jun/13/19
Description: Applied Materials (AMAT) 0150-13064 CBL HEATER,CH."B" GPLIS LOWER TEOS LINE
capitolareatech NEW - $59.95 0 Apr/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-28199 Gas Line
capitolareatech NEW - $145.00 0 Apr/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-26994 LINE, EXH. ELBOW, GATE VALVE/MAC ICP
svcstore Used - $125.99 0 Apr/04/18 May/04/18
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
capitolareatech NEW - $59.95 0 Apr/08/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-70039 DIFFERENTIAL LINE A
ok24surplus NEW - $29.00 0 Apr/08/18 Apr/18/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
capitolareatech NEW - $95.00 0 Apr/08/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-41604 Gas Line
capitolareatech NEW - $89.95 0 Apr/08/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-32530 LINE, 1/4" ELBOW,F/F VCR
capitolareatech NEW - $145.00 0 Apr/10/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-41603 Gas Line
capitolareatech NEW - $145.00 0 Apr/10/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-41602 Gas Line
capitolareatech NEW - $145.00 0 Apr/11/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-33125 GAS LINE #1,O2 SENSOR, N2 CH A RTP
capitolareatech NEW - $175.95 0 Apr/11/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-76065 EXHAUST LINE, CH. B, UPPER
capitolareatech NEW - $69.95 0 Apr/11/18 Mar/10/19
Description: Applied Materials (AMAT) 0050-06481 Bypass Gas Line
j316gallery Used - $16,500.00 0 Apr/11/18 Sep/24/19
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003
ntsurplus302 Used - $50.00 0 Apr/12/18 Sep/01/19
Description: 3583 Applied Materials 0050-34720 Rev. A Gas Line
usedeqsales Used - $204.18 0 Apr/12/18 Mar/03/22
Description: AMAT Applied Materials 0050-62007 Exhaust Purge Line RP300EPI Used Working
capitolareatech NEW - $695.00 0 Apr/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-03276 Weldment Gas Line Assembly
capitolareatech NEW - $419.95 0 Apr/13/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-03275 NBLL B-SIDE VENT LINE EP
capitolareatech NEW - $169.95 0 Apr/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-03149 Gas Line Weldment Assembly
capitolareatech NEW - $169.95 0 Apr/13/18 Aug/09/19
Description: Applied Materials (AMAT) 0050-03149 Gas Line Assembly
bobsgoodies2 Used - $99.00 0 Apr/26/18 Mar/31/22
Description: AMAT 0050-36481 LINE, 1/4 MANUAL VALVE 1/4 VCR Pn: 43900801 45 152359
ok24surplus NEW - $45.00 0 Apr/26/18 May/06/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
automotiveapple Used - $416.67 1 Apr/26/18 Oct/26/19
Description: As-Is LAM 853-031197-807 DUAL GAS LINE
spsglobal NEW - $20.00 0 May/03/18 Mar/26/21
Description: 311-0302// AMAT APPLIED 0680-01201 (2EA) CB K-FRAME PLUG NUT LINE & SIDE NEW
svcstore Used - $125.99 0 May/06/18 Jun/05/18
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
ok24surplus NEW - $45.00 0 May/09/18 May/19/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
capitolareatech NEW - $299.95 0 May/16/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-25218 GAS LINE, H2/N2/HE MANIFOLD CVD TIN SCGB
capitolareatech NEW - $325.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-09412 GAS LINE D-2 ETCH CHAMBER
capitolareatech NEW - $295.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-76335 Gas Line CH D ZX Heater, Cajon Only
capitolareatech NEW - $195.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-05187 Gas Line
capitolareatech NEW - $155.00 0 May/16/18 Mar/10/19
Description: Applied Materials (AMAT) 0227-28764 GAS LINE RH N2 PURGE CH AB LOWER CAJON SRA
capitolareatech NEW - $165.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-30918 GAS LINE, POSITION A/D, R2, 5000
capitolareatech NEW - $295.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-44912 GAS LINE, Y, CHAMBER A
capitolareatech NEW - $99.95 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-32231 Semi Gas Line
capitolareatech NEW - $695.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-37382 WELDMENT,2 VERIFLO VALVES,1 LINE W/OFFST
capitolareatech NEW - $79.95 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-35909 Gas Line
capitolareatech NEW - $295.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-45784 LINE, EXHAUST POST-VALVE OVERPRESSURE, 3
capitolareatech NEW - $235.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0050-42406 LINE, N/O MANIFOLD OUT, 300MM RADIANCE
capitolareatech NEW - $95.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0226-99067 Gas Line Assy with Fujikin Component
capitolareatech NEW - $119.95 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0040-36817 Weldment with Gas Line
capitolareatech NEW - $97.95 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) EPI 0050-40328 Line, Elbow Dualseal Baseplate, EPI AMA
capitolareatech NEW - $69.95 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0050-70647 Gas Line Heater Bypass Stand PVD Chamber
capitolareatech NEW - $149.95 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0050-75962 Exhaust Line, NBLL, 316 EP, Centura
capitolareatech NEW - $395.00 0 May/22/18 Aug/09/19
Description: Applied Materials (AMAT) 0021-38429 BLANK-OFF GAS LINE
capitolareatech NEW - $375.00 0 May/22/18 Aug/09/19
Description: Applied Materials (AMAT) 0021-38428 BLANK-OFF GAS LINE SBT
capitolareatech NEW - $68.50 0 May/22/18 Sep/19/19
Description: Applied Materials (AMAT) 0021-37542 GAS LINE SHAFT
svcstore Used - $125.99 0 Jun/06/18 Jul/06/18
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
surplusssam NEW - $359.99 1 Jun/21/18 Jun/26/18
Description: New Amat Applied Materials 0040-20524 rough line adaptor vacuum fitting
liquiditech Used - $79.90 1 Jun/28/18 Oct/24/18
Description: Precision sensors E36W-H42 Absolute pressure switch, AMAT 0050-88380 vacuum line
liquiditech Used - $71.91 0 Jun/28/18 Dec/08/21
Description: Precision sensors E48W-H89 Absolute pressure switch, AMAT 0050-91105 vacuum line
svcstore Used - $125.99 0 Jul/07/18 Aug/06/18
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
j316gallery Used - $395.23 0 Jul/12/18 Aug/03/21
Description: 11247 APPLIED MATERIALS VLV GAS LINE #3 PRECLEAN CHMBR 0190-20015
wyse_avenue NEW - $30.00 0 Jul/17/18 Aug/16/18
Description: AMAT 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT-NEW
ok24surplus NEW - $25.00 0 Aug/05/18 Aug/15/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
svcstore Used - $125.99 0 Aug/07/18 Sep/06/18
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
storemanager-2009 Used - $603.00 0 Aug/09/18 Aug/09/18
Description: 0040-01381 PALLET 10 LINE GAS BOX, 31"
yericomfg NEW - $550.00 0 Aug/08/18 Aug/27/19
Description: PALL GAS LINE FILTER; MLOX 028-225-1/4GS-MF; P22207111, Novellus 22-115763-00,
yericomfg NEW - $550.00 0 Aug/08/18 Aug/27/19
Description: PALL GAS LINE FILTER; MLOX 028-225-1/4GS-MF; P22207111, Novellus 22-115763-00,
wyse_avenue NEW - $250.00 0 Aug/13/18 Sep/12/18
Description: AMAT 3400-01113 HOSE20’ F/F CRYO HE FLEX LINE 3/4” DIA NEW
pic_insit NEW - $190.00 0 Aug/13/18 Sep/12/18
Description: AMAT P/N 0050-00828 Centura Water Line. Stock #195
spsglobal Used - $10.00 0 Aug/14/18 Jun/30/22
Description: 342-0501// AMAT APPLIED 0021-39098 BRKT, BLNK, TRIPLE GAS LINE, 3 NEW
wyse_avenue NEW - $30.00 0 Aug/18/18 Sep/17/18
Description: AMAT 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT-NEW
wyse_avenue NEW - $50.00 0 Aug/18/18 Sep/17/18
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW
automotiveapple Used - $178.57 0 Aug/22/18 Aug/22/22
Description: As-Is Lam 839-034095-166 HE GAS LINE
capitolareatech NEW - $99.95 0 Aug/23/18 Jun/23/20
Description: LAM RESEARCH (LAM) 839-000865-001 NUPRO Flange Gas Line Assembly
ssssayag Used - $25.00 0 Sep/01/18 Sep/11/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
capitolareatech NEW - $345.95 0 Sep/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-38428 BLANK-OFF GAS LINE SBT--SRP-379-006
capitolareatech NEW - $395.95 0 Sep/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-38429 BLANK-OFF GAS LINE,S & R, T.M.P.O.,SRP 299-0
svcstore Used - $125.99 0 Sep/07/18 Oct/04/18
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
wyse_avenue NEW - $250.00 0 Sep/20/18 Oct/20/18
Description: AMAT 3400-01113 HOSE20’ F/F CRYO HE FLEX LINE 3/4” DIA NEW
wyse_avenue NEW - $50.00 0 Sep/20/18 Oct/20/18
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW
prism_electronics7 Used - $403.75 0 Sep/27/18 Aug/10/20
Description: APPLIED MATERIALS 0050-36884 AMAT LINE HIGH PRESSURE DIST.
spsglobal Used - $180.00 0 Sep/28/18 Feb/24/22
Description: 144-0401// AMAT APPLIED 3400-01070 CTI 8043456G030 HOSE FLEX LINE W/ELBOW USED
capitolareatech NEW - $68.50 0 Sep/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-37542 GAS LINE SHAFT
ok24surplus NEW - $35.00 0 Oct/02/18 Nov/01/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
svcstore Used - $101.99 0 Oct/04/18 Nov/03/18
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
athomemarket NEW - $47.49 9 Oct/05/18 Mar/23/20
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $66.39 0 Oct/05/18 Jan/03/20
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $139.99 0 Oct/08/18 Jan/06/20
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $157.49 0 Oct/08/18 Jan/06/20
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket Used - $43.99 0 Oct/08/18 Jan/06/20
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
prism_electronics8 Used - $199.99 0 Oct/09/18 Dec/23/19
Description: APPLIED MATERIALS 0021-01861 RTP Side Feed Line Bracket
athomemarket NEW - $76.19 0 Oct/10/18 Jan/08/20
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
lwltech NEW - $195.00 2 Oct/11/18 Nov/08/18
Description: PALL GLFPF6101VXM4AM in LINE GAS FILTER, AMAT 4020-01061, NOS
pic_insit NEW - $170.00 0 Oct/13/18 Nov/12/18
Description: AMAT P/N 0050-00828 Centura Water Line. Stock #195
wyse_avenue NEW - $30.00 0 Oct/17/18 Nov/16/18
Description: AMAT 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT-NEW
prism_electronics5 Used - $212.50 0 Oct/17/18 Aug/03/22
Description: APPLIED MATERIALS AMAT 0900-01099 FLTR RFI POWER LINE 150WVDC 1
j316gallery NEW - $136.75 0 Oct/18/18 May/06/22
Description: 11994 APPLIED MATERIALS EXHAUST LINE, LOWER, CH.A & B, CENTURA (NEW) 0050-39573
j316gallery NEW - $131.74 1 Oct/18/18 Dec/08/21
Description: 11993 APPLIED MATERIALS EXHAUST LINE, CH C/D GOOSENECK (NEW) 0050-37555
wyse_avenue NEW - $250.00 0 Oct/20/18 Nov/19/18
Description: AMAT 3400-01113 HOSE20’ F/F CRYO HE FLEX LINE 3/4” DIA NEW
wyse_avenue NEW - $50.00 0 Oct/20/18 Nov/19/18
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW
sparesllc09 NEW - $350.00 0 Nov/02/18 Mar/18/20
Description: 0041-05336 / QX+ GAS LINE ASSY / APPLIED MATERIALS AMAT
svcstore Used - $86.99 0 Nov/04/18 Dec/04/18
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
wyse_avenue NEW - $30.00 0 Nov/16/18 Dec/16/18
Description: AMAT 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT-NEW
wyse_avenue NEW - $50.00 0 Nov/19/18 Dec/19/18
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW
wyse_avenue NEW - $250.00 0 Nov/19/18 Dec/19/18
Description: AMAT 3400-01113 HOSE20’ F/F CRYO HE FLEX LINE 3/4” DIA NEW
j316gallery Used - $500.00 1 Nov/26/18 Dec/16/18
Description: 2864 APPLIED MATERIAL DELIVERY LINE HEATER 208VAC, 18 OMO SS 0021-01951
svcstore Used - $77.99 0 Dec/05/18 Jan/04/19
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
pic_insit NEW - $90.00 0 Dec/14/18 Jun/14/21
Description: AMAT P/N 0050-00828 Centura Water Line. Stock #195
wyse_avenue NEW - $30.00 0 Dec/16/18 Jan/15/19
Description: AMAT 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT-NEW
usedeqsales Used - $262.18 1 Dec/21/18 Oct/14/20
Description: AMAT Applied Materials 0190-19764 Flexible Fiber Optic Spectrograph Line Used
dieseltdiguy NEW - $11.43 0 Jan/07/19 Feb/06/19
Description: Applied Materials 3870-04562 Valve In Line Speed One Touch 4mm Tube
svcstore Used - $66.99 0 Jan/08/19 Feb/07/19
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
wyse_avenue NEW - $30.00 0 Jan/15/19 Feb/14/19
Description: AMAT 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT-NEW
sacramento_liquidators Used - $144.33 1 Jan/15/19 Jul/06/24
Description: Nor Cal Products 3870-01331 2 Inch In Line Pneumatic Valve
wyse_avenue NEW - $250.00 2 Jan/18/19 Jan/31/19
Description: AMAT 3400-01113 HOSE20’ F/F CRYO HE FLEX LINE 3/4” DIA NEW
wyse_avenue NEW - $50.00 0 Jan/18/19 Feb/17/19
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW
svcstore Used - $56.99 0 Feb/08/19 Mar/10/19
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
wyse_avenue NEW - $30.00 0 Feb/14/19 Mar/16/19
Description: AMAT 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT-NEW
wyse_avenue NEW - $50.00 0 Feb/17/19 Mar/19/19
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW
spsglobal Used - $100.00 0 Feb/18/19 Jun/18/23
Description: 344-0301// AMAT APPLIED 0020-21035 BRACKET SOURCE WATER LINE 2ND SOURCE NEW
wyse_avenue NEW - $30.00 0 Mar/16/19 Apr/15/19
Description: AMAT 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT-NEW
cwa_ind58 NEW - $428.00 0 Mar/17/19 Mar/24/19
Description: Applied Materials AMAT, 3400-01109, HOSE FLEX 20' LINE CRYO HE 3/4" DIA MALE/FEM
wyse_avenue NEW - $50.00 0 Mar/19/19 May/03/19
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW
cwa_ind58 NEW - $406.00 0 Mar/24/19 Mar/31/19
Description: Applied Materials AMAT, 3400-01109, HOSE FLEX 20' LINE CRYO HE 3/4" DIA MALE/FEM
bobsgoodies2 Used - $19.00 0 Mar/28/19 Mar/31/22
Description: AMAT 0140-09739 HARNESS, INDICATOR SWITCH LINE, LED BOARD
riverstar777 Used - $15,000.00 0 Mar/29/19 Jun/04/20
Description: LAM Research 571-065780-702 16 Line Gas Box
cwa_ind58 NEW - $384.00 0 Mar/31/19 Apr/07/19
Description: Applied Materials AMAT, 3400-01109, HOSE FLEX 20' LINE CRYO HE 3/4" DIA MALE/FEM
cwa_ind58 NEW - $362.00 0 Apr/07/19 Apr/14/19
Description: Applied Materials AMAT, 3400-01109, HOSE FLEX 20' LINE CRYO HE 3/4" DIA MALE/FEM
megawavz Used - $195.00 0 Apr/12/19 Sep/16/19
Description: Applied Materials 0100-90224 Beam Line Interface
svcstore Used - $40.99 0 Apr/11/19 Sep/16/19
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
cwa_ind58 NEW - $318.00 0 Apr/21/19 Apr/28/19
Description: Applied Materials AMAT, 3400-01109, HOSE FLEX 20' LINE CRYO HE 3/4" DIA MALE/FEM
cwa_ind58 NEW - $296.00 0 Apr/28/19 May/05/19
Description: Applied Materials AMAT, 3400-01109, HOSE FLEX 20' LINE CRYO HE 3/4" DIA MALE/FEM
cwa_ind58 NEW - $274.00 0 May/05/19 May/12/19
Description: Applied Materials AMAT, 3400-01109, HOSE FLEX 20' LINE CRYO HE 3/4" DIA MALE/FEM
expertsurplus NEW - $75.00 3 May/29/19 Nov/30/23
Description: AMAT Applied Materials 0050-36171 Line Process Chamber EXH Baratron Mount, New
bt_store1 Used - $900.00 0 Jun/10/19 Jul/15/21
Description: AMAT 0050-61972 NF3 GAS LINE CHAMBER PROD SE MARK
j316gallery NEW - $685.51 0 Jun/18/19 Aug/03/21
Description: 10048 APPLIED MATERIALS VALVE, GAS LINE #3 PRECLEAN CHAMBER (NEW) 0190-20015
bt_store1 Used - $55.00 0 Jun/17/19 Jun/17/21
Description: AMAT 0050-03174 GAS LINE
bt_store1 Used - $85.00 0 Jun/18/19 Jul/15/21
Description: AMAT 0140-01571 UTXX TEOS LINE
bt_store1 Used - $350.00 0 Jun/18/19 Jul/15/21
Description: AMAT 0150-97533 CH LINE HEATER TEOS VALVE HTR
bt_store1 Used - $445.00 0 Jun/18/19 Jul/15/21
Description: AMAT 0150-10549 CABLE THERMOCOUPLE LINE
bt_store1 Used - $105.00 0 Jun/18/19 Jul/15/21
Description: AMAT 0150-05056 DLVRY LINE TC
wyse_avenue NEW - $30.00 0 Jun/25/19 Jul/09/19
Description: AMAT 0242-40320 BRACKT FINL LINE W/ FRC CH A OR B 300M KIT-NEW
spsglobal Used - $80.00 0 Jun/30/19 Aug/07/22
Description: 344-0502// AMAT APPLIED 0090-36334 ASSY, UNDERTEMP SWITCH TEOS LINE USED
dom0808 Used - $343.20 0 Jul/04/19 Feb/11/22
Description: Swagelok Diaphragm Valve Lam Research HE LINE 839-034095-156
zindchau15 NEW - $100.00 0 Jul/10/19 Oct/23/21
Description: APPLIED MATERIALS 0050-52887 GAS LINE K1S STICK 1/4 FVCR TO K1S BLO
generalpublicsales Used - $99.95 12 Jul/11/19 Jun/23/22
Description: NEW LAM RESEARCH 605-278718-001 LF RF LINE FILTER ASSY
smartelektronikgmbh Used - $550.00 0 Jul/15/19 Nov/10/20
Description: 0190-20015 GAS LINE NO.3 PRECLEAN CHMBR (REACTIVE P
getspares.com_sparesllc09 Used - $1,065.48 0 Jul/18/19 Oct/10/23
Description: 0040-09901 / REDUCER LINE,METCH MXP CENTURA / APPLIED MATERIALS AMAT
j316gallery Used - $93.11 0 Jul/22/19 May/24/23
Description: 14419 APPLIED MATERIALS BRACKET SOURCE WATER LINE 0020-21035
roundtable1 NEW - $199.00 0 Jul/24/19 Mar/14/24
Description: Applied Materials 0050-24840 Gas Line, Vent, CH A, PC 2 Upper
spsglobal Used - $60.00 0 Jul/31/19 Jun/29/23
Description: 347-0301// AMAT APPLIED 0020-01003 ADAPTOR, GAS DIST LINE NEW
j316gallery Used - $798.09 0 Jul/31/19 May/24/23
Description: 14680 APPLIED MATERIALS EXHAUST LINE SPOOL PIECE 0050-76066
roundtable1 NEW - $25.00 0 Jul/31/19 Mar/14/24
Description: Applied Materials 0020-23632 Vent Line Bracket 2 Of 3 NEW
j316gallery Used - $200.00 1 Jul/29/19 Oct/16/19
Description: 14466 LAM RESEARCH WELDMENT, GAS FEED LINE, FLEX 839-014757-057
dom0808 Used - $825.00 0 Aug/01/19 Feb/11/22
Description: Lam Research Cooling Line 839-221799-001
spsglobal Used - $60.00 0 Aug/04/19 Jun/29/23
Description: 347-0301// AMAT APPLIED 0021-10607 BLOCK,TEMP. SENSOR VDS LINE NEW
dom0808 Used - $17,900.00 0 Aug/19/19 Sep/24/19
Description: Lam Research 16 Line IGS Gas Box 571-033051-004
j316gallery Used - $24.84 0 Aug/28/19 Aug/11/22
Description: 15359 CORCOM FLTR RFI POWER LINE 6 AMP SERIES F7247, AMAT 0900-01015 6VS1
katiil3 Used - $149.00 0 Sep/02/19 Oct/23/21
Description: Applied materials 0720-12817 Modular In Line Coupler Qty 2
ntsurplus302 Used - $95.00 0 Sep/03/19 May/03/24
Description: 2953 Applied Materials 0040-00089 PROCESS ROUGH LINE LOWER
j316gallery Used - $372.59 0 Sep/05/19 Jan/04/23
Description: 15481 APPLIED MATERIALS LINE, MANIFOLD, 3/8 SOURCE 0050-36267
j316gallery Used - $105.57 0 Sep/05/19 Jan/04/23
Description: 15360 APPLIED MATERIALS PROCESS ROUGH LINE LOWER 0040-00089
j316gallery Used - $1,117.78 0 Sep/05/19 Jan/04/23
Description: 15154 APPLIED MATERIALS WELDMENT MANF SINGLE VLV 2 LINE 6LV-BNBW4-C 0050-09639
capitolareatech Used - $149.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0050-26679 LINE N2 #1 CHAMBER SEMICONDUCTOR PART 
capitolareatech Used - $15.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0020-37563 Clamp Top WF6SIH4 14 gas line
capitolareatech Used - $36.24 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0021-15487 Bracket for E Line Support Producer
capitolareatech Used - $256.04 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0050-02295 LINE H2 SOURCE PROCESS PLATTER
capitolareatech Used - $200.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0050-02647 Line PumpPURGE ISO 2-DPNT EPI MAIN
capitolareatech Used - $98.10 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0050-03140 GAS LINE 5RA SPOOL O3 UPPER GAS PANEL D
capitolareatech Used - $79.02 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0050-34802 Line 14 DOPANT Supply 2-MDPNT EPI WP
capitolareatech Used - $317.29 0 Sep/05/19 Sep/26/19
Description: Applied Materials AMAT 0050-43752 Gas Line OVERPRES CH B RAD TPCC
megawavz Used - $195.00 0 Sep/16/19 Nov/04/19
Description: Applied Materials 0100-90224 Beam Line Interface
svcstore Used - $29.99 0 Sep/16/19 Dec/27/22
Description: AMAT 0050-07584 Industrial Pneumatic KF50 CH-B S-Shaped Vacuum Pumping Line
j316gallery Used - $1,500.00 0 Sep/24/19 Oct/01/19
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003
j316gallery Used - $18,331.50 0 Oct/02/19 Nov/11/21
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003
spsglobal Used - $20.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0140-21314 HARN ASSY, LINE OVERTEMP, CVD ON ENDURA USED
roundtable1 NEW - $299.00 1 Oct/17/19 Feb/17/21
Description: Amat 0040-35512 Gas Line
dom0808 Used - $1,250.00 2 Oct/28/19 Jun/02/20
Description: Lam Research KIYO 45 GAS FEED LINE 839-031197-103
megawavz Used - $195.00 0 Nov/04/19 Dec/16/19
Description: Applied Materials 0100-90224 Beam Line Interface
capitolareatech Used - $125.00 0 Dec/06/19 Aug/13/20
Description: Applied Materials AMAT 0050-31597 Chamber A Gas Line to Frame 570C0026
capitolareatech Used - $578.30 0 Dec/06/19 Aug/13/20
Description: Applied Materials AMAT 0050-35699 LINE MAIN SOURCE CHAM A RCWY
capitolareatech Used - $1,425.00 0 Dec/06/19 Aug/13/20
Description: Applied Materials AMAT 0050-36242 LINE 3 3-PORT MANIFOLD
capitolareatech Used - $99.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0050-35829 LINE NUPRO H2 SOURCE POLY
capitolareatech Used - $125.00 1 Dec/06/19 Feb/24/20
Description: Lam Research LAM 839-007173-001 GASFEED LINE 2
megawavz Used - $195.00 0 Dec/16/19 Feb/07/20
Description: Applied Materials 0100-90224 Beam Line Interface
capitolareatech Used - $395.95 0 Dec/22/19 Jun/22/20
Description: Applied Materials (AMAT) 0150-09376 CABLE HEATED GAS LINE CHAMBER A
dr.dantom Used - $100.00 4 Dec/24/19 Oct/17/22
Description: 3x Applied Materials/AMAT 0190-15120 BUSBAR FEEDER CB LINE SIDE 300MM ENDURA GEN
athomemarket NEW - $66.39 0 Jan/03/20 Apr/01/21
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
capitolareatech NEW - $399.95 0 Jan/05/20 Aug/13/20
Description: Applied Materials AMAT 0050-28066 GAS LINE HE PUSH GAS N2 PURGE LIQ PAN
athomemarket NEW - $139.99 0 Jan/06/20 Apr/04/21
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket Used - $43.99 0 Jan/06/20 Apr/04/21
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket NEW - $157.49 0 Jan/06/20 Apr/04/21
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $76.19 0 Jan/08/20 Apr/06/21
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
capitolareatech NEW - $499.95 0 Jan/15/20 Aug/13/20
Description: Applied Materials (AMAT) 0040-00181 LOADER ROUGH LINE
pickingtexas NEW - $1,150.00 0 Jan/16/20 Feb/15/20
Description: Applied Materials AMAT 0190-32000 GAS LINE HEATER JACKET KIT YRC-552-2 300851
pickingtexas NEW - $1,150.00 0 Jan/16/20 Feb/15/20
Description: Applied Materials AMAT 0190-19618 GAS LINE HEATER JACKET KIT Yamatake X-426.MEC
j316gallery Used - $75.93 1 Jan/16/20 May/15/23
Description: 16176 APPLIED MATERIALS LINE PROCESS CHAMBER NITRIDE 0050-35963
pickingtexas NEW - $1,150.00 0 Jan/17/20 Feb/15/20
Description: Applied Materials AMAT 0190-31998 GAS LINE HEATER JACKET KIT Yamatake YRC550-1
autoquip7 NEW - $425.00 0 Jan/23/20 Sep/03/21
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR
capitolareatech NEW - $42.95 0 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0020-14107 BASE, WATER LINE, LAMP MODULE
capitolareatech Used - $1,045.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0040-09149 ENC., GAS PANEL 28 LINE 0040-09152/09344
megawavz Used - $195.00 0 Feb/07/20 Jul/31/21
Description: Applied Materials 0100-90224 Beam Line Interface
capitolareatech Used - $99.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0040-00539 Weldment, Gas Line
capitolareatech Used - $189.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0040-00540 Weldment, Gas Line
capitolareatech Used - $119.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0050-30514 WELDMENT, WATER LINE
capitolareatech NEW - $199.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0050-37816 TUBING, HE LINE
capitolareatech Used - $249.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0050-30489 Gas Line. MXP+
capitolareatech Used - $299.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0050-30919 GAS LINE, POSITION B-C, R2, 5000
capitolareatech Used - $329.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0050-31248 GAS LINE, RAPID HELIUM DUMP, RPS
capitolareatech NEW - $499.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0050-21116 GAS LINE ARGON SYSTEM MANIFOLD BOX 2 
pickingtexas NEW - $1,150.00 0 Feb/15/20 Mar/15/20
Description: Applied Materials AMAT 0190-32000 GAS LINE HEATER JACKET KIT YRC-552-2 300851
pickingtexas NEW - $1,150.00 0 Feb/15/20 Mar/15/20
Description: Applied Materials AMAT 0190-19618 GAS LINE HEATER JACKET KIT Yamatake X-426.MEC
pickingtexas NEW - $1,150.00 0 Feb/15/20 Mar/15/20
Description: Applied Materials AMAT 0190-31998 GAS LINE HEATER JACKET KIT Yamatake YRC550-1
dom0808 Used - $121.00 0 Feb/16/20 Feb/11/22
Description: Lam Research Gas Line 10-388392-00
dom0808 Used - $220.00 0 Feb/16/20 Feb/11/22
Description: Lam Research Gas Line 10-396190-00
spsglobal Used - $60.00 0 Feb/20/20 Aug/25/22
Description: 352-0401// AMAT APPLIED 0021-76702 CLAMP, FACILITIES WATER LINE BOTTOM NEW
capitolareatech NEW - $249.95 0 Feb/25/20 Aug/13/20
Description: Applied Materials (AMAT) 1410-01142 BAND, TMS HEATER W/IN LINE CONNECTOR 
capitolareatech NEW - $8.95 0 Feb/29/20 Aug/13/20
Description: Lam Research LAM 839-031455-001 WELDMENT GAS LINE
dom0808 Used - $649.00 0 Mar/01/20 Feb/11/22
Description: Lam Research HE Line 839-057594-151
dom0808 Used - $1,255.00 2 Mar/02/20 Jun/02/20
Description: Lam Research Lam Kiyo 45 Gas Feed Line 839-031197-103
j316gallery Used - $581.61 0 Mar/04/20 Feb/17/22
Description: 1344 APPLIED MATERIALS GAS LINE REDUCED FTG AMPULE 0050-25123
spsglobal Used - $110.00 0 Mar/05/20 Aug/25/22
Description: 324-0201// AMAT APPLIED 0021-89432 BRACKET, AIR SEAL WATER LINE NEW
getspares.com_sparesllc09 Used - $275.66 0 Mar/05/20 Aug/25/22
Description: 0040-90006 / CLAMP 2 FINAL LINE WITH FRC PURGE CH A, S, C, OR 0, 300MM / AMAT
j316gallery Used - $465.29 0 Mar/12/20 Feb/17/22
Description: 15804 APPLIED MATERIALS LINE, WATER, INNER TO OUTER CHILL PLATE 0050-83648
j316gallery Used - $116.32 0 Mar/12/20 Feb/17/22
Description: 15844 LAM RESEARCH ARM DRIVE AIR CYL ASSY PANCAKE LINE FPS-386B 770-007494-001
pickingtexas NEW - $1,150.00 0 Mar/15/20 Jun/28/20
Description: Applied Materials AMAT 0190-31998 GAS LINE HEATER JACKET KIT Yamatake YRC550-1
pickingtexas NEW - $1,150.00 0 Mar/15/20 Jun/28/20
Description: Applied Materials AMAT 0190-32000 GAS LINE HEATER JACKET KIT YRC-552-2 300851
pickingtexas NEW - $1,150.00 0 Mar/15/20 Jun/28/20
Description: Applied Materials AMAT 0190-19618 GAS LINE HEATER JACKET KIT Yamatake X-426.MEC
cjcollective2012 Used - $50.00 0 Mar/17/20 Feb/04/22
Description: Applied Materials 0190-54239 Rev. 4 Line Heater Jacket w/ WCSH-0240-0000
cjcollective2012 Used - $50.00 0 Mar/17/20 Feb/04/22
Description: Applied Materials 0190-54240 Rev. 3 Line Heater Jacket w/ WCSH-0240-0000
getspares.com_sparesllc09 Used - $350.03 0 Mar/18/20 Feb/17/22
Description: 0041-05336 / QX+ GAS LINE ASSY / APPLIED MATERIALS AMAT
spsglobal Used - $20.00 0 Mar/19/20 Aug/25/22
Description: 324-0202// AMAT APPLIED 0020-12324 BRACKET, NBLL N2 LINE 2 OF 2 [NEW]
sigmasurplus Used - $92.93 0 Mar/19/20 Jan/20/23
Description: Applied Materials 0050-27823 Liquid Line Revision 003
enoch2018 Used - $429.00 0 Mar/24/20 Apr/24/20
Description: 1PC AMAT 0150-13121 Connecting line Special offer
manufacturingequipment Used - $5,163.75 0 Apr/09/20 Sep/21/21
Description: AMAT Applied Materials 0050-97420 WELDMENT, FINAL LINE ALD 300MM
bobsgoodies2 NEW - $50.00 2 May/04/20 May/04/20
Description: AMAT 0010-37641 Chamber B Return Line Insulated Stainless Steel Hose 33"
industrialautomationspareparts Used - $1.00 0 May/08/20 Oct/25/20
Description: 1PC AMAT 0150-13121 Connecting line Special offer
dom0808 Used - $1,255.00 3 Jun/04/20 Jun/05/20
Description: Lam Research Lam Kiyo 45 Gas Feed Line 839-031197-103
dom0808 Used - $1,375.00 1 Jun/04/20 Feb/11/22
Description: Lam Research Dual Gas Feed Line 715-008249-007
capitolareatech NEW - $67.74 0 Aug/08/20 Aug/13/20
Description: Applied Materials (AMAT) 0900-01133 FLTR EMI POWER LINE 250VAC 40A 1PHASE
capitolareatech NEW - $19.42 0 Aug/08/20 Aug/13/20
Description: Applied Materials (AMAT) 0900-01019 FLTRRFI/EMI POWER LINE 20A 50/60HZ 115/250VA
capitolareatech NEW - $60.00 0 Aug/08/20 Aug/13/20
Description: Applied Materials (AMAT) 0720-06224 Corcom PSLSXSS60 CONN POWER LINE RCPT 6A 115
prism_electronics12 Used - $204.24 0 Aug/10/20 Jul/25/22
Description: APPLIED MATERIALS 0050-36884 AMAT LINE HIGH PRESSURE DIST.
spsglobal Used - $130.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-70093 GAS LINE #3 CH D, PCII [2ND NEW]
spsglobal Used - $130.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-70091 GAS LINE #1 CH C, PCII [2ND NEW]
spsglobal Used - $720.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-24649 GAS LINE,CH 3,W/B,MFC,COH TI/TIN [2ND NEW]
spsglobal Used - $100.00 0 Aug/13/20 Jun/18/23
Description: 177-0501// AMAT APPLIED 0050-70095 GAS LINE #2 CH C, PCII [2ND NEW]
capitolareatech NEW - $475.95 0 Aug/14/20 Jan/14/23
Description: Applied Materials (AMAT) 0150-00549 CBL HEATER,CH."D" GPLIS LOWER TEOS LINE
capitolareatech NEW - $180.95 0 Aug/17/20 Jul/03/24
Description: LAM 839-024561-100 LAM WELDMENT ENTRANCE AND VENTILATION LINE
spsglobal Used - $40.00 4 Aug/18/20 Aug/26/20
Description: 176-0402// AMAT APPLIED 0050-30514 WELDMENT, WATER LINE [USED]
capitolareatech Used - $59.95 0 Aug/19/20 May/10/23
Description: Applied Materials (AMAT) 0050-76183 GAS LINE, FITTING
capitolareatech Used - $279.95 0 Aug/19/20 May/10/23
Description: Applied Materials (AMAT) 0050-00032 GAS LINE A-2 ETCH CHAMBER
capitolareatech Used - $89.95 0 Aug/19/20 Dec/19/23
Description: Applied Materials (AMAT) 0050-71723 GAS LINE, FITTING
capitolareatech Used - $329.95 0 Aug/19/20 Dec/19/23
Description: Applied Materials (AMAT) 0050-43026 GAS LINE, 2 MALE FITTINGS
capitolareatech Used - $395.95 0 Aug/19/20 Dec/19/23
Description: Applied Materials (AMAT) 0050-06789 GAS LINE, FITTING
capitolareatech Used - $279.95 0 Aug/19/20 May/10/23
Description: Applied Materials (AMAT) 0050-00264 GAS LINE, 2 MALE FITTINGS
capitolareatech Used - $399.95 0 Aug/19/20 Dec/19/23
Description: Applied Materials (AMAT) 0050-42481 GAS LINE, FITTING
capitolareatech Used - $54.95 0 Aug/19/20 Dec/19/23
Description: Applied Materials (AMAT) 0050-05279 GAS LINE, WELDMENT, TEE FITTING
capitolareatech Used - $69.95 0 Aug/19/20 Dec/19/23
Description: Applied Materials (AMAT) 0050-71791 GAS LINE, FITTING
capitolareatech Used - $279.95 0 Aug/19/20 Dec/19/23
Description: Applied Materials (AMAT) 0050-27450 GAS LINE
capitolareatech Used - $279.95 0 Aug/19/20 May/10/23
Description: Applied Materials (AMAT) 0050-76063 Exhaust Line, CH D, Upper
getspares.com_sparesllc09 Used - $20,440.60 0 Aug/19/20 Dec/13/21
Description: 571-033051-001 / KIYO ALL IN ONE GAS BOX 16 LINE IGS 571-033051-12315A2 / LAM
getspares.com_sparesllc09 Used - $20,440.60 0 Aug/19/20 Dec/13/21
Description: 571-033051-003 / 16 LINE IGS GAS BOX 571-033051-13664C / LAM RESEARCH
getspares.com_sparesllc09 Used - $20,440.60 0 Aug/19/20 Jun/15/21
Description: 571-033051-002 / 16 LINE IGS GAS BOX 571-033051-13350B / LAM
spsglobal Used - $320.00 0 Aug/20/20 Jun/18/23
Description: 137-0301 AMAT APPLIED 0050-09021 MANIFOLD VACUUM LINE [2ND SOURCE NEW]
j316gallery Used - $366.45 0 Aug/23/20 Aug/03/21
Description: 20410 APPLIED MATERIALS GAS LINE NO.3 PRECLEAN CHMBR REACTIVE PR 0190-20015
capitolareatech Used - $377.95 0 Aug/24/20 Aug/31/23
Description: Applied Materials (AMAT) 0150-10224 CABLE, ASSEMBLY VACUUM LINE HEATER Ch. A
capitolareatech Used - $161.95 0 Aug/24/20 Aug/31/23
Description: Applied Materials (AMAT) 3400-01078 FLEX LINE
capitolareatech Used - $129.95 0 Aug/26/20 Dec/26/23
Description: Applied Materials (AMAT) 0050-76227 GAS LINE, FITTING
capitolareatech Used - $149.95 0 Aug/26/20 May/10/23
Description: Applied Materials (AMAT) 0050-46773 GAS LINE ASSY
capitolareatech Used - $149.95 0 Aug/26/20 May/10/23
Description: Applied Materials (AMAT) 0050-49499 GAS LINE, FITTING
capitolareatech Used - $79.95 0 Aug/26/20 May/10/23
Description: Applied Materials (AMAT) 0050-76215 GAS LINE, WELDMENT FITTING
capitolareatech Used - $99.95 0 Aug/26/20 Dec/26/23
Description: Applied Materials (AMAT) 0050-75459 GAS LINE, FITTING
capitolareatech Used - $129.95 0 Aug/26/20 May/10/23
Description: Applied Materials (AMAT) 0050-42280 GAS LINE PURGE/PROCESS W/NF3 SW CXZ GAS
capitolareatech Used - $99.95 0 Aug/26/20 May/10/23
Description: Applied Materials (AMAT) 0050-47511 GAS LINE
capitolareatech Used - $189.95 0 Aug/26/20 Dec/26/23
Description: Applied Materials (AMAT) 0050-49179 GAS LINE, FITTING
capitolareatech Used - $289.95 0 Aug/26/20 May/10/23
Description: Applied Materials (AMAT) 0050-76644 EXHAUST LINE, CHBR A, B, C & D, LOWER
capitolareatech Used - $149.95 0 Aug/26/20 May/10/23
Description: Applied Materials (AMAT) 0050-76084 LINE, EXHAUST CH. C, UPPER 316L SST
capitolareatech Used - $129.95 0 Aug/26/20 May/10/23
Description: Applied Materials (AMAT) 0050-48253 GAS LINE
capitolareatech Used - $449.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-24710 FITTING, GAS LINE
capitolareatech Used - $149.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-10031 FITTING, GAS LINE
capitolareatech Used - $199.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-28151 FITTING, GAS LINE
capitolareatech Used - $199.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-03725 GAS LINE, WELDMENT
capitolareatech Used - $149.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-30857 GAS LINE, FITTING
capitolareatech Used - $189.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-27487 GAS LINE, FITTING
capitolareatech Used - $219.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-25774 GAS LINE, WELDMENT
capitolareatech Used - $129.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-27975 ADAPTER, 300MM WXZ CHAMBER VENT LINE
capitolareatech Used - $189.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-03047 GAS LINE, WELDMENT
capitolareatech Used - $189.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-27484 GAS LINE, FITTING
capitolareatech Used - $229.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-18556 GAS LINE, FITTING
capitolareatech Used - $179.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-20734 FITTING, GAS LINE
capitolareatech Used - $179.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-00414 GAS LINE, FITTING, VALVE
capitolareatech Used - $229.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-00708 GAS LINE, FITTING
capitolareatech Used - $229.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-28786 GAS LINE, FITTING
capitolareatech Used - $139.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-00255 GAS LINE
capitolareatech Used - $249.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-09419 WELDMENT, 4 LINE FITTING
capitolareatech Used - $129.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-27753 GAS LINE, FITTING
capitolareatech Used - $239.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-27839 GAS LINE, WELDMENT
capitolareatech Used - $79.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-27256 GAS LINE
capitolareatech Used - $139.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-00255 GAS LINE
capitolareatech Used - $139.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-00947 FITTING, GAS LINE
capitolareatech Used - $199.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-00213 FITTING, GAS LINE
capitolareatech Used - $349.95 1 Aug/28/20 Jun/28/22
Description: Applied Materials (AMAT) 0050-20236 FITTING, GAS LINE
capitolareatech Used - $199.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-27757 GAS LINE, WELDMENT
capitolareatech Used - $109.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0040-36245 GAS LINE, FITTING
capitolareatech Used - $169.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-01062 FITTING, GAS LINE
capitolareatech Used - $219.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-21252 FITTING, GAS LINE
capitolareatech Used - $299.95 0 Aug/28/20 Mar/28/23
Description: Applied Materials (AMAT) 0050-27620 WELDMENT UPPER 5RA FL GEN NF3 GAS LINE 2
capitolareatech Used - $169.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-00029 GAS LINE, WELDMENT
capitolareatech Used - $239.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-04739 FITTING, GAS LINE
capitolareatech Used - $239.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-04740 FITTING, GAS LINE
capitolareatech Used - $189.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-02621 LINE, PURGE, SEGMENT II
capitolareatech Used - $189.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-10475 GAS LINE, FITTING
capitolareatech Used - $169.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-21193 FITTING, 0050-21193 GAS LINE
capitolareatech Used - $219.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-21703 GAS LINE, FITTING
capitolareatech Used - $199.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-25244 GAS LINE, FITTING
capitolareatech Used - $149.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-21169 GAS LINE, WELDMENT
capitolareatech Used - $149.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-02619 GAS LINE, WELDMENT
capitolareatech Used - $189.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-06778 GAS LINE, WELDMENT
capitolareatech Used - $119.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0040-22923 GAS LINE, FITTING
capitolareatech Used - $199.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-02096 GAS LINE, FITTING
capitolareatech Used - $329.95 0 Aug/28/20 Nov/28/22
Description: Applied Materials (AMAT) 0050-07406 GAS LINE, WELDMENT
capitolareatech Used - $219.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-02692 GAS LINE, FITTING
capitolareatech Used - $239.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-14746 GAS LINE, WELDMENT
capitolareatech Used - $189.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-05563 GAS LINE, WELDMENT
capitolareatech Used - $219.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-27931 GAS LINE, FITTING
capitolareatech Used - $199.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-27547 WELDMENT, GAS LINE FITTING
capitolareatech Used - $199.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-26292 GAS LINE, FITTING
capitolareatech Used - $199.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-31375 GAS LINE,FHD POS. B/C
capitolareatech Used - $189.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-00279 GAS LINE WELDMENT,
capitolareatech Used - $219.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-25111 GAS LINE ASSY, 3 MALE FITTINGS
capitolareatech Used - $219.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-21875 GAS LINE, WELDMENT
capitolareatech Used - $189.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-02198 GAS LINE
capitolareatech Used - $189.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-02720 GAS LINE
capitolareatech Used - $189.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-20060 GAS LINE PRECLEAN CHAMB (WITH BYPASS) MF
capitolareatech Used - $159.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-05268 FITTING, GAS LINE
capitolareatech Used - $239.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-09713 GAS LINE, FITTING
capitolareatech Used - $199.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-26596 GAS LINE
capitolareatech Used - $169.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-03164 GAS LINE, FITTING
capitolareatech Used - $229.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-31090 GAS LINE FITTING
capitolareatech Used - $99.95 0 Sep/03/20 Jan/03/24
Description: Applied Materials (AMAT) 0050-41090 GAS LINE, WELDMENT
capitolareatech Used - $359.95 0 Sep/03/20 May/10/23
Description: Applied Materials (AMAT) 0050-20669 FITTING,GAS LINE
capitolareatech Used - $329.95 0 Sep/03/20 Jan/03/24
Description: Applied Materials (AMAT) 0050-00321 GAS LINE, WELDMENT, FITTING
capitolareatech Used - $249.95 0 Sep/03/20 May/10/23
Description: Applied Materials (AMAT) 0050-40784 FITTING, GAS LINE
capitolareatech Used - $269.95 0 Sep/03/20 Jan/03/24
Description: Applied Materials (AMAT) 0050-07397 FITTING, GAS LINE
capitolareatech Used - $319.95 0 Sep/03/20 Jan/03/24
Description: Applied Materials (AMAT) 0050-00322 GAS LINE, FITTING, WELDMENT
capitolareatech Used - $199.95 0 Sep/03/20 May/10/23
Description: Applied Materials (AMAT) 0050-32279 GAS LINE, FITTING
capitolareatech Used - $359.95 0 Sep/03/20 May/10/23
Description: Applied Materials (AMAT) 0050-26319 GAS LINE, WELDMENT
capitolareatech Used - $359.95 0 Sep/03/20 May/10/23
Description: Applied Materials (AMAT) 0050-18797 GAS LINE, FITTING
capitolareatech Used - $159.95 0 Sep/03/20 May/10/23
Description: Applied Materials (AMAT) 0050-34149 FITTING, GAS LINE
capitolareatech Used - $359.95 0 Sep/03/20 Jan/03/24
Description: Applied Materials (AMAT) 0050-18799 GAS LINE, FITTING
capitolareatech Used - $239.95 0 Sep/03/20 May/10/23
Description: Applied Materials (AMAT) 0050-20738 GAS LINE, WELDMENT
capitolareatech Used - $269.95 0 Sep/03/20 May/10/23
Description: Applied Materials (AMAT) 0050-07315 WLDMENT,31"N2 PURG,LOWER LINE,CH A/B/C/D
capitolareatech Used - $339.95 0 Sep/03/20 May/10/23
Description: Applied Materials (AMAT) 0050-42311 GAS LINE, FITTING
capitolareatech Used - $249.95 0 Sep/03/20 Jan/03/24
Description: Applied Materials (AMAT) 0050-00347 WELDMENT , GAS LINE
capitolareatech Used - $259.95 0 Sep/03/20 Jan/03/24
Description: Applied Materials (AMAT) 0050-07225 GAS LINE, FITTING
capitolareatech Used - $299.95 0 Sep/03/20 Jan/03/24
Description: Applied Materials (AMAT) 0050-21285 GAS LINE, WELDMENT
capitolareatech Used - $319.95 0 Sep/03/20 Jan/03/24
Description: Applied Materials (AMAT) 0050-28858 GAS LINE, FITTING
spsglobal Used - $150.00 0 Sep/07/20 Nov/09/21
Description: 176-0103// AMAT APPLIED 0050-34797 LINE, 1/4 MANIFOLD 3-PORT SILICON [NEW]
capitolareatech Used - $350.95 0 Sep/08/20 Mar/07/24
Description: Applied Materials (AMAT) 0050-36235 Line, 3 Way Valve, Dopant source
capitolareatech Used - $165.95 0 Sep/08/20 Jan/08/24
Description: Applied Materials (AMAT) 0050-41484 Weldment, GAS LINE, FITTING
bobsgoodies2 Used - $285.00 0 Oct/01/20 Mar/31/22
Description: Applied Materials AMAT 0040-00350 BELLOW MANOMETER VACUUM LINE ASSEMBLY
getspares.com_sparesllc09 Used - $537.60 1 Oct/02/20 Aug/09/23
Description: 0050-25123 / GAS LINE, REDUCED FTG AMPULE IN CVD RGB / APPLIED MATERIALS AMAT
expertsurplus Used - $150.00 1 Oct/14/20 Aug/26/21
Description: AMAT, Gas Line No.3 Preclean Chamber Reactive PR, 0190-20015, Used
powersell007 Used - $459.00 0 Oct/15/20 Jun/27/23
Description: APPLIED MATERIALS 0140-64131 HARN ASSY, H20 LINE HEATER CONTROL FCVD PRODUCER GT
expertsurplus Used - $150.00 1 Oct/15/20 May/18/23
Description: AMAT, WELDMENT, WATER LINE, 0050-30514, New
expertsurplus Used - $150.00 2 Oct/16/20 Dec/19/23
Description: AMAT, GAS LINE, HIGH FLOW ELBOW, 1/4" VCR, 1.4, 0050-40160, New
capitolareatech Used - $59.95 0 Oct/28/20 May/26/22
Description: Applied Materials (AMAT) 0050-07228 Gas Line Assembly
capitolareatech NEW - $145.00 0 Oct/28/20 May/06/22
Description: Applied Materials (AMAT) 0050-39575 BALLAST LINE CH. POSITION AB
capitolareatech Used - $229.95 0 Oct/29/20 Dec/29/23
Description: Applied Materials (AMAT) 0050-39810 Gas Line
j316gallery Used - $1,277.65 0 Oct/30/20 May/26/22
Description: 21665 APPLIED MATERIALS GAS LINE, NF3 GAS FEED, AE, AL, ULTIMA P 0050-62135
tdindustrial NEW - $347.50 1 Nov/05/20 Nov/05/20
Description: Lam Weldment VA90A/V92 VAC Line Clamp G/Cooling, 839-024453-100, New
markcooperassociates NEW - $150.00 0 Nov/06/20 Oct/12/22
Description: Applied Materials AMAT Overpressure Purge Line, 0050-50387, New
capitolareatech NEW - $139.95 0 Nov/06/20 May/16/24
Description: Applied Materials AMAT 0050-03140 GAS LINE 5RA SPOOL O3 UPPER GAS PANEL D
capitolareatech Used - $99.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-40221 GAS LINE, WELDMENT
capitolareatech Used - $139.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-28386 GAS LINE, WELDMENT
capitolareatech Used - $99.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-27259 GAS LINE, WELDMENT
capitolareatech Used - $699.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-24761 GAS LINE, WELDMENT
capitolareatech Used - $149.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-09906 GAS LINE, WELDMENT
capitolareatech Used - $189.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-06393 GAS LINE, WELDMENT
capitolareatech Used - $129.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-06421 GAS LINE, WELDMENT
capitolareatech Used - $199.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-40506 GAS LINE, WELDMENT
capitolareatech Used - $189.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-03123 WELDMENT, GAS LINE
capitolareatech Used - $169.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-75748 GAS LINE, WELDMENT
capitolareatech Used - $189.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-07048 GAS LINE
capitolareatech Used - $229.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-60066 GAS LINE, WELDMENT
capitolareatech Used - $99.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-39901 GAS LINE, WELDMENT
capitolareatech Used - $79.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-33004 GAS LINE, WELDMENT
capitolareatech Used - $179.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-40789 GAS LINE, WELDMENT
capitolareatech Used - $139.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-26354 GAS LINE
capitolareatech Used - $109.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-43338 GAS LINE, WELDMENT
capitolareatech Used - $109.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-41217 GAS LINE, WELDMENT
capitolareatech Used - $99.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-27173 GAS LINE, WELDMENT
capitolareatech Used - $149.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-32328 GAS LINE, WELDMENT
capitolareatech Used - $119.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-22271 GAS LINE, WELDMENT
capitolareatech Used - $169.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-25584 GAS LINE, INLET N2 HEATER CVD SCGB
capitolareatech Used - $229.95 0 Nov/10/20 Mar/30/23
Description: Applied Materials (AMAT) 0050-76053 GAS LINE, WELDMENT
capitolareatech Used - $349.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-24715 GAS LINE, FITTING
capitolareatech Used - $29.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-08312 GAS LINE, WELDMENT
capitolareatech Used - $129.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-04939 GAS LINE, WELDMENT
capitolareatech Used - $119.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-42830 GAS LINE, WELDMENT
capitolareatech Used - $99.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-75773 GAS LINE 3WAY F/F/M
capitolareatech Used - $199.50 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-30846 GAS LINE, WELDMENT
capitolareatech Used - $119.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-26827 GAS LINE, WELDMENT
capitolareatech Used - $179.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-42851 GAS LINE, WELDMENT
capitolareatech Used - $109.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-42928 GAS LINE, WELDMENT
capitolareatech Used - $179.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-28217 GAS LINE, WELDMENT
capitolareatech Used - $229.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-37447 GAS LINE, WELDMENT
capitolareatech Used - $119.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-37901 GAS LINE, WELDMENT
capitolareatech Used - $249.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-42196 GAS LINE, WELDMENT
capitolareatech Used - $149.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-14941 WLDMNT,PROC LINE,FUJIKIN,DPS CHAM,POS A,
capitolareatech Used - $149.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-06381 WELDMENT, GAS LINE
capitolareatech Used - $189.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-49809 GAS LINE, WELDMENT
capitolareatech Used - $599.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-27034 GAS LINE, WELDMENT
capitolareatech Used - $559.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-28036 GAS LINE, WELDMENT
capitolareatech Used - $229.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-46885 GAS LINE, WELDMENT
capitolareatech Used - $179.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-28878 GAS LINE, WELDMENT
capitolareatech Used - $279.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-14711 GAS LINE, WELDMENT
capitolareatech Used - $349.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-40680 GAS LINE, WELDMENT
capitolareatech Used - $169.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-49675 GAS LINE, WELDMENT
capitolareatech Used - $499.95 0 Nov/10/20 Jan/11/24
Description: Applied Materials (AMAT) 0050-48635 GAS LINE, WELDMENT
capitolareatech Used - $179.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-25972 GAS LINE, WELDMENT
capitolareatech Used - $499.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-31974 GAS LINE, WELDMENT
capitolareatech Used - $299.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-24794 GAS LINE, WELDMENT
capitolareatech Used - $219.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-42687 GAS LINE, WELDMENT
capitolareatech Used - $189.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-03126 GAS LINE, FITTING
capitolareatech Used - $399.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-28746 GAS LINE, WELDMENT
capitolareatech Used - $459.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-26812 GAS LINE, FITTING
capitolareatech Used - $499.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-13205 GAS LINE, FITTING
capitolareatech Used - $159.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-50733 GAS LINE, WELDMENT
capitolareatech Used - $199.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-28693 GAS LINE, FITTING
capitolareatech Used - $169.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-28875 GAS LINE, FITTING
capitolareatech Used - $99.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-04940 GAS LINE, WELDMENT
capitolareatech Used - $99.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-33148 GAS LINE, WELDMENT
capitolareatech Used - $149.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-27546 GAS LINE, FITTING
capitolareatech Used - $199.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-43748 MAINFRAME TO CHAMBER 300SL GAS LINE
capitolareatech Used - $169.95 0 Nov/10/20 May/16/24
Description: Applied Materials (AMAT) 0050-42977 GAS LINE, WELDMENT
capitolareatech Used - $129.95 0 Nov/10/20 Mar/30/23
Description: Applied Materials (AMAT) 0050-06879 GAS LINE, WELDMENT
capitolareatech Used - $109.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-32245 WELDMENT, GAS LINE
capitolareatech Used - $109.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 839-054799-001 GAS LINE, WELDMENT
capitolareatech Used - $119.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-00031 GAS LINE, WELDMENT
capitolareatech NEW - $179.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-28503 WELDMENT, GAS LINE
capitolareatech Used - $299.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-75067 LINE, ADAPTER ELBOW KF25 X 1/2 VCR LLA
capitolareatech Used - $299.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-18588 GAS LINE, WELDMENT
capitolareatech Used - $399.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-41183 GAS LINE, WELDMENT
capitolareatech Used - $359.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-48768 GAS LINE, WELDMENT
capitolareatech Used - $159.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-07082 GAS LINE, WELDMENT
capitolareatech Used - $159.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-10904 GAS LINE, FITTING
capitolareatech Used - $89.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-45389 GAS LINE, WELDMENT
capitolareatech Used - $99.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-06723 GAS LINE, FITTING
capitolareatech Used - $199.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-45548 GAS LINE, FITTING
capitolareatech Used - $399.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-24802 GAS LINE, INSULATOR, FEED THRU ADJ AR,MIX
capitolareatech Used - $99.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0040-00188 GAS LINE, WELDMENT
capitolareatech Used - $399.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-18936 GAS LINE, WELDMENT
capitolareatech Used - $169.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-27474 GAS LINE, FITTING
capitolareatech Used - $109.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-71039 GAS LINE, WELDMENT
capitolareatech Used - $169.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-49520 GAS LINE, WELDMENT
capitolareatech Used - $499.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-41872 GAS LINE, WELDMENT
semikorea Used - $1,000.00 0 Nov/18/20 Apr/04/23
Description: AMAT 0090-04904 REV 08 GAS LINE TEMPERATURE CONTROLLER
autoquip7 NEW - $475.00 0 Dec/04/20 Apr/04/22
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR(AMAT)
powersell007 Used - $99.00 1 Dec/14/20 May/04/22
Description: AMAT 0050-62179 HOSE ASSEMBLY, 17" WATER LINE CH. LID, PMD PRODUCER SE *UNUSED*
grandbirdnet NEW - $200.00 1 Dec/22/20 Aug/15/24
Description: AMAT 0150-97531 CBL ASSY GPLIS DELIVERY LINE HEATER, PRO, NEW
lagpat Used - $1,400.00 0 Dec/28/20 Mar/28/24
Description: AMAT APPLIED 0195-00222 GAS LINE STL HTR VAPOR OUT FSG PDCR SE
lagpat Used - $1,600.00 0 Dec/28/20 Mar/28/24
Description: AMAT APPLIED 0195-00218 GAS LINE, STL HEATER INTERCONNECT
lagpat Used - $1,650.00 0 Dec/28/20 Mar/28/24
Description: AMAT APPLIED 0195-00217 GAS LINE, STL HEATER
lagpat Used - $1,750.00 0 Dec/28/20 Mar/28/24
Description: AMAT APPLIED 0195-00214 GAS LINE
getspares.com_sparesllc09 Used - $227.60 1 Dec/30/20 Aug/03/21
Description: 0190-20015 / GAS LINE #3 PRECLEAN CHAMBER / APPLIED MATERIALS AMAT
autoquip7 NEW - $102.00 0 Jan/08/21 Jul/25/22
Description: 0050-09009 TUBE WELDMENT FINAL LINE, APPLIED MATERIAL (AMAT)
autoquip7 NEW - $1,200.00 1 Jan/20/21 Jan/20/21
Description: 0050-40309, APPLIED MATERIALS, GAS LINE MANIFOLD
autoquip7 NEW - $1,200.00 0 Jan/22/21 Jul/25/22
Description: 0050-40309, APPLIED MATERIALS, GAS LINE MANIFOLD
ym3116-fmz6ox NEW - $110.00 1 Jan/23/21 Apr/12/21
Description: LAM 839-014757-067 Weldment. Gas Feed Line, FLEX
prism_electronics12 Used - $157.50 0 Feb/08/21 Aug/15/22
Description: LAM RESEARCH 839-034095-156 HE INNER LINE VALVE ASSEMBLY
myriadindustrial NEW - $109.00 1 Feb/21/21 Aug/19/21
Description: Applied Materials AMAT 4020-00164 Pall Filter In Line Gas 3000 PSIG 1/4 - GSKT
wfeng0308 Used - $150.00 1 Mar/02/21 Oct/07/21
Description: LAM 839-007158-001 GAS FEED LINE FLEX
capitolareatech Used - $109.03 0 Mar/23/21 Jul/08/21
Description: Applied Materials (AMAT) 0050-46425 GAS LINE
xl-t_com Used - $250.00 0 Mar/25/21 Oct/19/21
Description: APPLIED MATERIALS - 0140-38127 - Cable, TEOS Line temp
xl-t_com Used - $75.00 0 Mar/30/21 Oct/19/21
Description: APPLIED MATERIALS - 0050-20782 - GAS LINE VENT WIDEBODY CHAM B
xl-t_com Used - $100.00 0 Apr/01/21 Oct/19/21
Description: APPLIED MATERIALS - 0021-13115 - "BRACKET SOURCE WATER LINE, SST-SEAL-LOK"
athomemarket Used - $66.39 0 Apr/01/21 May/30/22
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
xl-t_com Used - $150.00 0 Apr/02/21 Oct/19/21
Description: APPLIED MATERIALS - 0050-09419 - WELDMENT, MANIFOLD, SINGLE VALVE, 4 LINE
athomemarket NEW - $157.49 0 Apr/04/21 Jun/02/22
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $139.99 0 Apr/04/21 Jun/02/22
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket Used - $23.99 0 Apr/04/21 Jun/02/22
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket NEW - $49.99 1 Apr/06/21 Oct/12/21
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
j316gallery NEW - $1,503.75 2 Apr/15/21 Mar/23/23
Description: 24352 LAM RESEARCH ASSY, PRIMARY LINE, HEATED (NEW) 853-025808-057
sunshine*road NEW - $199.99 0 Apr/20/21 May/18/23
Description: Applied Materials (AMAT) 0050-88381 WELDMENT FINAL LINE B FEOL
sunshine*road NEW - $199.99 0 Apr/20/21 May/18/23
Description: Applied Materials (AMAT) 0050-86401 LINE. 3\8 FACILITY SPOOL VANTAGE QS
j316gallery Used - $96.24 0 May/04/21 May/18/23
Description: 24706 APPLIED MATERIALS LINE, WATER, LOWER HEAT SHLD TO SHROUD 0050-82738
usedeqsales Used - $705.21 0 May/05/21 May/18/23
Description: AMAT Applied Materials 0050-48273 Chamber to Turbo Vacuum Line Weldment New
expertsurplus Used - $250.00 1 May/11/21 Dec/01/23
Description: AMAT, 0050-21455 WELDMENT GAS LINE N2 COH TIN, Used
expertsurplus NEW - $150.00 1 May/17/21 Nov/22/21
Description: AMAT, ADAPTER BYPASS GAS LINE TXZ, 0050-26291, New
expertsurplus NEW - $350.00 1 May/20/21 Aug/03/21
Description: AMAT, Gas Line No.3 Preclean Chamber Reactive PR, 0190-20015, New
gbuilt Used - $99.00 0 May/28/21 May/12/22
Description: Applied Materials (AMAT) 0050-63941 Rev:002 FITTING, PURE GAS LINE
powersell007 Used - $3,999.00 0 Jun/02/21 Jun/01/23
Description: APPLIED MATERIALS 0041-91579 ADAPTER 2, TURBO LINE, ICP-PROD AMAT *UNUSED*
farmoninc Used - $395.00 0 Jun/16/21 Feb/09/23
Description: CTI-Crogenics 3918059G001 Tee Line w/ 7007002P001 Pressurized Supply Assy,101065
anacapaequipment Used - $175.00 1 Jun/16/21 Oct/28/21
Description: CTI Cryogenics 8043074G120,024-01L Supply & Return Line, PSI 260 Lot of 2 (8249)
usedeqsales Used - $254.21 2 Jun/16/21 Nov/28/21
Description: CTI-Cryogenics 8096102G002 Cryo Pump Supply Line Helium Restrictor New Surplus
anacapaequipment Used - $142.50 1 Jun/16/21 Dec/29/22
Description: CTI Cryogenics 8081612, 040/94 L G017, 5', Supply & Return Line Lot of 2 (2764T)
anacapaequipment Used - $175.00 1 Jun/16/21 May/31/22
Description: CTI Cryogenics 8043074G120, 071-04 Supply & Return Line, PSI 260 Lot of 2 (2770)
anacapaequipment Used - $175.00 1 Jun/16/21 Jul/16/21
Description: CTI Cryogenics 8043074G120, 183-04 NTB, 10 Feet Supply and Return Line (2929T)
anacapaequipment Used - $175.00 1 Jun/16/21 Jul/16/21
Description: CTI Cryogenics 8043074G120 183-04 NTB Supply & Return Line 10' Lot of 2 (2762T)
anacapaequipment Used - $175.00 1 Jul/19/21 Sep/15/22
Description: CTI-Cryogenics 10' Helium Supply and Return Line, SS Lot of 2 (8014)W
visionsemi Used - $170.00 1 Jul/28/21 Oct/24/23
Description: APPLIED MATERIALS AMAT PEC LINE EXHAUST MAIN FRAME 1" 4 WAY 0040-20344
nevadasurplus-com NEW - $199.99 1 Jul/29/21 Aug/12/21
Description: 2 x CTI Cryogenics 8043074 Helium Supply & Return Line 40' 260 PSIG Cryopump
nevadasurplus-com NEW - $149.99 1 Jul/29/21 Aug/02/21
Description: 2 x CTI Cryogenics 8043074G120 Helium Supply & Return Line 10' 260 PSIG Cryopump
katiil3 Used - $149.00 0 Aug/19/21 Oct/23/21
Description: Applied materials AMAT 0040-34563 BRACKET UPPER TEOS LINE CH A/B
comwaysind Used - $299.00 0 Aug/22/21 Feb/22/23
Description: AMAT / Nor-Cal Products 3870-01331 Rev. A in line pneumatic valve
pic_insit NEW - $90.00 0 Sep/07/21 Oct/26/21
Description: AMAT P/N 0050-00828 Centura Water Line. Stock #195
expertsurplus Used - $150.00 0 Sep/07/21 Jun/15/23
Description: AMAT, Gas Line No.3 Preclean Chamber Reactive PR, 0190-20015, Used
xl-t_com Used - $100.00 0 Sep/10/21 Oct/19/21
Description: APPLIED MATERIALS - 0040-00553 - HELIUM SUPPLY LINE (PANEL TO CONTROLLER)
xl-t_com Used - $100.00 0 Sep/10/21 Oct/19/21
Description: APPLIED MATERIALS - 0050-20781 - GAS LINE WIDEBODY CHAMBER 2 OF 2
katiil3 Used - $129.00 0 Sep/14/21 Oct/23/21
Description: Applied Materials AMAT 0050-46867 FITTING, GAS LINE
cargolargo Used - $299.99 0 Sep/15/21 Sep/20/21
Description: CTI CRYOGENICS 8043075G480 LINE SET HELIUM 40 FT
cargolargo Used - $224.99 0 Sep/20/21 Sep/25/21
Description: CTI CRYOGENICS 8043075G480 LINE SET HELIUM 40 FT
megawavz Used - $195.00 0 Sep/23/21 Dec/14/22
Description: Applied Materials 0100-90224 Beam Line Interface
j316gallery Used - $2,068.20 0 Sep/28/21 Jan/18/23
Description: 13382 APPLIED MATERIALS LINE 6 POS 1/4 OXIDIZER MNFLD 930 VERIFLO 0050-63445
cargolargo Used - $149.98 0 Sep/30/21 Oct/05/21
Description: CTI CRYOGENICS 8043075G480 LINE SET HELIUM 40 FT
cargolargo Used - $99.97 0 Oct/07/21 Oct/11/21
Description: CTI CRYOGENICS 8043075G480 LINE SET HELIUM 40 FT
katiil3 Used - $399.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0140-75475 HARNESS ASSY. SLURRY LINE 3/4 RETROFIT
katiil3 Used - $299.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0150-43931 CABLE ASSY SLURRY LINE 3/4 RETROFIT , AN
cargolargo Used - $46.88 1 Oct/12/21 Oct/17/21
Description: CTI CRYOGENICS 8043075G480 LINE SET HELIUM 40 FT
athomemarket NEW - $49.99 0 Oct/12/21 Dec/09/22
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
40-30sourcing Used - $999.00 0 Oct/13/21 Feb/17/22
Description: CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE Supply and Return Line 30 ft
40-30sourcing Used - $449.00 0 Oct/13/21 Jun/07/22
Description: CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE Supply and Return Line 10 ft
40-30sourcing Used - $499.00 0 Oct/13/21 Feb/17/22
Description: SET OF CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE
40-30sourcing Used - $250.00 0 Oct/13/21 Jun/07/22
Description: SET of 2 CRYO LINE PRESSURIZED Stainless Steel Braid Hose 2FT
40-30sourcing Used - $375.00 0 Oct/13/21 Jun/07/22
Description: CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE
40-30sourcing Used - $312.00 0 Oct/13/21 Jan/17/22
Description: CRYO LINE PRESSURIZED STAINLESS STEEL BRAID HOSE
xl-t_com Used - $225.00 0 Oct/15/21 Oct/19/21
Description: APPLIED MATERIALS - 0040-08955 - ADPTR, ROUGH LINE CYRO W
katiil3 Used - $399.00 0 Oct/15/21 Oct/23/21
Description: Applied Materials AMAT 0140-75475 HARNESS ASSY. SLURRY LINE 3/4 RETROFIT New
cargolargo Used - $299.99 0 Oct/17/21 Oct/22/21
Description: CTI CRYOGENICS 8043075G480 LINE SET HELIUM 40 FT
capitolareatech NEW - $489.95 2 Oct/20/21 Aug/20/22
Description: Applied Materials AMAT 0190-20015 GAS LINE NO3 PRECLEAN CHMBR REACTIVE P
capitolareatech Used - $799.95 0 Oct/21/21 Jan/21/24
Description: Applied Materials (AMAT) 0050-35997 LINE BP REGULATOR RP VENT
capitolareatech NEW - $299.95 4 Oct/21/21 Jan/21/23
Description: Applied Materials (AMAT) 0040-96353 GAS LINE ASSEMBLY1 XR80-IMPLANT
cargolargo Used - $224.99 1 Oct/22/21 Oct/27/21
Description: CTI CRYOGENICS 8043075G480 LINE SET HELIUM 40 FT
spsglobal Used - $20.00 0 Oct/25/21 Jul/02/23
Description: 351-0401// AMAT APPLIED 0680-01201 CB K-FRAME PLUG NUT LINE & SIDE [USED]
cargolargo Used - $299.99 0 Oct/28/21 Nov/02/21
Description: CTI CRYOGENICS 8043075G480 LINE SET HELIUM 40 FT
cargolargo Used - $224.99 0 Nov/02/21 Nov/07/21
Description: CTI CRYOGENICS 8043075G480 LINE SET HELIUM 40 FT
capitolareatech Used - $229.95 0 Nov/04/21 Apr/06/22
Description: Applied Materials (AMAT) 0226-45647 GAS LINE
capitolareatech Used - $399.95 0 Nov/04/21 Apr/06/22
Description: Applied Materials (AMAT) 0226-48666 GAS LINE
capitolareatech Used - $199.95 0 Nov/05/21 Mar/05/24
Description: Applied Materials (AMAT) 0050-30513 WELDMENT, GAS LINE, HELIUM, FILTER TO NU
capitolareatech Used - $199.95 0 Nov/08/21 Apr/20/23
Description: Applied Materials (AMAT) 839-024338-900 GAS LINE, FITTING
capitolareatech Used - $199.95 0 Nov/08/21 Apr/20/23
Description: Applied Materials (AMAT) 0224-41838 WELDMENT, GAS LINE
capitolareatech Used - $199.95 0 Nov/08/21 Apr/20/23
Description: Applied Materials (AMAT) 0050-46130 LINE, INTERCONNECT, HTR HUB, ENDURA SL 3
capitolareatech Used - $199.95 0 Nov/08/21 Apr/20/23
Description: Applied Materials (AMAT) 10-122034-00 GAS LINE, FITTING
capitolareatech Used - $299.95 0 Nov/08/21 Apr/20/23
Description: Applied Materials (AMAT) 0050-36753 GAS LINE, WELDMENT
capitolareatech Used - $499.95 0 Nov/08/21 Apr/20/23
Description: Applied Materials (AMAT) 0050-07851 LINE, N2 CARRY-RACEWAY, CH D, TANTALUM,
capitolareatech Used - $299.95 0 Nov/08/21 Apr/20/23
Description: Applied Materials (AMAT) 0050-33138 GAS LINE
katiil3 Used - $399.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0140-75475 HARNESS ASSY. SLURRY LINE 3/4 RETROFIT
katiil3 Used - $399.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0140-75475 HARNESS ASSY. SLURRY LINE 3/4 RETROFIT New
katiil3 Used - $129.00 1 Nov/10/21 Oct/10/22
Description: Applied Materials AMAT 0050-46867 FITTING, GAS LINE
katiil3 Used - $299.00 0 Nov/10/21 Mar/10/22
Description: NIKON 4K191-650 LINE CHEMICAL FILTER
cargolargo Used - $299.99 0 Nov/10/21 Nov/15/21
Description: CTI CRYOGENICS 8043075G480 LINE HELIUM 40 FT
j316gallery Used - $18,331.50 0 Nov/11/21 Nov/23/22
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003
cargolargo Used - $224.99 0 Nov/15/21 Nov/20/21
Description: CTI CRYOGENICS 8043075G480 LINE HELIUM 40 FT
cargolargo Used - $149.98 0 Nov/22/21 Nov/27/21
Description: CTI CRYOGENICS 8043075G480 LINE HELIUM 40 FT
assim2002 Used - $339.99 1 Nov/25/21 Mar/03/22
Description: New Cti Cryogenics 8043075G480 Line Helium 40 Ft 260 Psi
cargolargo Used - $99.97 0 Nov/29/21 Dec/04/21
Description: CTI CRYOGENICS 8043075G480 LINE HELIUM 40 FT
cargolargo Used - $45.00 1 Dec/06/21 Dec/11/21
Description: CTI CRYOGENICS 8043075G480 LINE HELIUM 40 FT
cargolargo Used - $299.99 0 Dec/11/21 Dec/16/21
Description: CTI CRYOGENICS 8043075G480 LINE HELIUM 40 FT
cargolargo Used - $224.99 0 Dec/16/21 Dec/21/21
Description: CTI CRYOGENICS 8043075G480 LINE HELIUM 40 FT
cargolargo Used - $149.98 0 Dec/21/21 Dec/26/21
Description: CTI CRYOGENICS 8043075G480 LINE HELIUM 40 FT
cargolargo Used - $99.97 0 Dec/27/21 Jan/01/22
Description: CTI CRYOGENICS 8043075G480 LINE HELIUM 40 FT
expertsurplus NEW - $150.00 0 Dec/30/21 Oct/27/22
Description: AMAT, Chamber Line Vacuum Fitting, 0050-33687, New
cargolargo Used - $79.87 1 Jan/03/22 Jan/08/22
Description: CTI CRYOGENICS 8043075G480 LINE HELIUM 40 FT
expertsurplus NEW - $175.00 0 Jan/08/22 Jun/30/22
Description: AMAT, Gas Line Weldment, 0050-10110, New
expertsurplus NEW - $150.00 0 Jan/08/22 Jun/30/22
Description: AMAT, Gas Line Weldment, 0050-28553, New
roundtable1 NEW - $149.99 0 Jan/08/22 Jun/30/22
Description: Applied Materials 0040-62617 Bracket, Gas Line Universal, FI Outer Left Upper
cargolargo Used - $299.99 0 Jan/09/22 Jan/14/22
Description: CTI CRYOGENICS 8043075G480 LINE HELIUM 40 FT
cargolargo Used - $224.99 0 Jan/14/22 Jan/19/22
Description: CTI CRYOGENICS 8043075G480 LINE HELIUM 40 FT
cargolargo Used - $177.50 1 Jan/19/22 Jan/24/22
Description: CTI CRYOGENICS 8043075G480 LINE HELIUM 40 FT
tfstech Used - $60.00 0 Jan/20/22 Jul/25/22
Description: CTI Cryogenics AMAT 8031135 3/4" Helix Cryogenic Helium Line Coupling Set of Two
surplustechmart Used - $71.91 1 Feb/06/22 Jul/18/22
Description: Precision sensors E48W-H89 Absolute pressure switch, AMAT 0050-91105 vacuum line
buyama-3 Used - $595.00 1 Mar/06/22 Mar/07/22
Description: Applied Materials 0050-70005 VENT LINE WELDMENT N2 LLC AMAT P-5000
katiil3 Used - $399.00 0 Mar/10/22 Nov/14/23
Description: Applied Materials AMAT 0140-75475 HARNESS ASSY. SLURRY LINE 3/4 RETROFIT New
katiil3 Used - $299.00 0 Mar/10/22 Nov/10/23
Description: NIKON 4K191-650 LINE CHEMICAL FILTER
dom0808 Used - $343.20 0 Apr/04/22 Apr/10/22
Description: Swagelok Diaphragm Valve Lam Research HE LINE 839-034095-156
dom0808 Used - $825.00 0 Apr/04/22 Apr/10/22
Description: Lam Research Cooling Line 839-221799-001
buyama-3 Used - $595.00 1 Apr/19/22 May/02/22
Description: Applied Materials 0050-70005 VENT LINE WELDMENT N2 LLC AMAT P-5000
powersell007 Used - $99.00 1 May/04/22 Jul/03/23
Description: AMAT 0050-62179 HOSE ASSEMBLY, 17" WATER LINE CH. LID, PMD PRODUCER SE *UNUSED*
j316gallery Used - $500.00 0 May/04/22 Jan/04/23
Description: 27899 APPLIED MATERIALS 160C ZONE 5 HEATED LINE ASSY/THERMO, PRO 0195-01192
amatsparescom Used - $2,595.00 0 May/16/22 Aug/25/22
Description: Applied Materials 0050-70005 VENT LINE WELDMENT N2 LLC AMAT P-5000
svcstore Used - $69.99 2 May/18/22 Dec/15/22
Description: CTI 8043074G120 Cryo-Torr Pressurized 10ft 260psig Helium Supply/Return Line
vizvik16 NEW - $110.00 0 May/19/22 Jul/19/24
Description: Applied Materials 0050-52887 GAS LINE K1S STICK 1/4 FVCR TO K1S BLO
athomemarket Used - $66.39 0 May/30/22 Jul/28/23
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $139.99 0 Jun/02/22 Jul/31/23
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket Used - $23.99 0 Jun/02/22 Jul/31/23
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket NEW - $157.49 0 Jun/02/22 Jul/31/23
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
farmoninc NEW - $160.00 0 Jun/09/22 Mar/02/23
Description: AMAT 0040-38615 VACUUM FITTING LINE TOP EXHAUST, 106503
atxdeals4u Used - $1,000.00 0 Jun/09/22 Sep/15/22
Description: AMAT 0090-04904 REV 08 Gas Line Temperature Controller
autoquip7 NEW - $475.00 0 Jun/15/22 Jul/25/22
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR(AMAT)
redrockranch Used - $59.00 0 Jun/27/22 Feb/14/24
Description: APPLIED MATERIALS (AMAT) 0050-48298 GAS LINE, FITTING
tfstech Used - $42.00 0 Jul/26/22 Aug/17/22
Description: CTI Cryogenics AMAT 8031135 3/4" Helix Cryogenic Helium Line Coupling Set of Two
tfstech Used - $30.00 1 Aug/17/22 Oct/23/22
Description: CTI Cryogenics AMAT 8031135 3/4" Helix Cryogenic Helium Line Coupling Set of Two
adelrick123 Used - $115.00 0 Aug/24/22 Sep/01/22
Description: AMAT 0021-43648 Bracket MTG Exhaust Line Aux Mod Neon
farmoninc NEW - $100.00 0 Sep/07/22 Dec/11/23
Description: AMAT 0050-36171 Line Process Chamber EXH Baratron Mount, 109662
liquiditech Used - $54.00 1 Sep/21/22 Feb/25/24
Description: Applied Materials 3300-05006 Helium Line FTG Hose
boroda22 NEW - $205.00 6 Oct/18/22 Oct/18/22
Description: PALL GLFPF6101VXM4AM in LINE GAS FILTER, AMAT 4020-01061, NOS
jabedow NEW - $1,200.00 0 Nov/08/22 Feb/15/23
Description: Applied Materials, 3400-01942, Equalization Valve Line, Remote Chiller
billionsandbillions Used - $249.99 0 Nov/23/22 Jun/15/23
Description: APPLIED MATERIALS AMAT HOSE ASSY Flex Line 20ft - p/n 3620-01025
farmoninc NEW - $1,100.00 0 Nov/30/22 Jun/15/23
Description: AMAT 0150-03581 CABLE ASSY, CH B TEOS LINE MIDDLE, #2, P, 111909
cjcollective2012 NEW - $95.00 0 Nov/30/22 Dec/18/23
Description: LAM RESEARCH 853-026078-050 SEMICONDUCTOR ENCODER LINE Cable
brauning_finds NEW - $25.00 0 Dec/01/22 Mar/02/23
Description: NEW AMAT APPLIED MATERIALS 0242-40325 Bracket Final Line Kit w/ 0020-64886
brauning_finds NEW - $40.00 0 Dec/01/22 Mar/02/23
Description: NEW AMAT APPLIED MATERIALS 0242-40320 Bracket Final Line Kit w/ 0020-64888
farmoninc NEW - $250.00 1 Dec/07/22 Jun/23/23
Description: AMAT 0040-13996 ELBOW, ROUGH LINE, FHD ADAPTER, REV B, 112264
farmoninc NEW - $200.00 1 Dec/07/22 Jan/04/23
Description: AMAT 0090-76207 HARNESS ASSY UNDERTEMP SWITCH TEOS LINE, 112263
athomemarket NEW - $49.99 0 Dec/09/22 Dec/13/23
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
getspares.com_sparesllc09 Used - $5,998.56 1 Dec/13/22 Jan/12/23
Description: 8033235 /CRYO TORR CTI 8R ANSI ROUGH LINE AND DIODE CONN/ CTI CRYOGENICS 8R PUMP
mmcgolfer NEW - $19.99 1 Dec/27/22 Dec/28/22
Description: Applied Materials 0020-23631 Vent Line Bracket NEW
sigmasurplus Used - $92.93 0 Jan/21/23 Jun/06/23
Description: APPLIED MATERIALS 0050-27823 LIQUID LINE REVISION 003 (41129)
tfstech Used - $139.99 0 Feb/11/23 Apr/07/23
Description: CTI Cryogenics Cryopump Bulkhead Connectors 8031135 Aeroquip Helium Line Fitting
wasim-1289 Used - $50.00 0 Feb/24/23 Mar/03/23
Description: CTI Cryogenics Cryopump Bulkhead Connectors 8031135 Aeroquip Helium Line Fitting
wasim-1289 Used - $40.00 0 Mar/03/23 Mar/10/23
Description: CTI Cryogenics Cryopump Bulkhead Connectors 8031135 Aeroquip Helium Line Fitting
wasim-1289 Used - $40.00 0 Mar/10/23 Mar/17/23
Description: CTI Cryogenics Cryopump Bulkhead Connectors 8031135 Aeroquip Helium Line Fitting
wasim-1289 Used - $40.00 0 Mar/17/23 Mar/24/23
Description: CTI Cryogenics Cryopump Bulkhead Connectors 8031135 Aeroquip Helium Line Fitting
usedeqsales Used - $8,003.23 0 Mar/22/23 Mar/22/23
Description: AMAT Applied Materials 0041-87036 Chamber Line Feedthrough 0041-94607 Working
wasim-1289 Used - $40.00 0 Mar/24/23 Mar/31/23
Description: CTI Cryogenics Cryopump Bulkhead Connectors 8031135 Aeroquip Helium Line Fitting
comwaysind Used - $307.97 0 Mar/27/23 Feb/27/24
Description: AMAT / Nor-Cal Products 3870-01331 Rev. A in line pneumatic valve
grandbirdnet NEW - $800.00 0 Mar/27/23 Jun/01/23
Description: AMAT 0051-83451 LINE REV. 2, NEW
wasim-1289 Used - $35.00 0 Mar/31/23 Apr/07/23
Description: CTI Cryogenics Cryopump Bulkhead Connectors 8031135 Aeroquip Helium Line Fitting
semikorea Used - $3,000.00 0 Apr/05/23 May/25/23
Description: AMAT 0090-04904 REV 08 GAS LINE TEMPERATURE CONTROLLER
wasim-1289 Used - $35.00 0 Apr/07/23 Apr/14/23
Description: CTI Cryogenics Cryopump Bulkhead Connectors 8031135 Aeroquip Helium Line Fitting
tfstech Used - $99.99 1 Apr/08/23 Nov/12/23
Description: CTI Cryogenics Cryopump Bulkhead Connectors 8031135 Aeroquip Helium Line Fitting
wasim-1289 Used - $35.00 0 Apr/14/23 Apr/21/23
Description: CTI Cryogenics Cryopump Bulkhead Connectors 8031135 Aeroquip Helium Line Fitting
wasim-1289 Used - $35.00 0 Apr/21/23 Apr/28/23
Description: CTI Cryogenics Cryopump Bulkhead Connectors 8031135 Aeroquip Helium Line Fitting
part-soulution Used - $1,385.12 0 Apr/27/23 Jun/15/23
Description: Lam Research Gas Feed Line 839-031197-809 (As-Is)
farmoninc NEW - $100.00 0 May/04/23 May/18/23
Description: AMAT 0050-00004 Tube Weldment Remote Final Line, 115841
farmoninc NEW - $100.00 0 May/04/23 May/18/23
Description: AMAT 0050-00004 Tube Weldment Remote Final Line, 115839
dts_ind_llc NEW - $59.00 1 May/05/23 Apr/03/24
Description: 2 New Applied Materials 0040-20056 Adapter Elbow 1/4 VCR to Mini CF Gas Line SSS
johnp1225 NEW - $149.99 0 May/11/23 Oct/01/23
Description: Applied Materials Gas Line Inject Port 300mm radiance 0050-80886 rev 03
texpcrigs NEW - $50.00 0 May/14/23 May/19/23
Description: Applied Materials 0050-75845 GAS LINE, WELDMENT HPN2 TRANSFER PRODUCER ETCH
sgcequipment Used - $500.00 0 May/17/23 Nov/29/23
Description: Applied Materials/AMAT Cable Heated Gas Line PN: 0150-09376
farmoninc Used - $450.00 0 May/17/23 Jul/14/23
Description: CTI Cryoenics 8043074 Pressurized Stainless Steel Hose, Line, 117404
texpcrigs NEW - $50.00 0 May/19/23 May/24/23
Description: Applied Materials 0050-75845 GAS LINE, WELDMENT HPN2 TRANSFER PRODUCER ETCH
texpcrigs NEW - $50.00 0 May/24/23 May/26/23
Description: Applied Materials 0050-75845 GAS LINE, WELDMENT HPN2 TRANSFER PRODUCER ETCH
visionsemi Used - $1,200.00 0 May/31/23 Jul/27/23
Description: AMAT APPLIED MATERIALS 0090-04904 GAS LINE TEMP CONTROLLER PRODUCER
farmoninc NEW - $195.00 0 Jun/01/23 Aug/30/23
Description: AMAT 0050-30513 WELDMENT, GAS LINE, HELIUM, FILTER TO NU, 118737
sigmasurplus Used - $92.93 0 Jun/06/23 Jun/13/23
Description: APPLIED MATERIALS 0050-27823 LIQUID LINE REVISION 003 (41129)
farmoninc Used - $250.00 1 Jun/07/23 Aug/08/23
Description: AMAT 0020-29027 , BSF, BUSS BARS, K1 LINE, CENTER, 600A, 116297
farmoninc NEW - $650.00 0 Jun/07/23 Nov/06/23
Description: AMAT 0090-76207 HARNESS ASSY UNDERTEMP SWITCH TEOS LINE, 116346
semikorea Used - $3,000.00 0 Jun/21/23 Aug/01/23
Description: AMAT 0090-04904 REV 08 GAS LINE TEMPERATURE CONTROLLER
farmoninc NEW - $1,100.00 0 Jul/03/23 Oct/12/23
Description: AMAT 0050-21342 Gas Line System Manifold, 121166
farmoninc NEW - $1,100.00 0 Jul/03/23 Oct/12/23
Description: AMAT 0050-21342 Gas Line System Manifold, 121165
spsglobal Used - $950.00 2 Jul/07/23 Jul/06/23
Description: 156-0202 AMAT APPLIED 0195-00220 GAS LINE HTR HE SUPPLY FSG PDCR SE MKII [NEW]