[click to login]
WSEMI


TAGS > 300mm new

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
alvin1462
[view on eBay]
NEW 4
in stock
$255.00
Description: AMAT Applied Materials 0140-16346 HARNESS ASSY, MOTOR POWER CHMBR 300MM NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$170.00
Description: AMAT 0021-27285 PUSHER TIP,VDPM DESICA 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$7,200.00
Description: AMAT 0040-76579 BEARING SHIELD, FIXED ABBRASIVE, 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$220.00
Description: AMAT 0270-03126 ROBOT ALIGNMENT TOOL, 1.88L, 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$3,999.00
Description: AMAT 0020-48303 SHIELD, LOWER CLEANCOAT 300MM , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$35.00
Description: AMAT 0020-46291 BRACKET, LEFT, MOUNTING, CHBR-MF, RPG CHBR, 300MM , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0242-29469 KIT, GAS DELIVERY, INTEGRATION, 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 0270-03505 SHIM, CHAMBER LINER INSTALLATION & REMOVAL, EPI 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$4,500.00
Description: AMAT 0020-23549 SHIELD, UPPER, AL ARC-SPRAY, SST, 300MM , NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$2,400.00
Description: AMAT 0200-02181 Deposition Ring , 300MM , NEW
bms-semicon
[view on eBay]
NEW 2
in stock
$199.99
Description: AMAT 0020-46291 BRACKET, LEFT, MOUNTING, CHBR-MF, RPG CHBR, 300MM NEW
bms-semicon
[view on eBay]
NEW 1
in stock
$199.99
Description: AMAT 0020-46290 BRACKET, RIGHT, MOUNTING, CHBR-MF, RPG CHBR, 300MM NEW
grandbirdnet
[view on eBay]
NEW 10
in stock
$5,400.00
Description: AMAT 0010-30804 CRECENT ASSY VD, DESICA 300MM , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$15,000.00
Description: AMAT 0010-23069 TOP COVER ASSEMBLY MEG 300MM , NEW
j316gallery
[view on eBay]
NEW 11
in stock
$143.27
Description: 5014 APPLIED MATERIALS BRKT RIGHT MOUNTING CHBR-MF RPG CHBR 300MM NEW 0020-46290
j316gallery
[view on eBay]
NEW 1
in stock
$385.72
Description: 5036 APPLIED MATERIALS KIT GEN PLUMBING E-CLEAN/APEX 300MM NEW 0242-41880
j316gallery
[view on eBay]
NEW 1
in stock
$646.70
Description: 5308 APPLIED MATERIALS CALIBRATION TOOL COOLDOWN/DEGAS 300MM (NEW) 0270-03559
j316gallery
[view on eBay]
NEW 1
in stock
$1,055.74
Description: 5445 APPLIED MATERIALS COVER TOP SHIP ASSY 300MM (NEW) 0270-03850
j316gallery
[view on eBay]
NEW 2
in stock
$2,902.98
Description: 5826 LAM RESEARCH B, FOCUS CVR, DFC 300MM (NEW) 716-803156-004
j316gallery
[view on eBay]
NEW 16
in stock
$711.28
Description: 8438 APPLIED MATERIALS CENTURA DEPOSITION RING 300MM, (NEW) 0021-19471
spsglobal
[view on eBay]
Used 1
in stock
$4,000.00
Description: 119-0101// AMAT APPLIED 0020-23549 SHIELD, UPPER, AL ARC-SPRAY, SST, 300MM NEW
usedeqsales
[view on eBay]
Used 2
in stock
$1,206.14
Description: AMAT Applied Materials 0021-11486 Disk Shutter SNNF BESC 300mm New
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 104-0401// AMAT APPLIED 0090-01277 CABLE ASSY, PRESSURE SENSOR, FA 300MM NEW
j316gallery
[view on eBay]
NEW 1
in stock
$542.75
Description: 11016 APPLIED MATERIALS CE WAFER LIFT PIN, 300MM (NEW) 0200-13806
j316gallery
[view on eBay]
NEW 1
in stock
$532.06
Description: 13999 APPLIED MATERIALS NON-SEISMIC SECUREMENT KIT FOR 300MM (NEW) 0240-52481
usedeqsales
[view on eBay]
Used 3
in stock
$1,011.19
Description: AMAT Applied Materials 0040-88203 RTG Ring Flanged Contour CIP 300mm New Spare
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 347-0302// AMAT APPLIED 0021-07759 SPACER, FAST LIFT, 300MM NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0021-51761 Aluminium, Blocker, DD2539, Metron Clean, SACVD,300mm, NEW
expertsurplus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT, 0050-00539, Gasline, Outlet From Valves SWLL 300MM, New
surplusssam
[view on eBay]
Used 1
in stock
$112.99
Description: AMAT 0020-15935 APPLIED MATERIALS ONE PIECE PULLEY ADAPTER PLATE 300MM NEW
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 151-0301 AMAT APPLIED 0010-13967 ASSY, OTF CENTERFINDER RECEIVER, 300MM [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$90.00
Description: 103-0601// AMAT APPLIED 0020-47493 STRAP, TOP COIL TO HV ANTENA, 300MM [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 105-0401// AMAT APPLIED 0190-07213 SPECIFICATION, PCB, ENDURA 300MM [NEW]
expertsurplus
[view on eBay]
NEW 7
in stock
$450.00
Description: AMAT, 0050-26788, Weldment, NF3, Top Lid Uwave WXZ, 300MM, New
j316gallery
[view on eBay]
NEW 1
in stock
$658.10
Description: 20159 APPLIED MATERIALS SHIELD, CRYO PORT, HYBRID, 300MM (NEW) 0020-48702
j316gallery
[view on eBay]
NEW 1
in stock
$107.12
Description: 20161 NOVELLUS SPACER, ANODE CHAMBER, 300MM (NEW) 15-126061-07
j316gallery
[view on eBay]
NEW 2
in stock
$13,389.80
Description: 21168 LAM RESEARCH HSG, BIAS ELCTD, 300MM (NEW) 715-800326-004
usedeqsales
[view on eBay]
Used 1
in stock
$509.20
Description: AMAT Applied Materials 0150-03054 Cable W376 SYS to GP PCB Main EPI 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$509.20
Description: AMAT Applied Materials 0150-23690 Cable W316 CH-X to GP PCB Main EPI 300mm New
j316gallery
[view on eBay]
NEW 4
in stock
$200.84
Description: 20979 APPLIED MATERIALS CABLE ASSY, CHMB DNET, 3X 300MM (NEW) 0150-47092
j316gallery
[view on eBay]
NEW 4
in stock
$200.84
Description: 20975 APPLIED MATERIALS CABLE ASSY, CHB DNET, 3X 300MM (NEW) 0150-47094
j316gallery
[view on eBay]
NEW 1
in stock
$53.56
Description: 20925 APPLIED MATERIALS CABLE ASSY JUMPER MF EXHAUST INTF 300MM (NEW) 0150-08141
j316gallery
[view on eBay]
NEW 2
in stock
$379.68
Description: 20929 APPLIED MATERIALS CABLE ASSY AP GP PNEUS EMAX 300MM (NEW) 0150-07657
j316gallery
[view on eBay]
NEW 1
in stock
$267.79
Description: 20935 APPLIED MATERIALS CABLE ASSY CIOC TO CHMBR EV BLK 300MM (NEW) 0150-45071
j316gallery
[view on eBay]
NEW 4
in stock
$107.12
Description: 20909 APPLIED MATERIALS CABLE ASSY, COMMON EMAX IRM 300MM (NEW) 0150-14855
usedeqsales
[view on eBay]
Used 1
in stock
$509.20
Description: AMAT Applied Materials 0140-23340 Cable W373 SYS to GP PCB Main EPI 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$509.20
Description: AMAT Applied Materials 0150-23691 Cable W317 CH-Y to Nextgen PCB EPI 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$309.20
Description: AMAT Applied Materials 0150-14666 Cable W825 MF DNET HUB TO MFV EPI 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$309.20
Description: AMAT Applied Materials 0150-03048 Cable W370 SYS MDL to AC DIST EPI 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$509.20
Description: AMAT Applied Materials 0150-24406 Cable W310 CH-X CONT To GP PCB 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$509.20
Description: AMAT Applied Materials 0150-24407 Cable W311 CH-Y CONT To GP PCB 300mm New
grandbirdnet
[view on eBay]
NEW 2
in stock
$50.00
Description: AMAT 0015-76163 SPRING LINK 2 ROBOT 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,000.00
Description: AMAT 0270-03384 JACKING PLATE, AIR BEARING, RPG CHBR, 300MM, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$28,000.00
Description: AMAT 0010-29128 ASSY, CERAMIC HEATER, HA-12 VER 1, 300MM , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$3,500.00
Description: AMAT 0200-00915 INSULATOR, QUARTZ, HART 300MM , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$15,000.00
Description: NOVELLUS 04-169233-00 KIT, PM, QUARTERLY, GAMMA 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$500.00
Description: AMAT 0020-75118 Membrane, 300mm, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0010-13076 ET SPU301 300MM, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$2,000.00
Description: NOVELLUS 02-144175-01 ASSY-SUPPORT KEYED ATC H2 300MM, NEW
j316gallery
[view on eBay]
NEW 1
in stock
$249.28
Description: 29488 APPLIED MATERIALS PLENUM WATER, UPPER CHAMBER, 300MM (NEW) 0021-13741
albsemicon
[view on eBay]
NEW 1
in stock
$1,350.00
Description: AMAT APPLIED MATERIALS LPS 325089R1-TC 0242-27932 RETROFIT KIT W/NTC 300mm new
j316gallery
[view on eBay]
NEW 1
in stock
$462.31
Description: 24755 APPLIED MATERIALS CRYO COMM CABLE 3M SUMITOMO 300MM (NEW) 0190-25061
techequipsales
[view on eBay]
Used 1
in stock
$375.00
Description: AMAT Applied Materials 0240-62572 KIT ADAPTER SIP TTN 300MM *new surplus
techequipsales
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0041-00981 ARM SOURCE WELDMENT MOTORIZED 300MM *new surplus
grandbirdnet
[view on eBay]
NEW 1
in stock
$900.00
Description: AMAT 0150-25229 CABLE ASSY, HR3, DRIVER-MOTOR POWER REFLEXION LK 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0140-83599 CABLE ASSY, HEATER PWR DPN 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0020-47936 GASKET, VITON, 6-PORT SPINDLE, 300MM , NEW
cosplity
[view on eBay]
NEW 1
in stock
$2,300.00
Description: AMAT 0010-14718 MANIFOLD ASSY, ZONE 1/IT UPA 300MM , NEW

This tag has been viewed 5 times

Most recent views:

China Friday, Oct/18/2024 at 12:59 am CST
Korea (Republic of) Friday, Oct/18/2024 at 12:52 am CST
United States of America Friday, Oct/18/2024 at 12:50 am CST
Netherlands Friday, Oct/18/2024 at 12:46 am CST
Taiwan (Province of China) Friday, Oct/18/2024 at 12:44 am CST
Taiwan (Province of China) Friday, Oct/18/2024 at 12:43 am CST
China Friday, Oct/18/2024 at 12:43 am CST
Singapore Friday, Oct/18/2024 at 12:41 am CST
United States of America Friday, Oct/18/2024 at 12:41 am CST
Singapore Friday, Oct/18/2024 at 12:32 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
usedeqsales NEW - $808.13 0 Aug/14/13 Dec/04/14
Description: AMAT Applied Materials 0021-16287 Lower Narrow Neck Shield 300mm New
sparepartssolution NEW - $1,300.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-13967 ASSY, OTF CENTERFINDER RECEIVER, 300MM NEW
keykorea NEW - $650.00 0 Oct/17/13 Oct/18/16
Description: AMAT 0040-13509 PRECLEAN BELL JAR 300MM, NEW
usedeqsales NEW - $2,010.13 0 Oct/28/13 Dec/04/13
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New
usedeqsales Used - $1,508.09 4 Dec/04/13 Sep/07/21
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New
used1eqsales NEW - $902.76 1 Jun/24/14 Dec/19/14
Description: AMAT 0021-17770 Rev 008 Cover Ring 300mm SST AMAT Endura 300mm new surplus
used1eqsales NEW - $1,352.76 1 Jun/25/14 Dec/17/14
Description: AMAT 0020-02344 Rev 005 Shield Lower 300mm SIP AMAT Endura 300mm new surplus
grandbirdnet NEW - $1,600.00 0 Jan/08/15 Mar/07/22
Description: AMAT 0021-11110 RING EDGE ALUMINUM, SST HEATER TXZ 300MM , NEW
usedeqsales NEW - $602.15 0 Feb/12/15 May/04/15
Description: AMAT Applied Materials 0040-40955 Bellows Cone Load Cup 300mm New
alvin1462 NEW - $5,555.00 1 Feb/13/15 Feb/13/15
Description: AMAT Applied Materials 0200-02935 Lid, Ceramic Assembly 300MM New
keykorea NEW - $2,800.00 0 Mar/21/15 Sep/04/17
Description: AMAT 0020-14762 CONE, CENTERING LOADCUP 300MM , NEW
keykorea NEW - $2,900.00 0 Mar/24/15 Dec/18/20
Description: AMAT 0040-07291 DEPOSITION RING ADV 101 300MM , NEW
grandbirdnet NEW - $5,900.00 0 Apr/21/15 Dec/17/23
Description: AMAT 0190-25588 HEPA FILTER PRESSURE SENSOR READY 300MM , NEW
usedeqsales Used - $506.15 1 Jun/03/15 Mar/21/22
Description: AMAT Applied Materials 0270-03559 Calibration Tool Cooldown/DEGAS 300mm New
usedeqsales NEW - $407.15 1 Jul/09/15 Jan/15/19
Description: AMAT Applied Materials 0040-34330 Insert External Standard 300mm New
used1eqsales NEW - $1,002.46 1 Jun/26/14 Jan/09/16
Description: AMAT 0010-19010 Motorized Lift 300mm Assembly AMAT Endura 300mm new surplus
used1eqsales NEW - $1,202.46 1 Jun/24/14 Jan/08/16
Description: AMAT 0010-06140 Rev 004 Shutter Assembly 300mm CL AMAT Endura 300mm new surplus
pohyh NEW - $350.00 0 Aug/02/16 Jul/31/18
Description: 4984 APPLIED MATERIAL KIT, GAS SPRING INSTALL TOOL FEOL 300MM (NEW) 0240-47188
pohyh NEW - $300.00 0 Aug/03/16 Jul/12/18
Description: 5011 APPLIED MATERIAL RING MIDDLE, 300MM (NEW) 0021-07586
j316gallery NEW - $311.65 0 Aug/11/16 Mar/07/22
Description: 5508 APPLIED MATERIALS CALIBRATION TOOL, HT SWLL 300MM (NEW) 0270-03884
lagerwerk_industrialassets NEW - $1,342.34 0 Oct/09/16 Nov/30/17
Description: LAM RESEARCH 839-020965-007 / 839020965007, ASSY,ELCTD,OUTER,FLAT,300mm - NEW
grandbirdnet NEW - $5,900.00 0 Jan/25/17 Mar/31/22
Description: AMAT 0010-06140 Endura Shutter Assembly & Blade, 300mm, NEW
atxdeals4u NEW - $49.99 0 Jan/31/17 Oct/25/17
Description: Applied Materials 0020-62220 Shield Side Top Hot Chamber Low K 300MM New
vizvik16 NEW - $400.00 0 Jun/02/17 Oct/28/19
Description: AMAT Applied Materials 0040-34330, 0242-7297 Insert External Standard 300mm New
used1eqsales NEW - $4,006.14 0 Jun/06/17 Mar/28/18
Description: AMAT 0010-11228 Revision 05 PVD Magnet, LP-3.7.3 300mm Endura 300mm new surplus
used1eqsales NEW - $1,206.14 0 Jun/07/17 Mar/27/18
Description: AMAT 0021-11486 Rev 004 Disk Shutter SNNF BESC 300mm new surplus
spsglobal NEW - $10,000.00 0 Aug/24/17 Nov/22/17
Description: 000-0000// AMAT 0010-25799 ASSY GP WCVD GAS PANEL 300MM [NEW]
grandbirdnet NEW - $4,500.00 0 Aug/24/17 May/21/22
Description: AMAT 0041-31335 REV. 04 SHOWER HEAD TXZ CVD 300MM, NEW
spsglobal NEW - $700.00 0 Oct/31/17 Feb/25/18
Description: 119-0501// AMAT APPLIED 0021-19312 PLATE, BLOCKER TXZ, 300MM NEW
spsglobal NEW - $2,000.00 0 Oct/31/17 Feb/16/21
Description: 119-0401// AMAT APPLIED 0021-24752 SHIELD, UPPER LIFTABLE, ALIGN, AL, 300MM NEW
atxdeals4u NEW - $49.99 0 Nov/01/17 Oct/04/18
Description: Applied Materials 0020-62220 Shield Side Top Hot Chamber Low K 300MM New
lagerwerk_gmbh NEW - $1,342.34 0 Dec/05/17 Dec/22/17
Description: LAM RESEARCH 839-020965-007 / 839020965007, ASSY,ELCTD,OUTER,FLAT,300mm - NEW
lagerwerk_gmbh NEW - $1,220.23 2 Dec/27/17 Jul/21/20
Description: LAM RESEARCH 839-020965-007 / 839020965007, ASSY,ELCTD,OUTER,FLAT,300mm - NEW
grandbirdnet NEW - $1,500.00 0 Jan/11/18 Mar/03/22
Description: AMAT 0020-87982 OUTER ROLLTIG SEAL CLAMP 300MM, NEW
tm_semi NEW - $1,950.00 0 Mar/13/18 Apr/12/18
Description: AMAT 0200-01080 Rev 001 DEP RING, PVD PROCESS, 300MM, NEW
jexters-auctions NEW - $999.99 0 May/25/18 May/30/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $999.99 0 Jun/18/18 Jun/23/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $999.99 0 Jun/23/18 Jun/28/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $999.99 0 Jun/30/18 Jul/05/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $999.99 0 Jul/06/18 Jul/13/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $999.99 0 Jul/22/18 Jul/29/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $999.99 0 Jul/29/18 Aug/05/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $999.99 0 Aug/05/18 Aug/12/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $999.99 0 Aug/13/18 Aug/20/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $999.99 0 Aug/23/18 Sep/02/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $839.99 0 Sep/02/18 Sep/07/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $839.99 0 Sep/08/18 Sep/15/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $839.99 1 Sep/25/18 Sep/28/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $599.99 0 Oct/18/18 Oct/23/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $599.99 2 Oct/24/18 Oct/25/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
grandbirdnet NEW - $1,700.00 0 Nov/15/18 Feb/25/19
Description: AMAT 0200-36399 SHIELD, TOP CERAMIC, TXZ 300MM , NEW
spsglobal Used - $60.00 0 Jul/30/19 Jun/29/23
Description: 345-0102// AMAT APPLIED 0190-13053 HOSE,CFW 6 STUB 300MM NEW
spsglobal NEW - $500.00 0 Jul/28/19 Dec/17/20
Description: 316-0302// AMAT APPLIED 0021-15657 SHUTTER DISC, MDP 300MM NEW
usedeqsales NEW - $1,511.19 2 Nov/15/19 Dec/14/20
Description: AMAT Applied Materials 0021-17770 Cover Ring 300mm New
usedeqsales Used - $662.19 0 Dec/04/19 Nov/03/21
Description: AMAT Applied Materials 0020-70873 Cryo Shield Endura 2 300mm New
usedeqsales NEW - $1,005.20 1 May/01/20 Oct/10/20
Description: AMAT Applied Materials 0200-01427 Isolator Ceramic CVD Low K Producer 300mm New
j316gallery NEW - $1,047.00 0 May/12/20 Oct/13/21
Description: 18542 APPLIED MATERIALS CERAMIC PUMPING RING, 300MM (NEW) 0200-03403
usedeqsales Used - $1,253.10 1 Jun/16/20 Dec/11/23
Description: AMAT Applied Materials 0010-14862 Throttle Valve Universal Drive 300mm New Spare
j316gallery NEW - $658.10 0 Aug/11/20 Jun/11/23
Description: 20166 APPLIED MATERIALS GRIPPER, CRYO PUMP 300MM (NEW) 0190-05087
usedeqsales Used - $1,208.20 1 Aug/11/20 Mar/14/22
Description: AMAT Applied Materials 0270-76245 Chamber Fixture Alignment Pin 300mm New Spare
labmax-com NEW - $1,900.00 5 Sep/18/20 Feb/09/23
Description: AMAT Applied Materials 0200-06615 LID HPM Dual Gas Flap IEP 300mm NEW
j316gallery NEW - $189.83 0 Sep/24/20 Jan/30/23
Description: 20941 APPLIED MATERIALS CABLE ASSY CHMB DNET, 3X 300MM (NEW) 0150-47093
usedeqsales Used - $1,810.20 0 Oct/08/20 May/15/23
Description: AMAT Applied Materials 0040-61580 Lower Preclean Shield 300mm New Surplus
grandbirdnet NEW - $200.00 0 Nov/13/20 May/09/23
Description: AMAT 0021-19905 STRAP SST LOWER SHIELD GROUND 300MM , NEW
grandbirdnet NEW - $2,500.00 0 Dec/22/20 Aug/10/21
Description: AMAT 0100-03159 ASSY PCB, REMOTE DIST. ENDURA 300MM, NEW
grandbirdnet NEW - $1,500.00 1 Jan/12/21 Dec/13/21
Description: Novellus 15-105508-00 RING,EXCL,2.25 O/H,300MM, NEW
j316gallery NEW - $366.45 0 May/04/21 Jan/03/23
Description: 24755 APPLIED MATERIALS CRYO COMM CABLE 3M SUMITOMO 300MM (NEW) 0190-25061
usedeqsales Used - $2,104.35 0 Jun/10/21 Jun/01/23
Description: AMAT Applied Materials 0200-02407 Pumping Ring Ceramic C-Channel Side1 300mm New
j316gallery NEW - $1,999.00 0 Apr/05/22 Jan/04/23
Description: 27912 APPLIED MATERIALS PLATE, BLOCKER WXZ 300MM (NEW) 0021-37996
midwestreverselogistics2 NEW - $499.99 0 Apr/27/22 Jun/09/22
Description: Applied Materials (AMAT) 0041-06901 Gas Feed Thru SST HVCR Metal ALD 300MM New
midwestreverselogistics2 NEW - $5,000.00 0 Apr/27/22 Jun/09/22
Description: APPLIED MATERIALS 0041-62398 Lid Plate CVD Co. 300MM NEW SEALED
midwestreverselogistics2 NEW - $1,500.00 0 Apr/27/22 Jun/09/22
Description: AMAT 0020-84776 EDGE RING SICONI 300MM New Sealed
cosplity NEW - $2,300.00 0 Feb/01/23 May/31/23
Description: AMAT 0010-14718 MANIFOLD ASSY, ZONE 1/IT UPA 300MM , NEW
grandbirdnet Used - $1,500.00 0 May/01/23 Jun/01/23
Description: AMAT 0100-02275 ASSY, CHAMBER DISTR, HDPCVD, 300MM , NEW