[click to login]
WSEMI


TAGS > 50 sccm

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: AMAT 0190-35250 MFC, M330A51CR-----S, IHC Assy 5200 Centura, He, 50 SCCM, 326765
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: Unit UFC-8161 Mass Flow Controller, MFC, O2, 50 SCCM, AMAT 3030-00176, 421716
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: ADVANCED ENERGY Mass Flow Controller Aera TC FC-D980C, MFC, 50 SCCM, CI2, 102936
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: ADVANCED ENERGY Mass Flow Controller Aera TC FC-D980C, MFC, 50 SCCM, N2, 102937

This tag has been viewed 2 times

Most recent views:

Singapore Saturday, Sep/07/2024 at 8:39 pm CST
Korea (Republic of) Saturday, Sep/07/2024 at 8:39 pm CST
Malaysia Saturday, Sep/07/2024 at 8:37 pm CST
Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
capitolareatech Used - $651.99 0 Sep/17/13 Feb/24/14
Description: AMAT 3030-13945 DPCA-26028 CNTRL PRESS HE DUAL-ZONE, 100 TORR, 50 SCCM, DPCA-260
usedeqsales Scrap, for parts - $127.78 0 Nov/15/13 Dec/05/14
Description: Celerity UFC-8161C Mass Flow Controller AMAT 3030-12485 50 SCCM O2 As-Is
usedeqsales Used - $251.13 0 Nov/25/13 May/01/15
Description: Millipore FC-2900MEP5-4V Mass Flow Controller AMAT 0227-01643 50 SCCM SF6 Used
usedeqsales Used - $251.13 0 Nov/27/13 May/01/15
Description: Celerity AAPGD40W1 Mass Flow Controller AMAT 0190-19977 50 SCCM SiCl4 Used
usedeqsales Used - $252.13 0 Dec/02/13 May/04/15
Description: Mykrolis AAPGD40W1 Mass Flow Controller AMAT 0190-19977 50 SCCM SiCl4 Used
usedeqsales Used - $252.13 0 Dec/02/13 May/04/15
Description: Millipore FC-2900MEP5 Mass Flow Controller AMAT 3030-04733 50 SCCM CF4 Used
usedeqsales Used - $262.13 0 Dec/02/13 May/04/15
Description: Millipore FC-2900MEP Mass Flow Controller AMAT 3030-05354 50 SCCM N2 Used
usedeqsales Used - $262.13 0 Dec/03/13 May/04/15
Description: Tylan FC-2952MEP5 Mass Flow Controller AMAT 0225-40682 50 SCCM C2F6 Used
usedeqsales Used - $262.13 0 Dec/04/13 May/04/15
Description: Millipore FSCGD1000T00 Mass Flow Controller AMAT 3030-00834 50 SCCM O2 Used
usedeqsales Used - $512.13 0 Dec/06/13 Dec/10/13
Description: Millipore FSCGD1000T00 Mass Flow Controller AMAT 3030-00834 50 SCCM O2 Used
usedeqsales Used - $262.13 0 Dec/06/13 May/04/15
Description: Millipore FSCGD100FC00 Mass Flow Controller AMAT 3030-00826 50 SCCM CF4 Used
usedeqsales Refurbished - $1,012.13 0 Dec/09/13 May/04/15
Description: Horiba STEC SEC-Z512MG Mass Flow Controller AMAT 3030-14637 50 SCCM SiH2Cl2
usedeqsales Used - $252.13 0 Dec/16/13 May/04/15
Description: Millipore FSCGD100CG00 Mass Flow Controller AMAT 3030-00826 50 SCCM CHF3 Used
bbs_express Used - $265.00 1 Dec/28/13 Oct/25/21
Description: AERA FC-7800CD 50 SCCM CF4 (0.424) GAS Novellus 22-170369-00
farmoninc NEW - $1,100.00 1 May/11/15 Dec/14/16
Description: AMAT 0227-26700 MKS 649A21T51CAVR-S REGULATOR PRESS 20 TORR 50 SCCM 401815
farmoninc NEW - $1,100.00 0 May/11/15 May/15/17
Description: AMAT 0227-26700 MKS 649A21T51CAVR-S REGULATOR PRESS 20 TORR 50 SCCM 401814
fa-aps Scrap, for parts - $399.00 0 May/27/15 Aug/14/15
Description: 112-102// AERA FC-D980C N2 50 SCCM AMAT 3030-07431 MFC ASIS
fa-aps Used - $299.00 0 Aug/14/15 Apr/21/20
Description: 111-102// AERA FC-D980C N2 50 SCCM AMAT 3030-07431 MFC [USED/FAST/SAFETY]
20041014625pm NEW - $825.00 0 Sep/07/15 Dec/06/15
Description: UPC-8130 Pressure Controller 50 sccm He Lam Part # 797-900918-001
sparesllc09 Refurbished - $3,020.00 0 Apr/01/16 Jul/20/18
Description: 3800-01180 / ETC 100 T 50 SCCM 1/4 VCR HELIUM MFM / APPLIED MATERIALS
farmoninc Used - $450.00 0 Jul/13/16 Apr/03/18
Description: STEC SEC-4400M Mass Flow Controller, MFC, Ar, 50 SCCM, AMAT 3030-06072, 329865
autoquip7 NEW - $6,000.00 0 Aug/12/16 Jul/25/22
Description: 0010-13152, APPLIED MATERIALS, ASSY, IHC CHAMBER D & SHC (50 SCCM)
bornalliancecom NEW - $350.00 0 Apr/07/17 Jul/29/18
Description: Stec, MFC SEC-4400MC-UC O2 50 SCCM Cal Gas N2 AMAT # 3030-02658
farmoninc NEW - $1,087.50 1 May/15/17 Sep/18/19
Description: AMAT 0227-26700 MKS 649A21T51CAVR-S REGULATOR PRESS 20 TORR 50 SCCM 401814
bornalliancecom NEW - $450.00 0 Jun/07/17 Apr/08/21
Description: Unit, UFC-1260A 50 SCCM N2 AMAT # 3030-01650
farmoninc Used - $795.00 0 Jan/03/18 Mar/03/22
Description: Tylan FC-2900MEP5 Mass Flow Controller, MFC, 02, 50 SCCM, AMAT 0227-06244 422050
noam-tech Used - $180.00 1 Feb/07/18 Sep/07/19
Description: Stec Inc MFC, Mass Flow Controller. 50 SCCM Gas AR 3030-06072 SEC-4400M AMAT
usedeqsales Used - $252.18 3 Feb/16/18 Sep/28/21
Description: Aera 5Ra FC-D980C Mass Flow Controller MFC 50 SCCM SF6 AMAT 3030-07419 Used
ok24odef Used - $42.00 0 Jun/27/18 Jul/07/18
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142
ok24odef Used - $42.00 0 Jul/07/18 Jul/17/18
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142
ok24odef Used - $25.00 0 Aug/07/18 Aug/17/18
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142
novusferro Used - $199.00 0 Aug/15/18 Jan/24/19
Description: Aera FC-7800CD Novellus 19-120538-09 Mass Flow Controller 50 SCCM
ok24odef Used - $45.00 0 Aug/22/18 Sep/01/18
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142
ok24odef Used - $29.00 0 Sep/02/18 Sep/12/18
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142
ok24odef Used - $29.00 0 Sep/23/18 Oct/03/18
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142
ok24odef Used - $45.00 0 Sep/23/18 Oct/03/18
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142
ok24odef Used - $25.00 0 Sep/23/18 Oct/03/18
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142
ok24odef Used - $35.00 0 Oct/03/18 Nov/02/18
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142
ok24odef Used - $22.00 0 Nov/03/18 Dec/03/18
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142
ok24odef Used - $22.00 0 Dec/16/18 Jan/15/19
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142
zindchau15 Used - $150.00 0 Feb/08/19 Oct/23/21
Description: AERA PI-98 MGMR MFC F CPI980CBAXDIDJAA PH3 50 SCCM AMAT 0190-34212
zindchau15 Used - $150.00 0 Feb/07/19 Oct/23/21
Description: AERA PI-98 MGMR MFC F CPI980CBAXDIDJAA SiH2CI2 50 SCCM AMAT 0190-34213
auctionrus Used - $450.00 0 Oct/02/19 Sep/08/22
Description: Aera FC-PA7800C, MFC, N2, 50 SCCM, Novellus 22-268871-00, 452059
auctionrus Used - $450.00 1 Oct/02/19 Oct/26/20
Description: Aera FC-PA7800C, MFC, N2, 50 SCCM, Novellus 22-268871-00, 452060
powersell007 Used - $2,999.00 1 Oct/04/19 Oct/06/19
Description: MKS 649A12T51CAVR-S 649 PRESSURE CONTROLLER 50 SCCM He 100 torr AMAT 0010-37987
powersell007 Used - $2,999.00 1 Nov/04/19 Nov/07/19
Description: MKS 649A12T51CAVR-S 649 PRESSURE CONTROLLER 50 SCCM He 100 torr AMAT 0010-37987
techshop7777 Used - $518.99 1 Dec/02/19 Dec/06/20
Description: MKS 649A-25014 PRESSURE CONTROLLER GAS He, 50 SCCM LAM RESEARCH 797-800733-001
coastalinstruments Refurbished - $250.00 0 May/04/20 Feb/03/21
Description: Mass Flow Controller, STEC, SEC-4400, 50 sccm N2, AMAT 3030-05389
vizvik16 Used - $310.00 1 Aug/18/20 Aug/25/20
Description: MKS 649B-30957 LAM P/N 797-800733-100, Gas He, Range 50 SCCM, 50Torr
vizvik16 Used - $250.00 1 Aug/18/20 Aug/25/20
Description: MKS 649B-30957 LAM P/N 797-800733-100 He Range 50 SCCM 50Torr
farmoninc NEW - $2,360.00 1 Jun/16/21 Jun/20/22
Description: MKS 649A-25014 Mass Flow Controller, MFC, He, 50 SCCM, LAM 797-800733-001,101164
farmoninc NEW - $2,360.00 1 Jun/16/21 Jun/20/22
Description: MKS 649A-25014 Mass Flow Controller, MFC, He, 50 SCCM, LAM 797-800733-001,101163